DE102020129832B4 - Leistungsverteilungsnetzwerk - Google Patents

Leistungsverteilungsnetzwerk Download PDF

Info

Publication number
DE102020129832B4
DE102020129832B4 DE102020129832.3A DE102020129832A DE102020129832B4 DE 102020129832 B4 DE102020129832 B4 DE 102020129832B4 DE 102020129832 A DE102020129832 A DE 102020129832A DE 102020129832 B4 DE102020129832 B4 DE 102020129832B4
Authority
DE
Germany
Prior art keywords
conductive
layer
conductive lines
coupled
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020129832.3A
Other languages
English (en)
Other versions
DE102020129832A1 (de
Inventor
Kam-Tou SIO
Jiann-Tyng Tzeng
Wei-Cheng Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020129832A1 publication Critical patent/DE102020129832A1/de
Application granted granted Critical
Publication of DE102020129832B4 publication Critical patent/DE102020129832B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • H03K19/0016Arrangements for reducing power consumption by using a control or a clock signal, e.g. in order to apply power supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11861Substrate and well contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11866Gate electrode terminals or contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation
    • H01L2027/11887Three levels of metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Integrierte Schaltung (10), aufweisend:ein erstes Paar Stromschienen (202, 203) und ein zweites Paar Stromschienen (201, 204), die in einer ersten Schicht angeordnet sind und sich in einer ersten Richtung (y) erstrecken;mehrere leitfähige Leitungen (301, 302, 303, 304, 305), die in einer zweiten Schicht über der ersten Schicht angeordnet sind, wobei sich die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) in einer zweiten Richtung (x) erstrecken, die sich von der ersten Richtung (y) unterscheidet, und das erste und das zweite Paar Stromschienen (202, 203; 201, 204) kreuzt;einen ersten aktiven Bereich (401), der in einer dritten Schicht über der zweiten Schicht angeordnet ist und sich in der zweiten Richtung (x) erstreckt, wobei der erste aktive Bereich (401) angeordnet ist, das erste Paar Stromschienen (202, 203) in Draufsicht zu überlappen;ein erstes Gate (605, 606, 607, 608, 609, 610), das über dem ersten aktiven Bereich (401) angeordnet ist; undein leitfähiges Trace (702), das über dem ersten Gate (605, 606, 607, 608, 609, 610),) angeordnet und damit gekoppelt ist,wobei der erste aktive Bereich (401) mit dem ersten Paar Stromschienen (202, 203) durch eine erste Leitung (303) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) und eine erste Gruppe Durchkontaktierungen (VM1, VM5, VD1, VD2, VD17, VD18) gekoppelt ist, und der erste aktive Bereich (401) mit dem zweiten Paar Stromschienen (201, 204) durch mindestens eine zweite Leitung (301, 305) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) und eine zweite Gruppe Durchkontaktierungen (VM2, VM6, VM7, VM8, VD3, VD4, VD13, VD14, VD15, VD16) gekoppelt ist, die sich von der ersten Gruppe Durchkontaktierungen unterscheidet.

Description

  • HINTERGRUND
  • In der Halbleiterherstellung kann integrierte Schaltungsskalierung aufgrund von kritischen Abmessungen in Zusammenhang mit Back-End-of-Line (BEOL) eingeschränkt sein. Die BEOL-Skalierung ist mit aktuellen Halbleiterherstellungsprozessen langsamer geworden. Eine integrierte Schaltung und ein Herstellverfahren ist aus der DE 10 2020 119 415 A1 bekannt. Eine Halbleitervorrichtung und ein entsprechendes Herstellverfahren ist aus der US 2020/0266169 A1 bekannt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen.
    • 1A ist eine äquivalente Schaltung eines Abschnitts einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 1B ist eine Transistordarstellung des äquivalenten Schaltungsabschnitts einer Leistungsgateschaltung aus 1A nach verschiedenen Ausführungsformen.
    • 2A ist eine äquivalente Schaltung eines Abschnitts einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 2B ist eine Transistordarstellung des äquivalenten Schaltungsabschnitts einer Leistungsgateschaltung aus 2A nach verschiedenen Ausführungsformen.
    • 3A ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung aus 1B entspricht, nach verschiedenen Ausführungsformen.
    • 3B bis 3C sind Querschnittsansichten eines Abschnitts der integrierten Schaltung aus 3A nach verschiedenen Ausführungsformen.
    • 4A ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung aus 3A entspricht, nach verschiedenen Ausführungsformen.
    • 4B ist eine Querschnittsansicht eines Abschnitts der integrierten Schaltung aus 4A nach verschiedenen Ausführungsformen.
    • 5A ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung aus 1B entspricht, nach verschiedenen Ausführungsformen.
    • 5B ist eine Querschnittsansicht eines Abschnitts der integrierten Schaltung aus 4A nach verschiedenen Ausführungsformen.
    • 6 ist ein schematisches Operationsdiagramm, das einem Abschnitt der integrierten Schaltung aus 5A entspricht, nach verschiedenen Ausführungsformen.
    • 7 ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung aus 2B entspricht, nach verschiedenen Ausführungsformen.
    • 8 ist ein Layoutdiagramm in einer Draufsicht eines Abschnitts einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 9 ist ein Layoutdiagramm in einer Draufsicht eines Abschnitts einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 10 ist ein Layoutdiagramm in einer Draufsicht eines Abschnitts einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 11 ist ein Ablaufdiagramm eines Verfahrens zum Betreiben einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 12 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer integrierten Schaltung nach verschiedenen Ausführungsformen.
    • 13 ist ein Blockdiagramm eines integrierten Schaltungsvorrichtungsdesignsystems nach einigen Ausführungsformen.
    • 14 ist ein Blockdiagramm eines Herstellungssystems für eine integrierte Schaltung und eines integrierten Schaltungsherstellungsablaufs, der damit assoziiert ist, nach verschiedenen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Funktionen des dargelegten Inhalts bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Elemente zwischen dem ersten und dem zweiten Element gebildet werden können, sodass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder -buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen erklärten Ausführungsformen und/oder Konfigurationen.
  • Die in den Vorgaben verwendeten Begriffe haben allgemein ihre gewöhnlichen Bedeutungen aus dem Fachgebiet und in dem spezifischen Kontext, in dem jeder Begriff verwendet wird. Die Verwendung der Beispiele in dieser Vorgabe, einschließlich Beispielen von hierin erklärten Begriffen, ist rein illustrativ und grenzt in keiner Weise den Umfang und die Bedeutung der Offenbarung oder eines beispielhaften Begriffs ein. Ähnlich ist diese Offenbarung nicht auf verschiedene Ausführungsformen beschränkt, die in dieser Vorgabe genannt sind.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden. Wie hierin verwendet, umfasst der Begriff „und/oder“ sämtliche Kombinationen von einem oder mehreren der assoziierten aufgeführten Punkte.
  • Wie hierin verwendet, bezieht sich „um“, „etwa“, „ungefähr“ oder „im Wesentlichen“ allgemein auf einen ungefähren Wert eines bestimmten Werts oder Bereichs, in dem er variiert wird, abhängig von verschiedenen Techniken, auf die er sich bezieht und deren Umfang durch den Fachmann auf dem Gebiet, auf den er sich bezieht, so umfassend wie möglich ausgelegt werden sollte, um alle solche Modifikationen und ähnliche Strukturen zu umfassen. In einigen Ausführungsformen bedeutet es allgemein innerhalb von 20 Prozent, vorzugsweise innerhalb von 10 Prozent, und noch bevorzugter innerhalb von 5 Prozent um einen bestimmten Wert oder Bereich. Numerische Mengen, die hierin angegeben sind, sind ungefähre Angaben. Das bedeutet, dass der Begriff „um“, „etwa“, „ungefähr“ oder „im Wesentlichen“ oder andere ungefähre Werte impliziert werden können, wenn sie nicht ausdrücklich genannt sind.
  • Verwiesen wird nun auf 1A. 1A ist eine äquivalente Schaltung eines Abschnitts einer integrierten Schaltung 10 nach verschiedenen Ausführungsformen. Wie in 1A illustrativ dargestellt, umfasst die integrierte Schaltung 10 eine Leistungsgateschaltung 100, die Umrichter 110 bis 120 und einen P-Transistor M1 aufweist. Die Leistungsgateschaltung 100 ist konfiguriert, mit einem externen Netzteil (nicht dargestellt) verbunden zu sein, um eine externe Spannung, d. h. eine Spannung TVDD, von dem externen Netzteil für die integrierte Schaltung 10 zu empfangen. In Reaktion auf ein Signal NSLEEPIN, ist die Leistungsgateschaltung 100 konfiguriert, eine Versorgungsspannung, d. h. eine Spannung VDD, an eine Zellenschaltung (nicht dargestellt) auszugeben, die in der integrierten Schaltung 10 umfasst ist. In einigen Ausführungsformen wird die Leistungsgateschaltung 100 in der integrierten Schaltung 10 als ein Header bezeichnet.
  • Zu Illustrationszwecken ist der Umrichter 110 konfiguriert, das Signal NSLEEPIN umzurichten und ein Eingangssignal IN an den Umrichter 120 und den Transistor M1 auszugeben. Der Umrichter 120 ist konfiguriert, das Signal IN umzurichten, um ein Signal NSLEEPOUT auszugeben. In Reaktion auf das Eingangssignal IN, das an einem Gate des Transistors M1 empfangen wird, ist der Transistor M1 konfiguriert, die Spannung VDD auszugeben, die der externen Spannung TVDD entspricht, die an einem Source-Terminal davon empfangen wird. In einigen Ausführungsformen weist das Signal NSLEEPIN ein logisches Hoch, d. h. eine logische 1 auf, und entsprechend gibt der Umrichter 110 das Eingangssignal IN mit einem logischen Tief, d. h. einer logischen 0 aus. Der Transistor M1 ist eingeschaltet und gibt die Versorgungsspannung VDD aus.
  • Verwiesen wird nun auf 1B. 1B ist eine detaillierte Schaltung des äquivalenten Schaltungsabschnitts der Leistungsgateschaltung 100 aus 1A nach verschiedenen Ausführungsformen. Wie in 1B illustrativ dargestellt, umfasst der Umrichter 110 einen P-Transistor M2 und einen N-Transistor M3. Der Umrichter 120 umfasst einen P-Transistor M4 und einen N-Transistor M5. Gates der Transistoren M2 bis M3 sind zusammengekoppelt, um das Signal NSLEEPIN zu empfangen. Ein erstes Terminal des Transistors M2 ist mit einem ersten Terminal des Transistors M3 an dem Gate des Transistors M1 gekoppelt. Gates der Transistoren M4 bis M6 sind an dem Gate des Transistors M1 zusammengekoppelt. Ein erstes Terminal des Transistors M4 ist mit einem ersten Terminal des Transistors M5 gekoppelt, um das Signal NSLEEPOUT auszugeben.
  • Verwiesen wird nun auf 2A. 2A ist eine äquivalente Schaltung eines Abschnitts der integrierten Schaltung 10 nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 1B sind gleiche Elemente in 2A für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet. Die spezifischen Funktionen ähnlicher Elemente, die bereits ausführlich in den obigen Absätzen erklärt wurden, sind hierin um der Kürze Willen weggelassen, sofern es nicht notwendig ist, die Beziehung des Zusammenwirkens mit den Elementen aus 2A einzuführen.
  • Zu Illustrationszwecken umfasst die integrierte Schaltung 10 eine Leistungsgateschaltung 200. Im Vergleich mit der Leistungsgateschaltung 100 aus 1A umfasst die Leistungsgateschaltung 200, statt den P-Transistor M1 aufzuweisen, einen N-Transistor M6. Die Leistungsgateschaltung 200 ist konfiguriert, mit einem externen Netzteil (nicht dargestellt) verbunden zu sein, um eine externe Spannung, d. h. eine Spannung TVSS, von dem externen Netzteil für die integrierte Schaltung 10 zu empfangen. In Reaktion auf ein Signal NSLEEPIN, ist die Leistungsgateschaltung 200 konfiguriert, eine Versorgungsspannung, d. h. eine Spannung VSS, an eine Zellenschaltung (nicht dargestellt) auszugeben, die in der integrierten Schaltung 10 umfasst ist. In einigen Ausführungsformen wird die Leistungsgateschaltung 200 in der integrierten Schaltung 10 als ein Footer bezeichnet.
  • Verwiesen wird nun auf 2B. 2B ist eine detaillierte Schaltung des äquivalenten Schaltungsabschnitts der Leistungsgateschaltung 200 aus 2A nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 2A sind gleiche Elemente in 2B für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet.
  • Zu Illustrationszwecken ist der Transistor M6 in Reaktion auf das Eingangssignal IN, das an einem Gate des Transistors M6 empfangen wird, konfiguriert, die Spannung VSS auszugeben, die der externen Spannung TVSS entspricht, die an einem Source-Terminal davon empfangen wird. In einigen Ausführungsformen weist das Signal NSLEEPIN ein logisches Tief, d. h. eine logische 0 auf, und entsprechend gibt der Umrichter 110 das Eingangssignal IN mit einem logischen Hoch, d. h. einer logischen 1 aus. Der Transistor M6 ist eingeschaltet und gibt die Versorgungsspannung VSS aus.
  • Die Konfigurationen aus 1A bis 2B sind zu illustrativen Zwecken angegeben. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise umfassen in einigen Ausführungsformen die Leistungsgateschaltungen 100 und 200 nicht die Umrichter 110 bis 120, sondern nur die Transistoren M1 bzw. M6.
  • Verwiesen wird nun auf 3A. 3A ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung 10 aus 1B entspricht, nach verschiedenen Ausführungsformen.
  • Zur Illustration umfasst, wie in 3A dargestellt, die integrierte Schaltung 10 Stromschienen 201 bis 202, leitfähige Leitungen 301 bis 303, aktive Bereiche 401 bis 405, leitfähige Segmente 501 bis 510, Gates 601 bis 608 und Durchkontaktierungen VD1 bis VD6 und VM1 bis VM2 auf einem Substrat (nicht dargestellt). In einigen Ausführungsformen sind die Stromschienen 201 bis 202 in einer ersten Schicht angeordnet. Die leitfähigen Leitungen 301 bis 303 sind in einer zweiten Schicht über der ersten Schicht angeordnet. Die aktiven Bereiche 401 bis 405 sind in einer dritten Schicht über der zweiten Schicht angeordnet. Die leitfähigen Leitungen 501 bis 510 und die Gates 601 bis 608 sind über den aktiven Bereichen angeordnet. Die Durchkontaktierungen VM1 bis VM2 sind zwischen der ersten und der zweiten Schicht angeordnet. Die Durchkontaktierungen VD1 bis VD6 sind zwischen der zweiten und der dritten Schicht angeordnet.
  • Mit Verweis auf 1B und 3A sind die aktiven Bereiche 401 bis 405 in der Bildung der Transistoren M1 bis M5 konfiguriert. Das leitfähige Segment 501 entspricht einem zweiten Terminal des Transistors M4, und das leitfähige Segment 502 entspricht den ersten Terminals der Transistoren M4 bis M5. Das Gate 602 entspricht den Gates der Transistoren M4 bis M5. Das leitfähige Segment 503 entspricht einem zweiten Terminal des Transistors M5. Das leitfähige Segment 504 entspricht einem zweiten Terminal des Transistors M3, und das leitfähige Segment 505 entspricht den ersten Terminals der Transistoren M2 bis M3. Das Gate 603 entspricht den Gates der Transistoren M2 bis M3. Das leitfähige Segment 506 entspricht einem zweiten Terminal des Transistors M2. Die leitfähigen Segmente 507 und 509 zusammen entsprechen der Source des Transistors M1, und die leitfähigen Segmente 508 und 510 zusammen entsprechen einem Drain des Transistors M1. Die Gates 605 bis 607 zusammen entsprechen dem Gate des Transistors M1. Wenn die leitfähigen Segmenten 507 und 509 an die Source des Transistors M1 gekoppelt sind, die leitfähigen Segmente 508 und 510 an den Drain des Transistors M1 gekoppelt sind und die Gates 605 bis 607 zusammen gekoppelt sind, um das Gate des Transistors M1 zu bilden, kann der Transistor M1 in einer Parallelkonfiguration nach einigen Ausführungsformen basierend auf diesen Elementen gebildet werden. In einigen Ausführungsformen werden die Gates 601, 604, und 608 als Dummygates bezeichnet, wobei in einigen Ausführungsformen die „Dummy“-Gates als nicht als Gates für MOS-Vorrichtungen elektrisch verbunden beschrieben sind, sodass sie keine Funktion in der Schaltung aufweisen.
  • Zu Illustrationszwecken erstrecken sich die Stromschienen 201 bis 202 in der y-Richtung und sind voneinander in der x-Richtung getrennt. In einigen Ausführungsformen gibt die Stromschiene 202 die externe Spannung TVDD an die Leistungsgateschaltung 100 aus, und die Stromschiene 201 gibt die Versorgungsspannung VDD von der Leistungsgateschaltung 100 aus. Die weiteren Details werden in den folgenden Absätzen erklärt. In einigen Ausführungsformen werden die Stromschienen 201 bis 202 als Metall-Minus-Zwei-Schichten (M-2-Schichten) bezeichnet.
  • Die leitfähigen Leitungen 301 bis 303 erstrecken sich in der x-Richtung und sind voneinander in der y-Richtung getrennt. Die leitfähigen Leitungen 302 bis 303 sind ferner in der x-Richtung voneinander getrennt. In einer Layoutansicht kreuzen die leitfähigen Leitungen 301 bis 303 durch die Stromschienen 201 bis 202. In einigen Ausführungsformen weist die leitfähige Leitung 303 eine Breite auf, die kürzer als die der leitfähigen Leitung 301 ist. In einigen Ausführungsformen werden die leitfähigen Leitungen 301 bis 303 als Metall-Minus-Eins-Schichten (M-1-Schichten) bezeichnet.
  • Die aktiven Bereiche 401 bis 405 erstrecken sich in der x-Richtung. Die aktiven Bereiche 402 bis 405 sind voneinander in der y-Richtung getrennt. Der aktive Bereich 401 überlappt die leitfähigen Leitungen 301 und 303. Der aktive Bereich 402 überlappt die leitfähige Leitung 301. Die aktiven Bereiche 403 bis 404 überlappen die leitfähige Leitung 302. In einigen Ausführungsformen umfasst der aktive Bereich 401 ferner aktive Regionen 401a bis 40id wie in 3A dargestellt.
  • In einigen Ausführungsformen sind die aktiven Bereiche 401 bis 402 und 405 mit P-Dotiermittel dotiert, wie etwa Bor, Indium, Aluminium, Gallium oder einer Kombination daraus. Die aktiven Bereiche 403 bis 404 sind mit N-Dotiermitteln dotiert, wie etwa Phosphor, Arsen oder einer Kombination daraus.
  • Die Gates 601 bis 608 erstrecken sich in der y-Richtung und sind voneinander in der x-Richtung getrennt. Wie in 3A dargestellt, kreuzt das Gate 602 die aktiven Bereiche 402 bis 403. Das Gate 603 kreuzt die aktiven Bereiche 404 bis 405. Die Gates 605 bis 607 kreuzen den aktiven Bereich 401. Das Gate 604 ist zwischen dem aktiven Bereich 401 und den aktiven Bereichen 402 bis 405 angeordnet.
  • In einigen Ausführungsformen umfasst jedes der Gates 601 bis 608 eine Grenzflächenschicht (nicht dargestellt) und eine Polysiliziumschicht (oder Polyschicht) (nicht dargestellt) über der Grenzflächenschicht. In einigen Ausführungsformen umfassen die Gates 601 bis 608 ferner eine Gatedielektrikumschicht (nicht dargestellt) und eine Metallgateschicht (nicht dargestellt), die zwischen der Grenzflächenschicht und der Polyschicht angeordnet ist. In einigen Ausführungsformen umfassen die Gates 601 bis 608 eine oder mehrere Metallschichten anstelle der Polyschicht. In verschiedenen Ausführungsformen umfasst die Grenzflächenschicht ein Dielektrikum, einschließlich beispielsweise Siliziumoxid (Si02) oder Siliziumoxynitrid (SiON), und ist in der Lage, durch chemische Oxidierung, Wärmeoxidierung, Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD) und/oder andere geeignete Verfahren gebildet zu werden. In einigen Ausführungsformen ist die Polysiliziumschicht durch geeignete Abscheidungsprozesse gebildet, einschließlich beispielsweise chemischer Niederdruck-Gasphasenabscheidung (LPCVD) und plasmaverstärkter CVD (PECVD). In einigen Ausführungsformen verwendet die Gatedielektrikumschicht ein Dielektrikum mit hohem k-Wert, einschließlich beispielsweise Hafniumoxid (HfO2), Al2O3, Lanthanidoxide, TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen daraus oder ein anderes geeignetes Material, und die Gatedielektrikumschicht ist durch ALD und/oder andere geeignete Verfahren gebildet. Die Metallgateschicht umfasst ein p-Austrittsarbeitsmetall oder ein n-Austrittsarbeitsmetall und wird durch CVD, PVD, und/oder andere geeignete Prozesse abgeschieden. Beispielhafte p-Austrittsarbeitsmetalle umfassen TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, andere geeignete p-Austrittsarbeitsmaterialien oder Kombinationen davon. Beispielhafte n-Austrittsarbeitsmetalle umfassen Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, andere geeignete n-Austrittsarbeitsmaterialien oder Kombinationen davon. Die eine oder die mehreren Metallschichten verwenden Aluminium (Al), Wolfram (W), Kupfer (Cu), Kobalt (Co), und/oder andere geeignete Materialien; und sind durch CVD, PVD, Plattierung und/oder andere geeignete Prozesse gebildet. Die Bildungen und/oder Materialien, die mit den Gates 601 bis 608 assoziiert sind, sind zu illustrativen Zwecken angegeben. Verschiedene Bildungen und/oder Materialien, die mit den Gates 601 bis 608 assoziiert sind, fallen in den betrachteten Umfang dieser Offenbarung.
  • Die Durchkontaktierung VM1 koppelt die Stromschiene 202 mit der leitfähigen Leitung 303. Die Durchkontaktierung VM2 koppelt die Stromschiene 201 mit der leitfähigen Leitung 301. Die Durchkontaktierungen VD1 bis VD2 koppeln die leitfähige Leitung 303 mit dem aktiven Bereich 401. Die Durchkontaktierungen VD3 bis VD4 koppeln den aktiven Bereich 401 mit der leitfähigen Leitung 301. Die Durchkontaktierung VD5 koppelt den aktiven Bereich 403 mit der leitfähigen Leitung 302, und die Durchkontaktierung VD6 koppelt den aktiven Bereich 404 mit der leitfähigen Leitung 302.
  • Verwiesen wird nun auf 3B bis 3C. 3B ist eine Querschnittsansicht eines Abschnitts der integrierten Schaltung 10 in 3A entlang Linie AA', und 3C ist eine Querschnittsansicht eines Abschnitts der integrierten Schaltung 10 in 3A entlang Linie BB', und nach verschiedenen Ausführungsformen.
  • Wie in 3B dargestellt, ist die Stromschiene 202 mit dem aktiven Bereich 401 durch die Durchkontaktierung VM1, die leitfähige Leitung 303, die Durchkontaktierung VD1 gekoppelt. Das leitfähige Segment 509 ist mit dem aktiven Bereich 401 gekoppelt und deckt diesen ab.
  • Wie in 3C gezeigt ist, ist die Stromschiene 202 mit der leitfähigen Leitung 303 gekoppelt. Das leitfähige Segment 508 ist mit dem aktiven Bereich 401 gekoppelt und deckt diesen ab. Der aktive Bereich 401 ist mit der leitfähigen Leitung 301 durch die Durchkontaktierung VD4 gekoppelt.
  • Die Konfigurationen aus 3A bis 3C sind zu illustrativen Zwecken angegeben. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise umfasst in einigen Ausführungsformen die Leistungsgateschaltung 100 die leitfähigen Segmente 509 bis 510, die Gates 606 bis 608, und die Durchkontaktierungen VD1 und VD3 nicht. In einigen alternativen Ausführungsformen umfasst die integrierte Schaltung 10 ferner eine andere leitfähige Leitung, die bezüglich der leitfähigen Leitung 301 konfiguriert und neben den leitfähigen Leitungen 302 bis 303 angeordnet ist, und die integrierte Schaltung 10 umfasst auch eine Durchkontaktierung, die zwischen einer anderen leitfähigen Leitung und dem leitfähigen Segment 508 gekoppelt ist.
  • Verwiesen wird nun auf 4A. 4A ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung 10 aus 3A entspricht, nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 3C sind gleiche Elemente in 4A für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet.
  • Wie in 4A gezeigt, umfasst die integrierte Schaltung 10 ferner leitfähige Traces 701 bis 706, die leitfähige Struktur 801, und Durchkontaktierungen VD7-VD12, VG1 bis VG5, und VM3 bis VM4. In einigen Ausführungsformen sind die leitfähigen Traces 701 bis 706 in einer vierten Schicht über der dritten Schicht angeordnet. Die leitfähige Struktur 801 ist in einer fünften Schicht über der vierten Schicht angeordnet. Die Durchkontaktierungen VD7 bis VD12 und VG1 bis VG5 sind zwischen der dritten Schicht und der vierten Schicht angeordnet. Die Durchkontaktierungen VM3 bis VM4 sind zwischen der vierten Schicht und der fünften Schicht angeordnet.
  • Zu Illustrationszwecken erstrecken sich die leitfähigen Traces 701 bis 706 in der x-Richtung und sind voneinander getrennt. In einigen Ausführungsformen werden die leitfähigen Traces 701 bis 706 als Metall-Null-Schichten (Mo-Schichten) bezeichnet. Speziell kreuzt das leitfähige Trace 701 die leitfähigen Segmente 501 bis 502, 507 und das Gate 602. Das leitfähige Trace 702 kreuzt die leitfähigen Segmente 502, 507-510 und die Gates 604-607. Das leitfähige Trace 703 kreuzt die leitfähigen Segmente 502, 507 und das Gate 604. Das leitfähige Trace 704 kreuzt die leitfähigen Segmente 505, 507 und das Gate 604. Das leitfähige Trace 705 kreuzt das leitfähige Segment 505 und das Gate 603. Das leitfähige Trace 706 kreuzt die leitfähigen Segmente 505 bis 507 und die Gates 603 bis 604.
  • Die leitfähige Struktur 801 erstreckt sich in der y-Richtung und kreuzt die leitfähigen Traces 702 bis 704.
  • Die Durchkontaktierung VG1 koppelt das leitfähige Trace 705 mit dem Gate 603. Die Durchkontaktierung VD7 koppelt das leitfähige Segment 505 mit der leitfähigen Trace 704. Die Durchkontaktierung VM3 koppelt die leitfähige Trace 704 mit der leitfähigen Struktur 801. Die Durchkontaktierung VM4 koppelt die leitfähigen Struktur 801 mit dem leitfähigen Trace 702. Die Durchkontaktierungen VG2 bis VG5 koppeln das leitfähige Trace 702 mit den Gates 605 bis 607, und 602 separat.
  • Basierend auf den obigen Konfigurationen wird in einigen Ausführungsformen das Signal NSLEEPIN aus 1A durch das Trace 705 und die Durchkontaktierung VG1 in das Gate 603 übertragen, und das Eingangssignal IN aus 1A wird durch das leitfähige Segment 505, die Durchkontaktierung VD7, das leitfähige Trace 704, die Durchkontaktierung VM3, die leitfähigen Struktur 801, die Durchkontaktierung VM4, das leitfähige Trace 702 und die Durchkontaktierungen VG2 bis VG4 an die Gates 605 bis 607 ausgegeben. Dementsprechend werden, wenn der Transistor M1 in Reaktion auf das Eingangssignal IN eingeschaltet wird, ein leitfähiger Kanal zwischen den aktiven Regionen 401a bis 401b, ein anderer leitfähiger Kanal zwischen den aktiven Regionen 401b bis 401c, und der andere leitfähige Kanal zwischen den aktiven Regionen 401c bis 401d erzeugt. Beispielsweise fließt ein Abschnitt eines Stroms, der von der Stromschiene 202 zugeführt wird, über einen Pfad, der die Durchkontaktierung VM1, die leitfähige Leitung 303, die Durchkontaktierung VD2, die aktive Region 401a, den leitfähigen Kanal zwischen den aktiven Regionen 401a bis 401b, die aktive Region 401b, die Durchkontaktierung VD4, die leitfähige Leitung 301 und die Durchkontaktierung VM2 umfasst, an die Stromschiene 201. Ähnlich fließt ein anderer Abschnitt des Stroms über einen anderen Pfad, der die Durchkontaktierung VM1, die leitfähige Leitung 303, die Durchkontaktierung VD1, die aktive Region 401c, einen anderen Kanal zwischen den aktiven Regionen 401b bis 401c, die aktive Region 401b, die Durchkontaktierung VD4, die leitfähige Leitung 301, und die Durchkontaktierung VM2 umfasst, an die Stromschiene 201. Der andere Abschnitt des Stroms fließt durch die Durchkontaktierung VM1, die leitfähige Leitung 303, die Durchkontaktierung VD1, die aktive Region 401c, den leitfähigen Kanal zwischen den aktiven Regionen 401c bis 401d, die aktive Region 401d, die Durchkontaktierung VD3, die leitfähige Leitung 301, und die Durchkontaktierung VM2 an die Stromschiene 201. Anders ausgedrückt, durch die Konfigurationen aus 4A gibt die Stromschiene 201 ferner die Versorgungsspannung VDD aus, die der externen Spannung TVDD entspricht, die von der Stromschiene 202 zugeführt wird.
  • Weiter mit Verweis auf 4A koppeln die Durchkontaktierungen VD7 und VD8 die leitfähigen Segmente 501 und 507 jeweils mit dem leitfähigen Trace 701. Die Durchkontaktierungen VD11 und VD12 koppeln die leitfähigen Segmente 506 und 507 jeweils mit dem leitfähigen Trace 706. Dementsprechend sind in einigen Ausführungsformen das leitfähige Segment 501, das dem zweiten Terminal des Transistors M4 entspricht, und das leitfähige Segment 506, das dem zweiten Terminal des Transistors M4 entspricht, mit der externen Spannung TVDD gekoppelt.
  • Die Durchkontaktierung VD8 koppelt das leitfähige Segment 502 mit der leitfähigen Trace 703. In einigen Ausführungsformen wird das Signal NSLEEPOUT aus 1A von dem leitfähigen Segment 502 ausgegeben, das den ersten Terminals der Transistoren M4 bis M5 entspricht.
  • In einigen Ausführungsformen empfängt die leitfähige Leitung 302 eine Spannung, d. h. VSS für die integrierte Schaltung 10. Zur Illustration sind, wie in 4A gezeigt ist, die leitfähigen Segmente 503 bis 504, die den zweiten Terminals der Transistoren M3 und M5 entsprechen, mit der leitfähigen Leitung 302 durch die Durchkontaktierungen VD5 bis VD6 gekoppelt, um die Spannung VSS zu empfangen.
  • Verwiesen wird nun auf 4B. 4B ist eine Querschnittsansicht eines Abschnitts der integrierten Schaltung 10 aus 4A entlang Line CC' nach verschiedenen Ausführungsformen. Zu Illustrationszwecken ist das leitfähige Trace 702 mit dem Gate 606 durch die Durchkontaktierung VG3 gekoppelt. Das Gate 606 deckt den aktiven Bereich 401 ab.
  • In einigen Ansätzen sind Stromschienen, die den Stromschienen 201 bis 202 entsprechen, in einer Schicht über einer Schaltung angeordnet, beispielsweise der Leistungsgateschaltung 100 und/oder der Zellschaltung zum Rechnen. Anders ausgedrückt belegen die Stromschienen eines vorderseitigen Stromverteilernetzwerks die vorderseitigen Routingressourcen in diesen Ansätzen und induzieren einen negativen Effekt bei der Abskalierung von Größen integrierter Schaltungen.
  • Mit den Konfigurationen dieser Offenbarung sind die Stromschienen 201 bis 202 unter der Leistungsgateschaltung 100 (und/oder der Schaltung zum Rechnen) angeordnet, um eine externe Spannung einzugeben und die Versorgungsspannung auszugeben. Dementsprechend werden in dem Stromverteilernetzwerk wie durch die Stromschienen 201 bis 202 umgesetzt die vorderseitigen Routingressourcen maximiert und selbst die Leistungs-IR-Abfallauswirkung, die durch die Stromschienen induziert wird, wird minimiert.
  • Die Konfigurationen aus 4A bis 4B sind zu illustrativen Zwecken bereitgestellt. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise ist in einigen Ausführungsformen eine andere Stromschiene, die bezüglich der Stromschiene 201 konfiguriert ist, neben der Stromschiene 202 angeordnet und mit der leitfähigen Leitung 301 gekoppelt. Eine andere Stromschiene gibt die Spannung VDD zusammen mit der Stromschiene 201 aus.
  • Verwiesen wird nun auf 5A. 5A ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung 10 aus 1B entspricht, nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 4B sind gleiche Elemente in 5A für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet. Die spezifischen Funktionen ähnlicher Elemente, die bereits ausführlich in den obigen Absätzen erklärt wurden, sind hierin um der Kürze Willen weggelassen, sofern es nicht notwendig ist, die Beziehung des Zusammenwirkens mit den Elementen aus 5A einzuführen.
  • Im Vergleich mit 4A umfasst die integrierte Schaltung 10 ferner Stromschienen 203 bis 204, leitfähige Leitungen 304 bis 305, aktive Bereiche 406 bis 409, leitfähige Segmente 511 bis 515, Gates 609 bis 613 und Durchkontaktierungen VD13 bis VD18, VG6 bis VG8 und VM5 bis VM8. In einigen Ausführungsformen sind die Stromschienen 203 bis 204 beispielsweise die Stromschienen 202 bzw. 201 betreffend konfiguriert. Die Stromschienen 201 und 204 werden als ein Paar Stromschienen bezeichnet und die Stromschienen 202 bis 203 werden als ein anderes Paar Stromschienen bezeichnet. Die leitfähigen Leitungen 304 bis 305 sind beispielsweise bezüglich der leitfähigen Leitungen 302 bzw. 305 konfiguriert. Die aktiven Bereiche 406 bis 409 sind beispielsweise bezüglich der aktiven Bereiche 402 bis 405 separat konfiguriert. Die leitfähigen Segmente 511 bis 515 sind beispielsweise bezüglich des leitfähigen Segments 510 konfiguriert. Die Gates 609 bis 613 sind beispielsweise bezüglich des Gates 608 konfiguriert. Die Durchkontaktierungen VD13 bis VD16 sind beispielsweise bezüglich der Durchkontaktierung VD3 konfiguriert. Die Durchkontaktierungen VD17 bis VD18 sind beispielsweise bezüglich der Durchkontaktierung VD2 konfiguriert. Die Durchkontaktierungen VG6 bis VG8 sind beispielsweise bezüglich der Durchkontaktierung VG4 konfiguriert. Die Durchkontaktierungen VM5 bis VM8 sind beispielsweise bezüglich der Durchkontaktierung VM1 konfiguriert.
  • In einigen Ausführungsformen entsprechen die leitfähigen Segmente 511 und 513 zusammen der Source des Transistors M1, und die leitfähigen Segmente 510 und 512 zusammen entsprechen einem Drain des Transistors M1. Die Gates 608 bis 610 zusammen entsprechen dem Gate des Transistors M1. In einigen Ausführungsformen werden die Gates 611 bis 613 als Dummygates bezeichnet.
  • Zu Illustrationszwecken erstrecken sich die Stromschienen 203 bis 204 in der y-Richtung und sind voneinander in der x-Richtung getrennt. In einigen Ausführungsformen geben die Stromschienen 202 bis 203 die externe Spannung TVDD an die Leistungsgateschaltung 100 aus, und die Stromschienen 201 und 204 geben die Versorgungsspannung VDD von der Leistungsgateschaltung 100 aus. In einigen Ausführungsformen werden die Stromschienen 203 bis 204 als Metall-Minus-Zwei-Schichten (M-2-Schichten) bezeichnet.
  • Die leitfähigen Leitungen 302 bis 304 sind in derselben Zeile angeordnet. Die leitfähige Leitung 303 kreuzt ferner die Stromschiene 203 und die leitfähige Leitung 304 kreuzt die Stromschiene 204. Die leitfähige Leitung 305 ist neben den leitfähigen Leitungen 302 bis 304 angeordnet und erstreckt sich in der x-Richtung. Die leitfähige Leitung 305 kreuzt die Stromschienen 201 bis 204 in der Layoutansicht. In einigen Ausführungsformen werden die leitfähigen Leitungen 304 bis 305 als Metall-Minus-Eins-Schichten (M-1-Schichten) bezeichnet.
  • Im Vergleich mit 3A umfasst der aktive Bereich 401 ferner die aktiven Regionen 401c bis 401g wie in 5A dargestellt.
  • Zu Illustrationszwecken erstrecken sich die aktiven Bereiche 406 bis 409 in der x-Richtung. Die aktiven Bereiche 406 bis 409 sind voneinander in der y-Richtung getrennt. Der aktive Bereich 406 überlappt die leitfähige Leitung 301. Die aktiven Bereiche 407 bis 408 überlappen die leitfähigen Leitungen 303 bis 304. Der aktive Bereich 409 überlappt die leitfähige Leitung 305.
  • In einigen Ausführungsformen sind die aktiven Bereiche 406 und 409 mit P-Dotiermittel dotiert, wie etwa Bor, Indium, Aluminium, Gallium oder einer Kombination daraus. Die aktiven Bereiche 407 bis 408 sind mit N-Dotiermitteln dotiert, wie etwa Phosphor, Arsen oder einer Kombination daraus.
  • Die Gates 609 bis 613 erstrecken sich in der y-Richtung und sind voneinander in der x-Richtung getrennt. Wie in 5A dargestellt, kreuzen die Gates 608 bis 610 den aktiven Bereich 401. Das Gate 611 ist zwischen dem aktiven Bereich 401 und den aktiven Bereichen 406 bis 409 angeordnet. Das Gate 612 kreuzt die aktiven Bereiche 406 bis 409.
  • Die Durchkontaktierung VM5 koppelt die Stromschiene 203 mit der leitfähigen Leitung 303. Die Durchkontaktierung VM6 koppelt die Stromschiene 201 mit der leitfähigen Leitung 305. Die Durchkontaktierungen VM7 bis VM8 koppeln die Stromschiene 204 mit den leitfähigen Leitungen 301 bzw. 305. Die Durchkontaktierungen VD13, VD14 und VD16 koppeln den aktiven Bereich 401 mit der leitfähigen Leitung 305. Die Durchkontaktierung VD 15 koppelt den aktiven Bereich 401 mit der leitfähigen Leitung 301. Die Durchkontaktierungen VD17 bis VD18 koppeln die leitfähige Leitung 303 mit dem aktiven Bereich 401.
  • Im Vergleich mit 4A ist das leitfähige Trace 702 ferner durch die Durchkontaktierung VG6 bis VG8 mit den Gates 608 bis 610 gekoppelt.
  • Verwiesen wird nun auf 5B. 5B ist eine Querschnittsansicht eines Abschnitts der integrierten Schaltung 10 aus 5A entlang Line BB' nach verschiedenen Ausführungsformen. Im Vergleich mit 3C ist die Durchkontaktierung VD13 zwischen dem aktiven Bereich 401 und der leitfähigen Leitung 405 angeordnet und gekoppelt.
  • Verwiesen wird nun auf 6. 6 ist ein schematisches Operationsdiagramm, das einem Abschnitt der integrierten Schaltung 10 aus 5A entspricht, nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 5B sind gleiche Elemente in 6 für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet. Um der Einfachheit Willen, sind die Metallschichten, die Metall-Null-Schichten und Metall-Eins-Schichten entsprechen, und einige Durchkontaktierungen in 6 nicht dargestellt.
  • In einigen Ausführungsformen, wenn der Transistor M1 in Reaktion auf das Eingangssignal IN eingeschaltet wird, werden ein leitfähiger Kanal zwischen den aktiven Regionen 401a bis 401b, ein anderer leitfähiger Kanal zwischen den aktiven Regionen 401c bis 401d, noch ein anderer leitfähiger Kanal zwischen den aktiven Regionen 401d bis 401e, und der andere leitfähige Kanal zwischen den aktiven Regionen 401f bis 401g erzeugt.
  • Zu Illustrationszwecken zeigen die Pfeile in 6 Ströme an, die durch Elemente in der Leistungsgateschaltung 100 fließen. In einigen Ausführungsformen fließt ein Abschnitt eines Stroms, der von der Stromschiene 202 zugeführt wird, über einen Pfad, der die Durchkontaktierung VM1, die leitfähige Leitung 303, die Durchkontaktierung VD2, die aktive Region 401a, den leitfähigen Kanal zwischen den aktiven Regionen 401a bis 401b, die aktive Region 401b, die Durchkontaktierungen VD4 und VD13, die leitfähigen Leitungen 301 und 305, und die Durchkontaktierungen VM2 und VM6 umfasst, an die Stromschiene 201, und fließt außerdem durch die leitfähigen Leitungen 301 und 305 und die Durchkontaktierungen VM7 bis VM8 an die Stromschiene 204.
  • Ähnlich fließt der andere Abschnitt des Stroms, der von der Stromschiene 202 zugeführt wird, über den anderen Pfad, der die Durchkontaktierung VM1, die leitfähige Leitung 303, die Durchkontaktierung VD1, die aktive Region 401c, einen anderen Kanal zwischen den aktiven Regionen 401c bis 401d, die aktive Region 401d, die Durchkontaktierungen VD3 und VD14, die leitfähigen Leitungen 301 und 305, die Durchkontaktierungen VM2 und VM6 umfasst, an die Stromschiene 201, und fließt außerdem durch die leitfähigen Leitungen 301 und 305 und die Durchkontaktierungen VM7 bis VM8 an die Stromschiene 204.
  • Ein Abschnitt eines Stroms, der von der Stromschiene 203 zugeführt wird, durch die Durchkontaktierung VM5, die leitfähige Leitung 303, die Durchkontaktierung VD17, die aktive Region 401e, den leitfähigen Kanal zwischen den aktiven Regionen 401d bis 401e, die aktive Region 401d, die Durchkontaktierungen VD3 und VD14, die leitfähigen Leitungen 301 und 305, die Durchkontaktierungen VM2 und VM6 umfasst, an die Stromschiene 201, und fließt außerdem durch die leitfähigen Leitungen 301 und 305 und die Durchkontaktierungen VM7 bis VM8 an die Stromschiene 204.
  • Der andere Abschnitt des Stroms, der von der Stromschiene 203 zugeführt wird, über die Durchkontaktierung VM5, die leitfähige Leitung 303, die Durchkontaktierung VD18, die aktive Region 401g, den leitfähigen Kanal zwischen den aktiven Regionen 401f bis 401g, die aktive Region 401f, die Durchkontaktierungen VD15 bis VD16, die leitfähigen Leitungen 301 und 305, und die Durchkontaktierungen VM2 und VM6 umfasst, an die Stromschiene 201, und fließt außerdem durch die leitfähigen Leitungen 301 und 305 und die Durchkontaktierungen VM7 bis VM8 an die Stromschiene 204.
  • Basierend auf den obigen Konfigurationen aus 6 gibt das paar Stromschienen 201 und 204 die Versorgungsspannung VDD aus, die der externen Spannung TVDD entspricht, die von dem Paar Stromschienen 202 bis 203 zugeführt wird.
  • Die Konfigurationen aus 6 sind zu illustrativen Zwecken angegeben. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise wird in einigen Ausführungsformen ein leitfähiger Kanal zwischen den aktiven Regionen 401b bis 401c erzeugt, um den Strom, der von der Stromschiene 202 zugeführt wird, zu übertragen. Ein anderer leitfähiger Kanal wird zwischen den aktiven Regionen 401e bis 401f erzeugt, um den Strom, der von der Stromschiene 203 zugeführt wird, zu übertragen. In alternativen Ausführungsformen sind die aktiven Bereiche 406 bis 409 nicht in der Leistungsgateschaltung 100 umfasst.
  • Verwiesen wird nun auf 7. 7 ist ein Layoutdiagramm in einer Draufsicht, die dem Abschnitt der integrierten Schaltung 10 aus 2B entspricht, nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 6 sind gleiche Elemente in 7 für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet.
  • Im Vergleich mit 5A sind, statt dass die aktiven Bereiche 401, 402, 405 bis 406, und 409 P-dotierte Bereiche sind und die aktiven Bereiche 403 bis 404, und 407 bis 408 N-dotierte Bereiche sind, die aktiven Bereiche 401, 402, 405 bis 406, und 409 der Leistungsgateschaltung 200 in der integrierten Schaltung 10 N-dotierte Bereiche, und die aktiven Bereiche 403 bis 404, und 407 bis 408 sind P-dotierte Bereiche.
  • In einigen Ausführungsformen ist mit Verweis auf 2B und 7 zusammen, der aktive Bereich 401 in der Bildung des Transistors M6 konfiguriert und der aktive Bereiche 402 bis 405 sind in der Bildung der Transistoren M5, M4, M2, und M3 separat konfiguriert. Das leitfähige Segment 501 entspricht dem zweiten Terminal des Transistors M5, und das leitfähige Segment 502 entspricht den ersten Terminals der Transistoren M4 bis M5. Das Gate 602 entspricht den Gates der Transistoren M4 bis M5. Das leitfähige Segment 503 entspricht dem zweiten Terminal des Transistors M4. Das leitfähige Segment 504 entspricht dem zweiten Terminal des Transistors M2, und das leitfähige Segment 505 entspricht den ersten Terminals der Transistoren M2 bis M3. Das Gate 603 entspricht den Gates der Transistoren M2 bis M3. Das leitfähige Segment 506 entspricht dem zweiten Terminal des Transistors M3. Die leitfähigen Segmente 507, 509, 511 und 513 zusammen entsprechen dem Drain des Transistors M6, und die leitfähigen Segmente 508, 510 und 512 zusammen entsprechen einer Source des Transistors M6. Die Gates 605 bis 610 zusammen entsprechen dem Gate des Transistors M6. Wenn die leitfähigen Segmenten 507, 509, 511 und 513 an den Drain des Transistors M6 gekoppelt sind, die leitfähigen Segmente 508, 510 und 512 an die Source des Transistors M6 gekoppelt sind und die Gates 605 bis 610 zusammen gekoppelt sind, um das Gate des Transistors M6 zu bilden, kann der Transistor M6 in einer Parallelkonfiguration nach einigen Ausführungsformen basierend auf diesen Elementen gebildet werden. In einigen Ausführungsformen werden die Gates 601, 604 und 613 als Dummygates bezeichnet.
  • Die Konfigurationen der Leistungsgateschaltung 200 sind ähnlich wie die Leistungsgateschaltung 100 aus 5A. Weiterhin gibt, statt die externe Spannung TVDD wie in 5A gezeigt, einzugeben, das Paar Stromschienen 202 bis 203 die externe Spannung TVSS an die Leistungsgateschaltung 200 ein. Dementsprechend empfängt, wenn der Transistor M6 in Reaktion auf das Eingangssignal IN eingeschaltet wird, die Leistungsgateschaltung 200 die externe Spannung TVSS durch das Paar Stromschienen 202 bis 203 und gibt die Versorgungsspannung VSS durch das Paar Stromschienen 201 und 204 aus.
  • In einigen Ausführungsformen empfangen, statt dass die leitfähigen Leitungen 302 und 304 die Spannung VSS für die integrierte Schaltung 10 empfangen, die leitfähigen Leitungen 302 und 304 eine Spannung, d. h. VDD, und entsprechend sind die leitfähigen Segmente 503 bis 504, die den zweiten Terminals der Transistoren M2 und M4 entsprechen, mit der leitfähigen Leitung 302 durch die Durchkontaktierungen VD5 bis VD6 gekoppelt, um die Spannung VDD zu empfangen.
  • Verwiesen wird nun auf 8. 8 ist ein Layoutdiagramm in einer Draufsicht eines Abschnitts einer integrierten Schaltung 80 nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 7 sind gleiche Elemente in 8 für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet. Die spezifischen Funktionen ähnlicher Elemente, die bereits ausführlich in den obigen Absätzen erklärt wurden, sind hierin um der Kürze Willen weggelassen, sofern es nicht notwendig ist, die Beziehung des Zusammenwirkens mit den Elementen aus 8 einzuführen.
  • Wie illustrativ in 8 gezeigt ist, umfasst die integrierte Schaltung 80 Stromschienen 211 bis 218, leitfähige Leitungen 311 bis 315, und 320-329, und Leistungsgateschaltungen 100A bis 100D. Die Stromschienen 211 bis 218 sind in einer ersten Schicht angeordnet und beispielsweise bezüglich der Stromschienen 201 bis 202 aus 4A konfiguriert. Die leitfähigen Leitungen 311 bis 315 sind in einer zweiten Schicht über der ersten Schicht angeordnet und beispielsweise bezüglich der leitfähigen Leitungen 301 aus 4A konfiguriert. Die leitfähigen Leitungen 320 bis 329 sind in der zweiten Schicht angeordnet und beispielsweise bezüglich der leitfähigen Leitungen 302 bis 303 aus 4A konfiguriert. Die Leistungsgateschaltungen 100A bis 100D sind in einer dritten Schicht über der zweiten Schicht angeordnet und beispielsweise bezüglich der Leistungsgateschaltung 100 aus 4A konfiguriert. Die Durchkontaktierungen sind zwischen der ersten und der zweiten Schicht angeordnet und beispielsweise bezüglich der Durchkontaktierung VM1 aus 4A konfiguriert. Um der Einfachheit Willen, sind Metallschichten, die der Metall-Null- und Metall-Eins-Schicht entsprechen, und die entsprechenden Durchkontaktierungen in 8 nicht dargestellt.
  • Zu Illustrationszwecken erstrecken sich die Stromschienen 211 bis 218 in der y-Richtung und sind voneinander in der x-Richtung getrennt. In einigen Ausführungsformen empfangen die Stromschienen 212 und 217 die externe Spannung TVDD. Die Stromschienen 214-215 empfangen die Spannung VSS. Die Stromschienen 211, 213, 216 und 218 sind konfiguriert, die Versorgungsspannung VDD der externen Spannung TVDD entsprechend auszugeben.
  • Die leitfähigen Leitungen 311 bis 315 und 320 bis 329 erstrecken sich in der x-Richtung. Wie in 8 gezeigt ist, sind die leitfähigen Leitungen 320 bis 324 in derselben Zeile angeordnet und zwischen den leitfähigen Leitungen 311 bis 312 eingesetzt. Die leitfähigen Leitungen 325 bis 329 sind in derselben Zeile angeordnet und zwischen die leitfähigen Leitungen 314 bis 315 eingesetzt. Die leitfähigen Leitungen 311 bis 315 kreuzen die Stromschienen 211 bis 218. Die leitfähige Leitung 320 und 325 überlappen die Stromschiene 211. Die leitfähige Leitung 321 überlappt die Stromschienen 211 bis 213. Die leitfähigen Leitungen 322 und 327 überlappen die Stromschienen 213 bis 216. Die leitfähigen Leitungen 323 und 328 überlappen die Stromschiene 217. Die leitfähigen Leitungen 324 und 329 überlappen die Stromschiene 218.
  • Die leitfähigen Leitungen 311 bis 312, und 314-315 koppeln sich durch Durchkontaktierungen an die Stromschienen 211, 213, 216, und 218. Die leitfähigen Leitungen 313, 322 und 327 koppeln sich durch Durchkontaktierungen an die Stromschienen 214 bis 215. Die leitfähigen Leitungen 321 und 326 koppeln sich durch Durchkontaktierungen an die Stromschiene 212, und die leitfähigen Leitungen 323 und 328 koppeln sich durch Durchkontaktierungen an die Stromschiene 217. In einigen Ausführungsformen sind die leitfähigen Leitungen 320, 324, 325 und 329 mit der Spannung VSS gekoppelt.
  • Die Leistungsgateschaltung 100A ist mit der Stromschiene 212 durch die leitfähige Leitung 321 und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 311 bis 312 und Durchkontaktierungen an die Stromschiene 211 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 100A mit der leitfähigen Leitung 320 gekoppelt. Ähnlich ist die Leistungsgateschaltung 100A mit der Stromschiene 212 durch die leitfähige Leitung 326 und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 314 bis 315 und Durchkontaktierungen an die Stromschiene 211 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 100B mit der leitfähigen Leitung 325 gekoppelt. Die Leistungsgateschaltung 100C ist mit der Stromschiene 217 durch die leitfähige Leitung 323 und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 311 bis 312 und Durchkontaktierungen an die Stromschiene 216 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 100C mit der leitfähigen Leitung 322 gekoppelt. Die Leistungsgateschaltung 100D ist mit der Stromschiene 217 durch die leitfähige Leitung 328 und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 314 bis 315 und Durchkontaktierungen an die Stromschiene 216 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 100D mit der leitfähigen Leitung 327 gekoppelt.
  • In einigen Ausführungsformen ist die leitfähige Leitung, die eine Leistungsgateschaltung mit einer Stromschiene koppelt, die eine externe Spannung aufweist, d. h. die leitfähigen Leitungen 321, 323, 326 und 328, kürzer als die leitfähige Leitung, die die Leistungsgateschaltung mit einer Stromschiene koppelt, die eine Versorgungsspannung ausgibt, d. h. die leitfähigen Leitungen 311 bis 312, und 314 bis 315.
  • Die Konfigurationen aus 8 sind zu illustrativen Zwecken angegeben. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise ist in einigen Ausführungsformen eine Anzahl einer Stromschiene, d. h. der Stromschiene 212, die die externe Spannung TVDD aufnimmt, mehr als eins, und die Länge der leitfähigen Leitung, die zwischen die Leistungsgateschaltung und die Stromschiene gekoppelt ist, die die externe Spannung empfängt, ist mit der Anzahl der Stromschiene assoziiert. In alternativen Ausführungsformen überlappt die Leistungsgateschaltung 100A die Stromschiene 213. In alternativen Ausführungsformen überlappt die Leistungsgateschaltung 100C, statt die Stromschiene 216 zu überlappen, die Stromschiene 218. In alternativen Ausführungsformen ist die Leistungsgateschaltung 100D mit der leitfähigen Leitung 315 gekoppelt.
  • Verwiesen wird nun auf 9. 9 ist ein Layoutdiagramm in einer Draufsicht des Abschnitts einer integrierten Schaltung 80 nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 8 sind gleiche Elemente in 9 für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet. Die spezifischen Funktionen ähnliche Elemente, die bereits ausführlich in den obigen Absätzen erklärt wurden, sind hierin um der Kürze Willen weggelassen, sofern es nicht notwendig ist, die Beziehung des Zusammenwirkens mit den Elementen einzuführen aus 9.
  • Im Vergleich mit 8 umfasst die integrierte Schaltung 80, statt der Leistungsgateschaltungen 100A bis 100D, die Leistungsgateschaltungen 200A bis 200D in der dritten Schicht. In einigen Ausführungsformen sind die Leistungsgateschaltungen 200A bis 200D beispielsweise bezüglich der Leistungsgateschaltung 200 aus 6 konfiguriert.
  • Statt die externe Spannung TVDD zu empfangen, empfangen die Stromschienen 212 und 217 die externe Spannung TVSS. Die Stromschienen 214-215 empfangen die Spannung VDD. Die Stromschienen 211, 213, 216 und 218 sind konfiguriert, die Versorgungsspannung VSS der externen Spannung TVSS entsprechend auszugeben.
  • In einigen Ausführungsformen sind, statt die Spannung VSS zu empfangen, die leitfähigen Leitungen 320, 324, 325 und 329 mit der Spannung VDD gekoppelt.
  • Die Konfigurationen der Leistungsgateschaltungen 200A bis 200D sind ähnlich wie die der Leistungsgateschaltungen 100A bis 100D aus 8. So sind hier wiederholende Beschreibungen weggelassen.
  • Die Konfigurationen aus 9 sind zu illustrativen Zwecken angegeben. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise umfasst die integrierte Schaltung 80 weniger als vier Leistungsgateschaltungen.
  • Verwiesen wird nun auf 10. 10 ist ein Layoutdiagramm in einer Draufsicht des Abschnitts einer integrierten Schaltung 80 nach verschiedenen Ausführungsformen. Im Vergleich mit den Ausführungsformen aus 1A bis 9 sind gleiche Elemente in 10 für ein einfacheres Verständnis mit denselben Referenzziffern bezeichnet. Die spezifischen Funktionen ähnlicher Elemente, die bereits ausführlich in den obigen Absätzen erklärt wurden, sind hierin um der Kürze Willen weggelassen, sofern es nicht notwendig ist, die Beziehung des Zusammenwirkens mit den Elementen aus 10 einzuführen.
  • Im Vergleich mit 9 empfängt, statt dass die Stromschiene 212 die externe Spannung TVSS empfängt die Stromschiene 212 aus 10 die externe Spannung TVDD.
  • Weiter umfasst, statt die leitfähigen Leitungen 312 und 315 aufzuweisen, die integrierte Schaltung 80 ferner die leitfähigen Leitungen 312a bis 312c und 315a bis 315c. Wie in 10 dargestellt, kreuzt die leitfähige Leitung 320 ferner die Stromschienen 211 bis 216. Die leitfähige Leitung 312a überlappt die Stromschiene 211, die leitfähige Leitung 312b kreuzt die Stromschiene 212, und die leitfähige Leitung 312c überlappt die leitfähigen Leitungen 213 bis 218. Die leitfähige Leitung 325 kreuzt die Stromschienen 211 bis 216. Die leitfähige Leitung 315a überlappt die Stromschiene 211, die leitfähige Leitung 315b kreuzt die Stromschiene 212, und die leitfähige Leitung 315c überlappt die Stromschienen 213 bis 218.
  • Zu Illustrationszwecken ist die leitfähige Leitung 320 durch Durchkontaktierungen an die Stromschienen 214 bis 215 gekoppelt. Die leitfähige Leitung 312b ist durch Durchkontaktierung an die Stromschiene 212 gekoppelt. Die leitfähige Leitung 312c ist durch Durchkontaktierungen an die Stromschienen 216 und 218 gekoppelt. Die leitfähige Leitung 325 ist durch Durchkontaktierungen an die Stromschienen 214 bis 215 gekoppelt. Die leitfähige Leitung 315b ist durch Durchkontaktierung an die Stromschiene 212 gekoppelt. Die leitfähige Leitung 315c ist durch Durchkontaktierungen an die Stromschienen 216 und 218 gekoppelt. In einigen Ausführungsformen sind die leitfähigen Leitungen 324 und 329 an die Spannung VDD gekoppelt, und die leitfähigen Leitungen 312a und 315a sind an die Spannung VSS gekoppelt.
  • Außerdem umfasst im Vergleich mit 9, statt Leistungsgateschaltungen 200A bis 200B aufzuweisen, die integrierte Schaltung 80 ferner die Leistungsgateschaltungen 100A bis 100B aus 8.
  • Die Leistungsgateschaltung 100A ist mit der Stromschiene 212 durch die leitfähige Leitung 312b und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 320 und 313 und Durchkontaktierungen an die Stromschienen 214 bis 215 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 100A mit der leitfähigen Leitung 312a gekoppelt, oder die Leistungsgateschaltung 100A überlappt und ist mit der leitfähigen Leitung 312c gekoppelt, um die Spannung VSS von der Leistungsgateschaltung 200C zu empfangen. Dementsprechend ist die Leistungsgateschaltung 100A konfiguriert, die Versorgungsspannung VDD an die Stromschienen 214 bis 215 auszugeben. Ähnlich ist die Leistungsgateschaltung 100A mit der Stromschiene 212 durch die leitfähige Leitung 315b und Durchkontaktierungen gekoppelt, und durch die leitfähige Leitung 325 und Durchkontaktierungen an die Stromschienen 214 bis 215 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 100B mit der leitfähigen Leitung 315c gekoppelt, um die Spannung VSS von der Leistungsgateschaltung 200D zu empfangen. Dementsprechend ist die Leistungsgateschaltung 100B konfiguriert, die Versorgungsspannung VDD an die Stromschienen 214 bis 215 auszugeben.
  • Die Leistungsgateschaltung 200C ist mit der Stromschiene 217 durch die leitfähige Leitung 323 und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 311, 312c und Durchkontaktierungen an die Stromschiene 216 und 218 gekoppelt. In einigen Ausführungsformen ist die Leistungsgateschaltung 200C mit der leitfähigen Leitung 320 gekoppelt, um die Spannung VDD zu empfangen. Dementsprechend ist die Leistungsgateschaltung 200C konfiguriert, die Versorgungsspannung VSS an die Stromschienen 216 und 218 auszugeben. Ähnlich ist die Leistungsgateschaltung 200D mit der Stromschiene 217 durch die leitfähige Leitung 328 und Durchkontaktierungen gekoppelt, und durch die leitfähigen Leitungen 314, 315c und Durchkontaktierungen an die Stromschiene 218 gekoppelt. In einigen Ausführungsformen überlappt die Leistungsgateschaltung 200D und ist mit der leitfähigen Leitung 325 gekoppelt, um die Spannung VDD zu empfangen. Dementsprechend ist die Leistungsgateschaltung 200D konfiguriert, die Versorgungsspannung VSS an die Stromschiene 218 auszugeben.
  • Die Konfigurationen aus 10 sind zu illustrativen Zwecken angegeben. Verschiedene Umsetzungen liegen im betrachteten Umfang dieser Offenbarung. Beispielsweise überlappt in einigen Ausführungsformen die Leistungsgateschaltung 200D die Stromschiene 216 und ist mit dieser gekoppelt. In verschiedenen Ausführungsformen überlappt die Leistungsgateschaltung 200C die leitfähige Leitung 324, um die Spannung VDD zu empfangen.
  • Verwiesen wird nun auf 11. 11 ist ein Ablaufdiagramm 1100 eines Verfahrens zum Betreiben der integrierten Schaltung 10 oder 80 nach verschiedenen Ausführungsformen. Es versteht sich, dass weitere Operationen vor, während und nach den Prozessen bereitgestellt werden können, die in 11, gezeigt sind, und einige der nachfolgend beschriebenen Operationen können für weitere Ausführungsformen des Verfahrens 1100 ersetzt oder eliminiert werden. Das Verfahren 1100 umfasst Operationen 1110 bis 1140, die nachfolgend mit Verweis auf 4A und 8 beschrieben sind.
  • In Operation 1110 wird das Signal NSLEEPIN durch das leitfähige Trace 801 in einer ersten Schicht jeder der Leistungsgateschaltungen 100A bis 100D aus 8 empfangen.
  • In Operation 1120 erzeugt in Reaktion auf das Signal NSLEEPIN der Umrichter, d. h. 110, in jeder der Leistungsgateschaltungen 100A bis 100D aus 8 das Eingangssignal IN und überträgt das Eingangssignal IN an den Transistor M1 in einer zweiten Schicht unter der ersten Schicht. In einigen Ausführungsformen wird der Transistor M1 in jeder der Leistungsgateschaltungen 100A bis 100D in Reaktion auf das Eingangssignal IN eingeschaltet.
  • In Operation 1130, wenn der Transistor M1 jeder der Leistungsgateschaltungen 100A bis 100D eingeschaltet wird, empfangen die Leistungsgateschaltungen 100A bis 100D die externe Spannung TVDD von den Stromschienen 212 und 217 durch die leitfähigen Leitungen 321, 323, 326 und 328 in einer dritten Schicht unter der zweiten Schicht.
  • In Operation 1140 geben nach dem Empfangen der externen Spannung TVDD, die Leistungsgateschaltungen 100A bis 100B die Versorgungsspannung VDD an die Stromschienen 211, 213, 216 und 218 durch die leitfähigen Leitungen 311, 312, 314 und 315 aus. Die Leistungsgateschaltungen 100C bis 100D geben die Versorgungsspannung VDD an die Stromschienen 211, 213, 216 und 218 durch die leitfähigen Leitungen 311, 312, 314 und 315 aus. In einigen Ausführungsformen sind die Stromschienen 211 bis 218 in einer vierten Schicht unter der dritten Schicht angeordnet.
  • Verwiesen wird nun auf 12. 12 ist ein Ablaufdiagramm 1200 eines Verfahrens zum Herstellen der integrierten Schaltung 10 oder 80 nach verschiedenen Ausführungsformen. Es versteht sich, dass weitere Operationen vor, während und nach den Prozessen bereitgestellt werden können, die in 12, gezeigt sind, und einige der nachfolgend beschriebenen Operationen können für weitere Ausführungsformen des Verfahrens 1200 ersetzt oder eliminiert werden. Das Verfahren 1200 umfasst Operationen 1210 bis 1260, die nachfolgend mit Verweis auf 5A beschrieben sind.
  • In Operation 1210 sind die Stromschienen 201 bis 204 in einer ersten Schicht gebildet. Die Stromschienen 201 bis 204 erstrecken sich in der y-Richtung und sind voneinander in der x-Richtung getrennt. In einigen Ausführungsformen sind die Stromschienen 202 bis 203 mit der externen Spannung, d. h. TVDD oder TVSS, gekoppelt, was durch Pins (nicht dargestellt) erfolgt, die sich unter den Stromschienen 202 bis 203 befinden und damit gekoppelt sind.
  • In Operation 1220 sind die leitfähigen Leitungen 301 bis 305 in einer zweiten Schicht über der ersten Schicht gebildet. Die leitfähigen Leitungen 301 bis 305 erstrecken sich in der x-Richtung. In den Ausführungsformen aus 5A ist die leitfähige Leitung 303 mit den Stromschienen 202 bis 203 gekoppelt und die leitfähigen Leitungen 301 und 305 sind mit den Stromschienen 201 und 204 gekoppelt.
  • In Operation 1230 sind die leitfähigen Segmente 501 bis 513 über mehreren aktiven Bereichen 401 bis 409 in einer dritten Schicht über der zweiten Schicht gekoppelt. Der aktive Bereich 401 ist mit der leitfähigen Leitung 303 durch die Durchkontaktierungen VM1 und VM5 gekoppelt, und mit den leitfähigen Leitungen 301 und 305 durch die Durchkontaktierungen VD3 bis VD4, und VD13 bis 16 gekoppelt.
  • In Operation 1240 sind die Gates 602 bis 612 gebildet, die aktiven Bereiche 401 bis 109 zu kreuzen und zwischen die leitfähigen Segmente 501 bis 515 eingesetzt.
  • In Operation 1250 sind die leitfähigen Traces 701 bis 706 in einer vierten Schicht über der dritten Schicht gebildet. Die leitfähige Traces 701 bis 706 erstrecken sich in der x-Richtung.
  • In Operation 1260 ist die leitfähige Struktur 801 in einer fünften Schicht über der vierten Schicht gebildet. Die leitfähige Struktur 801 erstreckt sich in der y-Richtung und ist mit zwei leitfähigen Traces 702 und 704 gekoppelt.
  • Verwiesen wird nun auf 13. 13 ist ein Blockdiagramm einer elektronischen Designautomatisierungssystems (EDA-System) 1300 zum Entwerfen des integrierten Schaltungslayoutdesigns nach einigen Ausführungsformen dieser Offenbarung. Das EDA-System 1300 ist konfiguriert, eine oder mehrere Operationen der Verfahren 1100 bis 1200 umzusetzen, die in 11 bis 12 offenbart und ferner in Verbindung mit 1A bis 10 erklärt sind. In einigen Ausführungsformen umfasst das EDA-System 1300 ein APR-System.
  • In einigen Ausführungsformen ist das EDA-System 1300 eine Mehrzweckrechnervorrichtung, die einen Hardwareprozessor 1302 und ein nicht transitorisches computerlesbares Speichermedium 1304 umfasst. Das Speichermedium 1304 ist unter anderem mit d. h. Speichern, Computerprogrammcodes (Anweisungen) 1306, d. h. einem Satz ausführbarer Anweisungen codiert. Ausführung von Anweisungen 1306 durch Hardwareprozessor 1302 stellt (mindestens teilweise) ein EDA-Tool dar, das einen Abschnitt oder alles von, z. B., dem Verfahren 1200 umsetzt.
  • Der Prozessor 1302 ist mit dem computerlesbaren Speichermedium 1304 elektrisch über einen Bus 1308 gekoppelt. Der Prozessor 1302 ist außerdem durch den Bus 1308 elektrisch mit einer E/A-Grenzfläche 1310 und einem Herstellungstool 1316 gekoppelt. Eine Netzwerkschnittstelle 1313 ist außerdem elektrisch über Bus 1308 mit dem Prozessor 1302 gekoppelt. Die Netzwerkschnittstelle 1313 ist mit einem Netzwerk 1314 verbunden, sodass Prozessor 1302 und das computerlesbare Speichermedium 1304 in der Lage sind, sich mit externen Elementen über das Netzwerk 1314 zu verbinden. Der Prozessor 1302 ist konfiguriert, Computerprogrammcode 1306 auszuführen, der in dem computerlesbarem Speichermedium 1304 codiert ist, um das EDA-System 1300 zu veranlassen, für die Ausführung eines Abschnitts oder aller der genannten Prozesse und/oder Verfahren verwendbar zu sein. In einer oder mehreren Ausführungsformen ist der Prozessor 1302 eine zentrale Prozessoreinheit (CPU), ein Mehrfachprozessor, ein verteiltes Prozessorsystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einer oder mehr Ausführungsformen ist das computerlesbare Speichermedium 1304 ist ein elektronisches, magnetisches, optisches, elektromagnetisches, infrarotes und/oder ein Halbleitersystem (oder ein solcher Apparat oder eine solche Vorrichtung). Beispielsweise umfasst ein computerlesbares Speichermedium 1304 einen Halbleiter- oder Solid-State-Speicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Read-Only-Speicher (ROM), eine steife Magnetscheibe und/oder eine optische Scheibe. In eine oder mehr Ausführungsformen, die optische Scheiben verwendet, umfasst das computerlesbare Speichermedium 1304 eine „Compact Disk-Read Only Speicher“ (CD-ROM), eine „Compact Disk-Read/Write“ (CD-R/W) und/oder eine „Digital Video Disc“ (DVD).
  • In einer oder mehreren Ausführungsformen speichert das Speichermedium 1304 Computerprogrammcode 1306, der konfiguriert ist, das EDA-System 1300 (wo eine solche Ausführung (zumindest teilweise) das EDA-Tool darstellt) zu veranlassen, zum Ausführen eines Abschnitts oder aller der genannten Prozesse und/oder Verfahren verwendbar zu sein. In einer oder mehr Ausführungsformen speichert das Speichermedium 1304 auch Informationen, die die Durchführung eines Abschnitts oder aller der benannten Prozesse und/oder Verfahren erleichtert. In einer oder mehreren Ausführungsformen speichert das Speichermedium 1304 das IC-Layoutdesigndiagramm 1320 von Standardzellen, das solche Standardzellen umfasst, die hierin offenbart sind, wie beispielsweise Zellen, die Multi-Bit-Flipflop-Schaltungen 31 bis 36, 41 bis 42, 61 bis 63, 71 bis 72, 91 bis 93 und 101 wie oben bezüglich 1A bis 10 beschrieben entsprechen.
  • EDA-System 1300 umfasst die E/A-Schnittstelle 1310. Die E/A-Schnittstelle 1310 ist mit den externen Schaltkreisen gekoppelt. In einer oder mehreren Ausführungsformen umfasst die E/Schnittstelle 1310 eine Tastatur, einen Ziffernblock, eine Maus, einen Trackball, ein Trackpad, einen Touchscreen und/oder Cursorrichtungstasten für die Übermittlung von Informationen und Befehlen an den Prozessor 1302.
  • Das EDA-System 1300 umfasst auch die Netzwerkschnittstelle 1313, die mit dem Prozessor 1302 gekoppelt ist. Die Netzwerkschnittstelle 1313 erlaubt dem EDA-System 1300, mit dem Netzwerk 1314 zu kommunizieren, mit der ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 1313 umfasst Drahtlosnetzwerkschnittstellen wie BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder verkabelte Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-1364. In einer oder mehreren Ausführungsformen sind ein Abschnitt oder alle der genannten Prozesse und/oder Verfahren in zwei oder mehr Systemen 1300 umgesetzt.
  • Das EDA-System 1300 umfasst auch das Herstellungstool 1316, das mit dem Prozessor 1302 gekoppelt ist. Das Herstellungstool 1316 ist konfiguriert, integrierte Schaltungen. z. B. die integrierten Schaltungen 10 und 80, die oben bezüglich 1A bis 10 beschrieben sind, den Designakten entsprechend herzustellen,. die durch den Prozessor 1302 verarbeitet werden.
  • Das EDA-System 1300 ist konfiguriert, Informationen durch die E/A-Grenzfläche 1310 zu empfangen. Die Informationen, die durch die E/A-Grenzfläche 1310 empfangen werden, umfassen eines oder mehr aus Anweisungen, Daten, Designregeln, Bibliotheken von Standardzellen und/oder andere Parameter für die Verarbeitung von Prozessor 1302. Die Information wird an den Prozessor 1302 über den Bus 1308 übertragen. Das EDA-System 1300 ist konfiguriert, Informationen in Verbindung mit einer E/A-Schnittstelle 1310 zu empfangen. Die Information wird in dem Computerlesbaren Medium 1304 als Designvorgabe 1322 gespeichert.
  • In einigen Ausführungsformen sind ein Abschnitt oder alle der genannten Prozesse und/oder Verfahren als eine eigenständige Softwareanweisung für die Ausführung durch einen Prozessor umgesetzt. In einigen Ausführungsformen sind ein Abschnitt oder alle der genannten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, die Teil einer weiteren Softwareanwendung ist. In einigen Ausführungsformen sind ein Abschnitt oder alle der genannten Prozesse und/oder Verfahren als ein Plugin für eine Softwareanwendung umgesetzt. In einigen Ausführungsformen sind mindestens einer/eines der genannten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, die ein Abschnitt eines EDA-Tools ist. In einigen Ausführungsformen werden ein Abschnitt oder alle der genannten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, die durch das EDA-System 1300 verwendet wird. In einigen Ausführungsformen ist ein Layoutdiagramm, das Standardzellen umfasst, unter Verwendung eines geeigneten Layouterzeugungstools erzeugt.
  • In einigen Ausführungsformen sind die Prozesse als Funktionen eines Programms umgesetzt, das in einem nichttransitorischen computerlesbaren Aufnahmemedium gespeichert ist. Beispiele nichttransitorischer computerlesbarer Aufzeichnungsmedien umfassen unter anderem externe/Wechsel- und interne/Eingebaute Speicher- oder Arbeitsspeichereinheiten, beispielsweise eines oder mehrere aus einer optischen Scheibe, wie etwa einer DVD, einer magnetischen Scheibe, wie etwa einer Festplatte, einem Halbleiterspeicher, wie etwa einem ROM, einem RAM, einer Speicherkarte und dergleichen.
  • 14 ist ein Blockdiagramm eines IC-Herstellungssystems 1400 und eines IC-Herstellungsablaufs, der damit assoziiert ist, nach einigen Ausführungsformen. In einigen Ausführungsformen ist basierend auf einem Layoutdiagramm, mindestens eines aus (A) einer oder mehreren Halbleitermasken oder (B) mindestens eine Komponente in einer Schicht einer halbleiterintegrierten Schaltung unter Verwendung des IC-Herstellungssystems 1400 hergestellt.
  • In 14 umfasst das IC-Herstellungssystem 1400 Entitäten, wie etwa ein Designhaus 1420, ein Maskenhaus 1430 und einen IC-Hersteller/Fabrikator („Fab“) 1450, die miteinander in den Design-, Entwicklungs- und Herstellungszyklen und/oder Diensten bezüglich der Herstellung einer IC-Vorrichtung 1460 interagieren. Die Entitäten in dem IC-Herstellungssystem 1400 sind durch ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl verschiedener Netzwerke, wie etwa ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst verkabelte und/oder kabellose Kommunikationskanäle. Jede Entität interagiert mit einer oder mehreren anderen Entitäten und stelle Dienste eine oder mehrere der anderen Entitäten bereit und/oder empfängt Dienste von ihr. In einigen Ausführungsformen befinden sich zwei oder mehr aus dem Designhaus 1420, dem Maskenhaus 1430 und dem IC-Fab 1450 im Besitz einer einzigen Entität. In einigen Ausführungsformen koexistieren zwei oder mehr aus dem Designhaus 1420, dem Maskenhaus 1430 und dem IC-Fab 1450 in einer gemeinsamen Einrichtung und verwenden gemeinsame Ressourcen.
  • Das Designhaus (oder Designteam) 1420 generiert ein IC-Designlayoutdiagramm 1422. Das IC-Designlayoutdiagramm 1422 umfasst verschiedene geometrische Strukturen, wie beispielsweise ein IC-Layoutdesign wie in 3A bis 10 dargestellt, das für eine IC-Vorrichtung 1460 entworfen wurde, beispielsweise integrierte Schaltungen 100 und 700, die oben bezüglich 3A bis 10 beschrieben sind. Die geometrischen Strukturen entsprechen Strukturen aus Metall, Oxid oder Halbleiterschichten, die die verschiedenen Bestandteile der IC-Vorrichtung 1460 darstellen, die hergestellt werden soll. Die verschiedenen Schichten kombinieren sich zum Bilden verschiedener IC-Merkmale. Beispielsweise umfasst ein Abschnitt des IC-Designlayoutdiagramms 1422 verschiedene IC-Merkmale, wie etwa eine aktive Region, eine Gateelektrode, Source und Drain, leitfähige Segmente oder Durchkontaktierungen einer Zwischenschichtverbindung, die in einem Halbleitersubstrat gebildet werden sollen (wie etwa einem Siliziumwafer) und verschiedene Materialschichten, die an dem Halbleitersubstrat abgeschieden sind. Das Designhaus 1420 setzt ein korrektes Verfahren um, um das IC-Designlayoutdiagramm 1422 zu bilden. Das Designverfahren umfasst eines oder mehrere aus Logikdesign, physischem Design oder Ort und Route. Das IC-Designlayoutdiagramm 1422 ist in einer oder mehreren Datendateien dargestellt, die Informationen zu den geometrischen Strukturen aufweisen. Beispielsweise kann das IC-Designlayoutdiagramm 1422 in einem GDSII-Dateiformat oder DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 1430 umfasst die Datenvorbereitung 1432 und Maskenherstellung 1444. Das Maskenhaus 1430 verwendet das IC-Designlayoutdiagramm 1422 zur Herstellung einer oder mehrerer Masken 1445, die zur Herstellung der verschiedenen Schichten der IC-Vorrichtung 1460 nach dem IC-Designlayoutdiagramm 1422 verwendet werden sollen. Das Maskenhaus 1430 führt eine Maskendatenvorbereitung 1432 durch, wobei das IC-Designlayoutdiagramm 1422 in eine repräsentative Datendatei („RDF“) übersetzt wird. Die Maskendatenvorbereitung 1432 stellt die RDF für die Maskenherstellung 1444 bereit. Die Maskenherstellung 1444 umfasst einen Maskenschreiber. Ein Maskenschreiber konvertiert die RDF in ein Bild auf einem Substrat, wie etwa einer Maske (Strichplatte) 1445 oder einem Halbleiterwafer 1453. Das IC-Designlayoutdiagramm 1422 wird durch Maskendatenvorbereitung 1432 manipuliert, bestimmte Eigenschaften des Maskenschreibers und/oder Anforderungen des IC-Fab 1450 zu erfüllen. In 14 sind die Datenvorbereitung 1432 und Maskenherstellung 1444 als separate Elemente illustriert. In einigen Ausführungsformen können die Datenvorbereitung 1432 und Maskenherstellung 1444 kollektiv als Maskendatenvorbereitung bezeichnet werden.
  • In einigen Ausführungsformen umfasst die Datenvorbereitung 1432 optische Nähenkorrektur (OPC), die Lithographieverbesserungstechniken verwendet, um Bildfehler auszugleichen, wie etwa solche, die aus Diffraktion, Störung, anderen Prozesseffekten und dergleichen entstehen können. OPC passt das IC-Designlayoutdiagramm 1422 an. In einigen Ausführungsformen umfasst die Datenvorbereitung 1432 weitere Auflösungsverbesserungstechniken (RET), wie etwa achsenverschobene Beleuchtung, Unterauflösungs-Assist-Merkmale, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen daraus. In einigen Ausführungsformen wird auch die invertierte Lithographietechnologie (ILT) verwendet, die OPC als ein invertiertes Bildgebungsproblem behandelt.
  • In einigen Ausführungsformen umfasst die Datenvorbereitung 1432 einen Maskenregelprüfer (MRC), der das IC-Designlayoutdiagramm 1422 prüft, das den Prozessen in OPC mit einem Satz von Maskenerstellungsregeln unterzogen wurde, die bestimmte geometrische und/oder Konnektivitätseinschränkungen umfassen, um ausreichende Margen sicherzustellen, um Variabilität der Halbleiterherstellungsprozesse und dergleichen in Betracht zu ziehen. In einigen Ausführungsformen modifiziert der MRC das IC-Designlayoutdiagramm 1422 zum Kompensieren von Einschränkungen während der Maskenherstellung 1444, die einen Teil der Änderungen rückgängig machen können, die durch den OPC durchgeführt wurden, um die Maskenerstellungsregeln zu erfüllen.
  • In einigen Ausführungsformen umfasst die Datenvorbereitung 1432 die Lithographieprozessprüfung (LPC), die eine Verarbeitung simuliert, die durch IC-Fab 1450 umgesetzt wird, um die IC-Vorrichtung 1460 herzustellen. LPC simuliert diese Verarbeitung basierend auf dem IC-Designlayoutdiagramm 1422 zum Erstellen einer simulierten hergestellten Vorrichtung, wie etwa einer IC-Vorrichtung 1460. Die Verarbeitungsparameter in der LPC-Simulation können Parameter umfassen, die mit verschiedenen Prozessen des IC-Herstellungszyklus assoziiert sind, Parameter, die mit Tools für die Herstellung des IC, und/oder anderen Aspekten des Herstellungsprozesses assoziiert sind. LPC zieht verschiedene Faktoren in Betracht, wie etwa Luftbildkontrast, Fokustiefe („DOF“), Maskenfehlerverbesserungsfaktor („MEEF“), andere geeignete Faktoren und dergleichen oder Kombinationen daraus. In einigen Ausführungsformen werden, wenn die simulierte hergestellte Vorrichtung mit LPC erzeugt wurde, wenn die simulierte Vorrichtung in der Form nicht nahe genug ist, um die Designregeln zufriedenzustellen, OPC und/oder MRC wiederholt, um das IC-Designlayoutdiagramm 1422 ferner zu verfeinern.
  • Es sollte verstanden werden, dass die obige Beschreibung der Datenerstellung 1432 zum Zweck der Klarheit vereinfacht wurde. In einigen Ausführungsformen umfasst die Datenerstellung 1432 weitere Merkmale wie etwa eine Logikoperation (LOP) zum Ändern des IC-Designlayoutdiagramms 1422 nach Herstellungsregeln. Weiterhin können die Prozesse, die während Datenvorbereitung 1432 auf das IC-Designlayoutdiagramm 1422 angewendet werden, in einer Vielzahl verschiedener Reihenfolgen ausgeführt werden.
  • Nach der Datenvorbereitung 1432 und während der Maskenherstellung 1444 wird eine Maske 1445 oder eine Gruppe Masken 1445 auf dem geänderten IC-Designlayoutdiagramm 1422 basierend hergestellt. In einigen Ausführungsformen umfasst die Maskenherstellung 1444 das Durchführen einer oder mehrerer lithografischer Belichtungen basierend auf dem IC-Designlayoutdiagramm 1422. In einigen Ausführungsformen wird ein Elektronenstrahl (e-Strahl) oder ein Mechanismus mehrerer e-Strahlen verwendet, um eine Struktur auf einer Maske (Photomaske oder Strichplatte) 1445 basierend auf dem geänderten IC-Designlayoutdiagramm 1422 zu bilden. Die Maske 1445 kann in verschiedenen Technologien gebildet werden. In einigen Ausführungsformen wird die Maske 1445 unter Verwendung von binärer Technologie gebildet. In einigen Ausführungsformen umfasst eine Maskenstruktur opake Regionen und transparente Regionen. Ein Strahlungsstrahl, wie etwa ein ultravioletter (UV) Strahl, der verwendet wird, um die bildsensible Materialschicht offenzulegen (wie etwa Photoresist), mit der der Wafer beschichtet wurde, wird durch die opake Region blockiert und überträgt sich durch die transparenten Regionen. In einem Beispiel umfasst eine Binärmaskenversion der Maske 1445 ein transparentes Substrat (beispielsweise verschmolzenes Quarz) und ein opakes Material (beispielsweise Chrom), das in den opaken Regionen der Binärmaske beschichtet wird. In einem anderen Beispiel wird die Maske 1445 unter Verwendung von Phasenverschiebungstechnologie gebildet. In einer Phasenverschiebungsmaskenversion (PSM-Version) der Maske 1445 sind verschiedene Merkmale in der Struktur, die auf der Phasenverschiebungsmaske gebildet sind, konfiguriert, eine korrekte Phasendifferenz aufzuweisen, um die Auflösung und Bildqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine angeglichene PSM oder eine abwechselnde PSM sein. Die Maske(n), die durch die Maskenherstellung 1444 erzeugt wird, wird in einer Vielzahl von Prozessen verwendet. Beispielsweise werden eine oder mehrere solche Masken in einem Ionenimplantierungsprozess verwendet, um verschiedene dotierte Regionen in einem Halbleiterwafer 1453 zu bilden, in einem Ätzprozess, um verschiedene Ätzregionen in einem Halbleiterwafer 1453 zu bilden, und/oder in anderen geeigneten Prozessen.
  • Der IC-Fab 1450 umfasst die Waferherstellung 1452. IC-Fab 1450 ist ein IC-Herstellungsunternehmen, das eine oder mehr Herstellungseinrichtungen für die Herstellung einer Vielzahl verschiedener IC-Produkte umfasst. In einigen Ausführungsformen ist der IC-Fab 1450 eine Halbleitergießerei. Beispielsweise kann es eine Herstellungseinrichtung für die Frontend-Herstellung mehrerer IC-Produkte („Front-End-of-Line“- (FEOL) Herstellung), geben, während eine zweite Herstellungseinrichtung die Backend-Herstellung für die Zwischenverbindung und Verpackung der IC-Produkte bereitstellt („Back-End-of-Line“- (BEOL) Herstellung), und eine dritte Herstellungseinrichtung kann andere Dienste für das Gießereigeschäft bereitstellen.
  • Der IC-Fab 1450 verwendet eine oder mehrere Masken 1445, die durch das Maskenhaus 1430 hergestellt wurden, zum Herstellen der IC-Vorrichtung 1460. So verwendet der IC-Fab 1450 zumindest indirekt das IC-Designlayoutdiagramm 1422 zum Herstellen der IC-Vorrichtung 1460. In einigen Ausführungsformen wird der Halbleiterwafer 1453 durch den IC-Fab 1450 unter Verwendung einer oder mehrerer Masken 1445 hergestellt, um die IC-Vorrichtung 1460 zu bilden. In einigen Ausführungsformen umfasst die IC-Herstellung das Durchführen einer oder mehrerer lithographischen Belichtungen zumindest indirekt basierend auf dem IC-Designlayoutdiagramm 1422. Der Halbleiterwafer 1453 umfasst a ein Siliziumsubstrat oder ein anderes ordentliches Substrat, das Materialschichten aufweist, die darauf gebildet sind. Der Halbleiterwafer 1453 umfasst ferner eine oder mehrere verschiedene dotierter Regionen, dielektrische Merkmale, mehrlagige Zwischenverbindungen und dergleichen (gebildet in aufeinanderfolgenden Herstellungsschritten).
  • Wie oben beschrieben, umfasst eine integrierte Schaltung dieser Offenbarung eine Leistungsgateschaltung, die über einem rückseitigen Stromverteilernetzwerk angeordnet ist. Durch Empfangen und Ausgaben von Spannungen direkt durch Stromschienen, die unter der Leistungsgateschaltung angeordnet sind, werden Metallroutingressourcen an der Vorderseite der integrierten Schaltung für die weitere Verbindung aufgespart. Dementsprechend wird das integrierte Schaltungsdesign machbar.
  • Die Erfindung wird durch den Hauptanspruch und die nebengeordneten Patentansprüche definiert. Weitere Ausführungsformen der Erfindung werden durch die abhängigen Patentansprüche wiedergegeben.

Claims (17)

  1. Integrierte Schaltung (10), aufweisend: ein erstes Paar Stromschienen (202, 203) und ein zweites Paar Stromschienen (201, 204), die in einer ersten Schicht angeordnet sind und sich in einer ersten Richtung (y) erstrecken; mehrere leitfähige Leitungen (301, 302, 303, 304, 305), die in einer zweiten Schicht über der ersten Schicht angeordnet sind, wobei sich die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) in einer zweiten Richtung (x) erstrecken, die sich von der ersten Richtung (y) unterscheidet, und das erste und das zweite Paar Stromschienen (202, 203; 201, 204) kreuzt; einen ersten aktiven Bereich (401), der in einer dritten Schicht über der zweiten Schicht angeordnet ist und sich in der zweiten Richtung (x) erstreckt, wobei der erste aktive Bereich (401) angeordnet ist, das erste Paar Stromschienen (202, 203) in Draufsicht zu überlappen; ein erstes Gate (605, 606, 607, 608, 609, 610), das über dem ersten aktiven Bereich (401) angeordnet ist; und ein leitfähiges Trace (702), das über dem ersten Gate (605, 606, 607, 608, 609, 610),) angeordnet und damit gekoppelt ist, wobei der erste aktive Bereich (401) mit dem ersten Paar Stromschienen (202, 203) durch eine erste Leitung (303) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) und eine erste Gruppe Durchkontaktierungen (VM1, VM5, VD1, VD2, VD17, VD18) gekoppelt ist, und der erste aktive Bereich (401) mit dem zweiten Paar Stromschienen (201, 204) durch mindestens eine zweite Leitung (301, 305) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) und eine zweite Gruppe Durchkontaktierungen (VM2, VM6, VM7, VM8, VD3, VD4, VD13, VD14, VD15, VD16) gekoppelt ist, die sich von der ersten Gruppe Durchkontaktierungen unterscheidet.
  2. Integrierte Schaltung (10) nach Anspruch 1, wobei der erste aktive Bereich (401) und das erste Gate (605, 606, 607, 608, 609, 610) konfiguriert sind, in einem Transistor (M1, M6) umfasst zu sein, und das leitfähige Trace (702) konfiguriert ist, ein Eingangssignal (IN) für das erste Gate (605, 606, 607, 608, 609, 610) zu empfangen.
  3. Integrierte Schaltung (10) nach Anspruch 1 oder 2, ferner aufweisend: ein erstes Paar aktiver Bereiche (402, 403) und ein zweites Paar aktiver Bereiche (404, 405), die sich in der zweiten Richtung (x) erstrecken und voneinander in der ersten Richtung (y) getrennt sind, wobei das erste Paar aktiver Bereiche und das zweite Paar aktiver Bereiche über dem zweiten Paar Stromschienen (201, 204) angeordnet sind; ein zweites Gate (602) und ein drittes Gate (603), die sich in der ersten Richtung (y) erstrecken; und ein erstes leitfähiges Segment (502) und ein zweites leitfähiges Segment (505), die sich in der ersten Richtung (y) erstrecken, wobei das erste leitfähige Segment (502) und das zweite Gate (602) das erste Paar aktiver Bereiche (402, 403) kreuzen, und das zweite leitfähige Segment (505) und das dritte Gate (603) das zweite Paar der aktiven Bereiche (404, 405) kreuzen.
  4. Integrierte Schaltung (10) nach Anspruch 3, wobei einer aus dem ersten Paar aktiver Bereiche (402, 403) und einer aus dem zweiten Paar aktiver Bereiche (404, 405), die zueinander benachbart sind, einen selben Leitfähigkeitstyp des ersten aktiven Bereichs aufweisen.
  5. Integrierte Schaltung (10) nach einem der vorhergehenden Ansprüche, wobei Stromschienen des zweiten Paars Stromschienen (201, 204) an dem ersten Paar Stromschienen (202, 203) gegenüberliegenden Seiten angeordnet sind.
  6. Integrierte Schaltung (10) nach einem der vorhergehenden Ansprüche, wobei die Länge der ersten Leitung (303) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) kürzer ist als die mindestens eine zweite Leitung (301, 305) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305), wobei die erste Leitung (303) zu der mindestens einen zweiten Leitung (301, 305) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) benachbart ist.
  7. Integrierte Schaltung (10) nach einem der vorhergehenden Ansprüche, wobei der erste aktive Bereich (401) aufweist: mehrere erste aktive Regionen (401a, 4010), die mit dem ersten Paar Stromschienen (202, 203) durch die erste Gruppe Durchkontaktierungen (VM1, VM5, VD1, VD2, VD17, VD18) gekoppelt sind; und mehrere zweite aktive Regionen (401b, 401d), die mit dem zweiten Paar Stromschienen (201, 204) durch die zweite Gruppe Durchkontaktierungen (VM2, VM6, VM7, VM8, VD3, VD4, VD13, VD14, VD15, VD16) gekoppelt sind.
  8. Integrierte Schaltung (10) nach einem der vorhergehenden Ansprüche, wobei die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) ferner eine dritte leitfähige Leitung (302, 304) aufweisen, die sich an der ersten Leitung (303) der mehreren leitfähigen Leitungen in der zweiten Richtung (x) ausrichtet und davon getrennt ist.
  9. Integrierte Schaltung (10), aufweisend: einen ersten Transistor (M1, M6), aufweisend: einen ersten aktiven Bereich (401); mehrere erste leitfähige Segmente (508, 510, 512) und mehrere zweite leitfähige Segmente (507, 509, 511, 513), die in einer ersten Schicht und über dem ersten aktiven Bereich angeordnet sind, wobei die mehreren ersten leitfähigen Segmente (508, 510, 512) einer Source des ersten Transistors (M1, M6) entsprechen und die mehreren zweiten leitfähigen Segmente (507, 509, 511, 513) einem Drain des ersten Transistors (M1, M6) entsprechen; und mehrere erste Gates (605, 606, 607, 608, 609, 610), die einem Gate des ersten Transistors (M1, M6) über dem ersten aktiven Bereich (401) entsprechen und zwischen eines der mehreren ersten leitfähigen Segmente (508, 510, 512) und eines der mehreren zweiten leitfähigen Segmente (507, 509, 511, 513) eingesetzt sind; und mehrere leitfähige Leitungen (301, 302, 303, 304, 305), die in einer zweiten Schicht unter dem ersten aktiven Bereich angeordnet sind, wobei die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) eine erste leitfähige Leitung (303) und mehrere zweite leitfähige Leitungen (301, 305) aufweisen; wobei in Reaktion auf ein Eingangssignal (IN), das an dem Gate des ersten Transistors (M1, M6) empfangen wird, die Source des ersten Transistors (M1, M6) durch die erste leitfähige Leitung (303) mit einer externen Spannung (TVSS) gekoppelt ist, und der Drain des ersten Transistors (M1, M6) durch die zweiten leitfähigen Leitungen (301, 305) mit einer ersten Versorgungsspannung (VSS) gekoppelt ist, wobei die integrierte Schaltung (10) ferner einen ersten Umrichter (110) aufweist, der einen zweiten Transistor (M2) und einen dritten Transistor (M3) aufweist, die Gates (603) aufweisen, um ein erstes Signal (NSLEEPIN) zu empfangen, wobei der zweite Transistor (M2) einen zweiten aktiven Bereich (405) aufweist, der eine der mehreren zweiten leitfähigen Leitungen (305) überlappt, und der dritte Transistor (M3) einen dritten aktiven Bereich (404) aufweist; und einen zweiten Umrichter (110) aufweist, der einen vierten Transistor (M4) und einen fünften Transistor (M5) aufweist, die Gates (602) aufweisen, um das Eingangssignal (IN) zu empfangen, wobei der vierte Transistor (M4) einen vierten aktiven Bereich (402) aufweist, der eine andere der mehreren zweiten leitfähigen Leitungen (301) überlappt, und der fünfte Transistor (M5) einen fünften aktiven Bereich (403) aufweist.
  10. Integrierte Schaltung (10) nach Anspruch 9, ferner aufweisend: mindestens eine erste Stromschiene (202, 203) und mindestens eine zweite Stromschiene (201, 204), die in einer dritten Schicht unter der zweiten Schicht angeordnet sind, wobei die mindestens eine erste Stromschiene (202, 203) die externe Spannung (TVSS) an die erste leitfähige Leitung (303) übermittelt, und die mindestens eine zweite Stromschiene (201, 204) die erste Versorgungsspannung (VSS) von den mehreren zweiten leitfähigen Leitungen (301, 305) empfängt.
  11. Integrierte Schaltung (10) nach Anspruch 10, wobei die erste leitfähige Leitung (301) die mindestens eine erste Stromschiene (202, 203) kreuzt, und die zweiten leitfähigen Leitungen (301, 305) die mindestens eine zweite Stromschiene (201) kreuzen.
  12. Integrierte Schaltung (10) nach Anspruch 10 oder 11, wobei der erste aktive Bereich (401) konfiguriert ist, einen Strom von der mindestens einen ersten Stromschiene (202, 203) durch die erste leitfähige Leitung (303) zu empfangen, und konfiguriert ist, den Strom durch die mehreren zweiten leitfähigen Leitungen (301, 305) an die mindestens eine zweite Stromschiene (201, 204) auszugeben.
  13. Integrierte Schaltung (10) nach einem der vorangegangenen Ansprüche 9 bis 12, wobei die ersten, zweiten und vierten aktiven Bereiche (401, 402, 404) einen ersten Leitfähigkeitstyp aufweisen, und die dritten und fünften aktiven Bereiche (403, 405) einen zweiten Leitfähigkeitstyp aufweisen, der sich von dem ersten Leitfähigkeitstyp unterscheidet.
  14. Integrierte Schaltung (10) nach einem der vorangegangenen Ansprüche 9 bis 13, , wobei die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) ferner eine dritte leitfähige Leitung (302) aufweisen; wobei die dritten und fünften aktiven Bereiche (403, 405) die dritte leitfähige Leitung (302) überlappen und durch die dritte leitfähige Leitung (302) mit einer zweiten Versorgungsspannung (VDD) gekoppelt sind, die sich von der ersten Versorgungsspannung (VSS) unterscheidet.
  15. Verfahren, umfassend: Bilden mehrerer Stromschienen (201, 202, 203, 204) in einer ersten Schicht, wobei sich die mehreren Stromschienen (201, 202, 203, 204) in einer ersten Richtung (y) erstrecken und voneinander in einer zweiten Richtung (x) getrennt sind; Bilden mehrerer leitfähiger Leitungen (301, 302, 303, 304, 305) in einer zweiten Schicht über der ersten Schicht, wobei sich die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) in der zweiten Richtung (x) erstrecken, wobei eine Länge der ersten leitfähigen Leitung (303) kürzer als die zweite leitfähige Leitung (301, 305) ist; Bilden mehrerer aktiver Bereiche (401, 402, 403, 404, 405, 406, 407, 408, 409) in einer dritten Schicht über der zweiten Schicht; Bilden mehrerer leitfähiger Segmente (501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513) über den mehreren aktiven Bereichen (401, 402, 403, 404, 405, 406, 407, 408, 409) in der dritten Schicht, wobei ein erster aktiver Bereich (401) der mehreren aktiven Bereiche (401, 402, 403, 404, 405, 406, 407, 408, 409) mit mindestens einer ersten leitfähigen Leitung (303) und einer zweiten leitfähigen Leitung (301, 305) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) gekoppelt ist; Bilden mehrerer Gates (602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612) über den mehreren aktiven Bereichen (401, 402, 403, 404, 405, 406, 407, 408, 409) und zwischen die mehreren leitfähigen Segmente (501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513) eingesetzt; Bilden mehrerer leitfähiger Traces (701, 702, 703, 704, 705, 706) in einer vierten Schicht über der dritten Schicht, wobei sich die mehreren leitfähigen Traces (701, 702, 703, 704, 705, 706) in der zweiten Richtung (x) erstrecken; und Bilden einer leitfähigen Struktur (801) in einer fünften Schicht über der vierten Schicht, wobei die leitfähige Struktur mit den mehreren leitfähigen Traces (701, 702, 703, 704, 705, 706) gekoppelt ist, wobei das Bilden der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) umfasst: Koppeln der ersten leitfähigen Leitung (303) mit einer ersten Stromschiene (202, 203) der mehreren Stromschienen (201, 202, 203, 204); und Koppeln der zweiten leitfähigen Leitung (301, 305) mit einer zweiten Stromschiene (201, 204) der mehreren Stromschienen (201, 202, 203, 204).
  16. Verfahren nach Anspruch 15, wobei die erste Stromschiene (202, 203) mit einer externen Spannung (TVSS, TVDD) gekoppelt ist und die zweite Stromschiene (201, 204) mit einer ersten Versorgungsspannung (VSS, VDD) gekoppelt ist.
  17. Verfahren, umfassend: Bilden mehrerer Stromschienen (201, 202, 203, 204) in einer ersten Schicht, wobei sich die mehreren Stromschienen (201, 202, 203, 204) in einer ersten Richtung (y) erstrecken und voneinander in einer zweiten Richtung (x) getrennt sind; Bilden mehrerer leitfähiger Leitungen (301, 302, 303, 304, 305) in einer zweiten Schicht über der ersten Schicht, wobei sich die mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) in der zweiten Richtung (x) erstrecken; Bilden mehrerer aktiver Bereiche (401, 402, 403, 404, 405, 406, 407, 408, 409) in einer dritten Schicht über der zweiten Schicht; Bilden mehrerer leitfähiger Segmente (501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513) über den mehreren aktiven Bereichen (401, 402, 403, 404, 405, 406, 407, 408, 409) in der dritten Schicht, wobei ein erster aktiver Bereich (401) der mehreren aktiven Bereiche (401, 402, 403, 404, 405, 406, 407, 408, 409) mit mindestens einer ersten leitfähigen Leitung (303) und einer zweiten leitfähigen Leitung (301, 305) der mehreren leitfähigen Leitungen (301, 302, 303, 304, 305) gekoppelt ist; Bilden mehrerer Gates (602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612) über den mehreren aktiven Bereichen (401, 402, 403, 404, 405, 406, 407, 408, 409) und zwischen die mehreren leitfähigen Segmente (501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513) eingesetzt; Bilden mehrerer leitfähiger Traces (701, 702, 703, 704, 705, 706) in einer vierten Schicht über der dritten Schicht, wobei sich die mehreren leitfähigen Traces (701, 702, 703, 704, 705, 706) in der zweiten Richtung (x) erstrecken; und Bilden einer leitfähigen Struktur (801) in einer fünften Schicht über der vierten Schicht, wobei die leitfähige Struktur mit den mehreren leitfähigen Traces (701, 702, 703, 704, 705, 706) gekoppelt ist, wobei das Bilden der mehreren Stromschienen (201, 202, 203, 204) umfasst: Bilden einer ersten Stromschiene (202), die mit einer ersten externen Spannung (TVSS, TVDD) gekoppelt ist; Bilden eines ersten Paars Stromschienen (201, 204), das mit einer ersten Versorgungsspannung (VDD, VSS) gekoppelt und an einer der ersten Stromschiene (202) gegenüberliegenden Seite angeordnet ist; Bilden einer zweiten Stromschiene (203), die mit einer zweiten externen Spannung (TVDD, TVSS) gekoppelt ist; und Bilden eines zweiten Paars Stromschienen, das mit einer zweiten Versorgungsspannung (VSS, VDD) gekoppelt und an der der ersten Stromschiene gegenüberliegenden Seite angeordnet ist, wobei sich eine Spannungsebene der ersten externen Spannung (TVSS, TVDD) von einer Spannungsebene der zweiten externen Spannung (TVDD, TVSS) unterscheidet.
DE102020129832.3A 2020-10-27 2020-11-12 Leistungsverteilungsnetzwerk Active DE102020129832B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/081,807 2020-10-27
US17/081,807 US11444073B2 (en) 2020-10-27 2020-10-27 Power distribution network

Publications (2)

Publication Number Publication Date
DE102020129832A1 DE102020129832A1 (de) 2022-04-28
DE102020129832B4 true DE102020129832B4 (de) 2024-01-04

Family

ID=80282968

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020129832.3A Active DE102020129832B4 (de) 2020-10-27 2020-11-12 Leistungsverteilungsnetzwerk

Country Status (5)

Country Link
US (2) US11444073B2 (de)
KR (1) KR102486684B1 (de)
CN (1) CN114078808A (de)
DE (1) DE102020129832B4 (de)
TW (1) TWI784405B (de)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200266169A1 (en) 2019-02-19 2020-08-20 Tokyo Electron Limited Replacement buried power rail in backside power delivery
DE102020119415A1 (de) 2020-06-12 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4820542B2 (ja) 2004-09-30 2011-11-24 パナソニック株式会社 半導体集積回路
US8507957B2 (en) * 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
US8859416B2 (en) * 2012-04-24 2014-10-14 GlobalFoundries, Inc. Software and method for via spacing in a semiconductor device
TWI459567B (zh) 2012-06-08 2014-11-01 Au Optronics Corp 主動元件、驅動電路結構以及顯示面板
US9419003B1 (en) * 2015-05-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10672708B2 (en) * 2015-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Standard-cell layout structure with horn power and smart metal cut
US9911697B2 (en) 2016-05-02 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Power strap structure for high performance and low current density
CN106206670B (zh) 2016-08-31 2019-06-07 深圳市华星光电技术有限公司 Amoled显示装置及其阵列基板
US10784869B2 (en) * 2018-07-16 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing the same
US11935825B2 (en) 2018-09-28 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure, method, layout, and system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200266169A1 (en) 2019-02-19 2020-08-20 Tokyo Electron Limited Replacement buried power rail in backside power delivery
DE102020119415A1 (de) 2020-06-12 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect

Also Published As

Publication number Publication date
KR102486684B1 (ko) 2023-01-09
TWI784405B (zh) 2022-11-21
US20220130817A1 (en) 2022-04-28
TW202217992A (zh) 2022-05-01
US11984443B2 (en) 2024-05-14
DE102020129832A1 (de) 2022-04-28
KR20220056071A (ko) 2022-05-04
US20220392885A1 (en) 2022-12-08
CN114078808A (zh) 2022-02-22
US11444073B2 (en) 2022-09-13

Similar Documents

Publication Publication Date Title
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019117778A1 (de) Speicherzellenschaltung und verfahren zu derer herstellung
DE102019121157B4 (de) Transfer-gate-struktur, layout, verfahren und system
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019118660A1 (de) Integrierter Schaltkreis und Verfahren zu seiner Herstellung
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102019128571A1 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102021111423B4 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102020106252A1 (de) Integrierte schaltung
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102020109522A1 (de) Integrierter schaltkreis
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102020129832B4 (de) Leistungsverteilungsnetzwerk
DE102020124907B4 (de) Integrierte schaltung
DE102021119369B4 (de) Pegelverschiebungsschaltkreis und verfahren
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102020114939B4 (de) Gate-strukturen für halbleitervorrichtungen
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren
DE102021109463B4 (de) Halbleiterbauelement und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division