DE102020114939B4 - Gate-strukturen für halbleitervorrichtungen - Google Patents

Gate-strukturen für halbleitervorrichtungen Download PDF

Info

Publication number
DE102020114939B4
DE102020114939B4 DE102020114939.5A DE102020114939A DE102020114939B4 DE 102020114939 B4 DE102020114939 B4 DE 102020114939B4 DE 102020114939 A DE102020114939 A DE 102020114939A DE 102020114939 B4 DE102020114939 B4 DE 102020114939B4
Authority
DE
Germany
Prior art keywords
gate
conductive
vias
active area
transistors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020114939.5A
Other languages
English (en)
Other versions
DE102020114939A1 (de
Inventor
Kam-Tou SIO
Sang-Chi Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020114939A1 publication Critical patent/DE102020114939A1/de
Application granted granted Critical
Publication of DE102020114939B4 publication Critical patent/DE102020114939B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/31Design entry, e.g. editors specifically adapted for circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Abstract

Halbleiterstruktur, aufweisend:
ein erstes Gate und ein zweites Gate angeordnet in einer ersten Schicht und in einer ersten Richtung in einer Linie miteinander ausgerichtet;
mindestens eine erste Gate-Durchkontaktierung (VG3) angeordnet auf dem ersten Gate und mindestens eine zweite Gate-Durchkontaktierung (VG4) angeordnet auf dem zweiten Gate;
ein erstes leitfähiges Segment (163) und ein zweites leitfähiges Segment (167) angeordnet in einer zweiten Schicht über der ersten Schicht, wobei das erste (163) und das zweite leitfähige Segment (167) mit der ersten (VG3) beziehungsweise der zweiten Gate-Durchkontaktierung (VG4) verbunden sind; und
eine erste Leiterbahn (171) angeordnet in einer dritten Schicht über der zweiten Schicht und sich in der ersten Richtung erstreckend;
wobei das erste und das zweite Gate dafür ausgerichtet sind, ein Anschluss einer ersten logischen Schaltung (120) zu sein,
wobei die erste Leiterbahn (171) durch eine erste Verbindungsdurchkontaktierung (VM1), das erste leitfähige Segment (163) und die mindestens eine erste Gate-Durchkontaktierung (VG3) elektrisch mit dem ersten Gate verbunden ist, und
wobei die erste Leiterbahn (171) durch eine zweite Verbindungsdurchkontaktierung (VM2), das zweite leitfähige Segment (167) und die mindestens eine zweite Gate-Durchkontaktierung (VG4) elektrisch mit dem zweiten Gate verbunden ist.

Description

  • STAND DER TECHNIK
  • Integrierte Schaltungen sind für verschiedenste Arten von Anwendungen weit verbreitet, und die Nachfrage nach schnellerer Verarbeitungsgeschwindigkeit und geringerem Energieverbraucht nimmt laufend zu. Die Leistung integrierter Schaltungen wird jedoch durch den Gate-Widerstand stark beeinflusst. Daher ist eine Optimierung des Layout-Designs integrierter Schaltungen mit mehreren Schichten von Merkmalen, wie zum Beispiel leitfähigen Strukturen von Durchkontaktierungen verbunden mit den Gate-Strukturen sowie sonstigen Metall-Routings erforderlich.
  • Stand der Technik zum Gegenstand der Erfindung ist beispielsweise zu finden in US 6 252 427 B1 und DE 10 2018 117 704 A1 .
  • Die Erfindung sieht eine Halbleiterstruktur gemäß Anspruch 1, eine integrierte Schaltung gemäß Anspruch 8 und ein Verfahren gemäß Anspruch 16 vor. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A ist eine Ersatzschaltung eines Teils einer integrierten Schaltung im Einklang mit verschiedenen Ausführungsformen.
    • 1B ist eine detaillierte Schaltung entsprechend der Ersatzschaltung eines Teils der integrierten Schaltung in 1A im Einklang mit verschiedenen Ausführungsformen.
    • 2A ist ein Layout-Diagramm in einer Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen.
    • 2B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 2A im Einklang mit verschiedenen Ausführungsformen.
    • 3 ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen.
    • 4A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen.
    • 4B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 4A im Einklang mit verschiedenen Ausführungsformen.
    • 5A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen.
    • 5B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 5A im Einklang mit verschiedenen Ausführungsformen.
    • 6A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen.
    • 6B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 6A im Einklang mit verschiedenen Ausführungsformen.
    • 7A ist eine Ersatzschaltung eines Teils einer integrierten Schaltung im Einklang mit verschiedenen Ausführungsformen.
    • 7B ist eine detaillierte Schaltung entsprechend der Ersatzschaltung eines Teils der integrierten Schaltung in 7A im Einklang mit verschiedenen Ausführungsformen.
    • 8A ist ein Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen.
    • 8B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 8A im Einklang mit verschiedenen Ausführungsformen.
    • 9A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen.
    • 9B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 9A im Einklang mit verschiedenen Ausführungsformen.
    • 10A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen.
    • 10B ist eine Querschnittsansicht eines Teils der integrierten Schaltung in 10A im Einklang mit verschiedenen Ausführungsformen.
    • 11 ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen.
    • 12 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung der integrierten Schaltung im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung.
    • 13 zeigt eine Vergleichstabelle eines Gate-Widerstands im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung.
    • 14 ist ein Blockschaltbild eines Systems zum Entwerfen eines Layout-Designs der integrierten Schaltung im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung.
    • 15 ist ein Blockschaltbild eines Herstellungssystems einer integrierten Schaltung und eines IC-Fertigungsflusses in Zusammenhang damit im Einklang mit einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen, oder Beispiele, zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich nur Beispiele, und sollen keinesfalls als Einschränkung ausgelegt werden. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, können jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale derart zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, dass das erste und das zweite Merkmal nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Die in dieser Patentschrift verwendeten Begriffe weisen im Allgemeinen ihre gewöhnlichen Bedeutungen im Fachgebiet und im spezifischen Kontext, in welchem jeder der Begriffe verwendet wird, auf. Die Verwendung von Beispielen in dieser Patentschrift, einschließlich von Beispielen beliebiger hierin erörterter Begriffe, dient nur der Veranschaulichung und schränkt den Umfang und die Bedeutung dieser Offenbarung oder irgendwelcher beispielhafter Begriffe in keiner Weise ein. Des Weiteren ist die vorliegende Offenbarung nicht auf die verschiedenen, in dieser Patentschrift dargelegten Ausführungsformen beschränkt.
  • Ferner können Begriffe räumlicher Beziehungen, wie zum Beispiel „darunter“, „unterhalb“, „niedrig“, „oberhalb“, „obere/r/s“ und dergleichen hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden. Wie hierin verwendet umfasst der Begriff „und/oder“ beliebige und sämtliche Kombinationen eines oder mehrerer der zugehörigen aufgelisteten Elemente.
  • Wie hierin verwendet beziehen sich Begriffe wie „rund“, „etwa“, „ungefähr“ oder „im Wesentlichen“ in der Regel auf einen beliebigen Näherungswert eines bestimmten Werts oder Bereichs, wobei dieser abhängig von verschiedenen Fachgebieten, welchen er zugeordnet ist, variiert, und dessen Umfang die weiteste Auslegung gemäß dem Verständnis von Fachleuten des Gebiets, zu welchem er gehört, zuerkannt werden soll, um sämtliche derartigen Modifikationen und ähnliche Strukturen zu umfassen. In einigen Ausführungsformen bedeutet dies in der Regel innerhalb von 20 Prozent, vorzugsweise innerhalb von 10 Prozent, und noch bevorzugter innerhalb von 5 Prozent eines bestimmten Werts oder Bereichs. Hierin angegebene numerische Größen sind ungefähr, was bedeutet, dass der Begriff „rund“, „etwa“, „ungefähr“ oder „im Wesentlichen“ vorausgesetzt werden kann, falls er nicht ausdrücklich genannt ist oder andere Näherungswerte bezeichnet sind.
  • In der Folge wird Bezug genommen auf 1. 1A ist eine Ersatzschaltung eines Teils einer integrierten Schaltung 100 im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung weist die integrierte Schaltung die logischen Gates 110 - 120 auf. Ein erster Anschluss und ein zweiter Anschluss des logischen Gates 110 sind mit dem Signal A1 beziehungsweise A2 verbunden. Ein dritter Anschluss des logischen Gates 110 ist durch die Widerstände R1 - R2 mit einem ersten Anschluss des logischen Gates 120 verbunden. Die Widerstände R1 - R2 sind parallelgeschaltet. Ein zweiter Anschluss des logischen Gates 120 ist ein Ausgangsanschluss Z. In einigen Ausführungsformen ist das logische Gate 110 ein NAND-Gate, und das logische Gate 120 ist ein Wechselrichter. In verschiedenen Ausführungsformen wird das logische Gate 110 als eine Schaltung der ersten Stufe bezeichnet, und das logische Gate 120 wird als eine Schaltung der zweiten Stufe bezeichnet. Die Gestaltungen der integrierten Schaltung 100 dienen der Veranschaulichung. Verschiedene Umsetzungen der integrierten Schaltung 100 fallen in den erwogenen Umfang der vorliegenden Offenbarung. In einigen Ausführungsformen ist die integrierte Schaltung 100 zum Beispiel eine logische Gate-Schaltung, welche AND-, OR-, NAND-, MUX-, Flip-Flop-, Verriegelungs-, BUFF- oder irgendwelche anderen Arten von logischer Schaltung aufweist.
  • In einigen Ausführungsformen stellt der Widerstand R1 einen Widerstand dar, welcher von einem Teil des Routings, welches dafür angeordnet ist, den ersten Anschluss des logischen Gates 110 mit dem logischen Gate 120 zu verbinden, beigetragen wird. Desgleichen stellt der Widerstand R2 einen Widerstand dar, welcher von einem anderen Teil des Routings, welches dafür angeordnet ist, den ersten Anschluss des logischen Gates 110 mit dem logischen Gate 120 zu verbinden, beigetragen wird. Die Einzelheiten der Gestaltung der Widerstände R1 und R2 werden in den folgenden Absätzen erörtert.
  • In der Folge wird Bezug genommen auf 1B. 1B ist eine detaillierte Schaltung entsprechend der Ersatzschaltung eines Teils der integrierten Schaltung 100 in 1A im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung weist die integrierte Schaltung 100 Transistoren M1- M6 auf, welche zwischen Versorgungsspannungen VDD und VSS geschaltet sind. In Bezug auf die logischen Gates 110 - 120 von 1A weist das logische Gate 110 in einigen Ausführungsformen die Transistoren M1 - M4 auf. Das logische Gate 120 weist die Transistoren M5 - M6 auf.
  • In einigen Ausführungsformen sind die Transistoren M1, M3 und M5 p-Transistoren, und die Transistoren M2, M4 und M6 sind n-Transistoren. Die Gestaltungen der Transistoren M1 - M6 dienen der Veranschaulichung. Verschiedene Umsetzungen von 1A fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel sind die Transistoren M1, M3 und M5 in einigen Ausführungsformen n-Transistoren, und die Transistoren M2, M4 und M6 sind p-Transistoren.
  • Unter Bezugnahme auf 1B sind die Gates der Transistoren M1 - M2 miteinander verbunden und dafür eingerichtet, dass sie zum Beispiel der erste Anschluss des logischen Gates 110 sind, welcher mit dem Signal A1 zu verbinden ist. Die Gates der Transistoren M3 - M4 sind miteinander verbunden und dafür eingerichtet, dass sie zum Beispiel der zweite Anschluss des logischen Gates 110 sind, welcher mit dem Signal A2 zu verbinden ist. Gates der Transistoren M5 - M6 sind miteinander, mit Drain-Anschlüssen der Transistoren M1 und M3 sowie durch die Widerstände R1 - R2 mit einem Source-/Drain-Anschluss des Transistors M2 verbunden. Die Gates der Transistoren M5 - M6 sind dafür eingerichtet, dass sie der erste Anschluss des logischen Gates 120 sind. Source-Anschlüsse der Transistoren M1, M3 und M5 sind mit der Versorgungsspannung VDD verbunden. Ein Drain-/Source-Anschluss des Transistors M2 ist mit einem Drain-Anschluss des Transistors M4 verbunden. Source-Anschlüsse der Transistoren M4 und M6 sind mit der Versorgungsspannung VSS verbunden. Drain-Anschlüsse der Transistoren M5 und M6 sind miteinander verbunden und dafür eingerichtet, dass sie der zweite Anschluss des logischen Gates 120 sind.
  • In der Folge wird Bezug genommen auf 2A. 2A ist ein Layout-Diagramm in einer Draufsicht eines Teils der integrierten Schaltung 100 entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung weist die integrierte Schaltung 100 aktive Bereiche 130A - 130B, leitfähige Strukturen (Metall-zu-Vorrichtung, MD) 141 - 147, Gates 151 - 157, leitfähige Segmente (Metall-Null-Segmente, Mo) 161 - 167, Leiterbahn 171 (Metall-Eins-Segmente, M1), Durchkontaktierungen VD1 - VD3, VG1 - VG8, VM1 - VM2 und VP1 - VP2 auf. In einigen Ausführungsformen sind die aktiven Bereiche 130A - 130B in einer ersten Schicht angeordnet, und die Gates 151 - 157 kreuzen die aktiven Bereiche 130A - 130B. Die leitfähigen Strukturen 141 - 147 sind in einer zweiten Schicht über der ersten Schicht angeordnet. Die Leiterbahn 171 ist in einer dritten Schicht über der zweiten Schicht angeordnet. Die Durchkontaktierungen VD1 - VD3, VG1 - VG8 und VP1 - VP2 sind zwischen der ersten Schicht und der zweiten Schicht angeordnet. Die Durchkontaktierungen VM1 - VM2 sind zwischen der zweiten Schicht und der dritten Schicht angeordnet.
  • Bezugnehmend auf die 1B und 2A sind die aktiven Bereiche 130A - 130B für die Bildung der Transistoren M1 - M6 eingerichtet. Die leitfähige Struktur 141 entspricht dem Source-Anschluss des Transistors M1. Die leitfähige Struktur 142 entspricht den Drain-Anschlüssen der Transistoren M1 und M3. Die leitfähige Struktur 143 entspricht den Source-Anschlüssen der Transistoren M3 und M5. Die leitfähige Struktur 144 entspricht den Drain-Anschlüssen der Transistoren M5 - M6. Die leitfähige Struktur 145 entspricht dem Source-/Drain-Anschluss des Transistors M2. Die leitfähige Struktur 146 entspricht dem Drain-/Source-Anschluss des Transistors M2 und dem Drain-Anschluss des Transistors M4. Das leitfähige Segment 167 entspricht den Source-Anschlüssen der Transistoren M4 und M6.
  • Das Gate 152 entspricht den Gates der Transistoren M1 - M2. Das Gate 153 entspricht den Gates der Transistoren M3 - M4. Das Gate 154 entspricht den Gates der Transistoren M5 - M6. Anders ausgedrückt wird das Gate 152 von den Transistoren M1 - M2 gemeinsam genützt. Das Gate 153 wird von den Transistoren M3 - M4 gemeinsam genützt. Das Gate 154 wird von den Transistoren M5 - M6 gemeinsam genützt. Die Gates 151 und 155 - 157 werden als Dummy-Gates bezeichnet, wobei in einigen Ausführungsformen das „Dummy-"Gate nicht als das Gate für MOS-Vorrichtungen elektrisch verbunden ist und in der Schaltung keine Funktion aufweist.
  • Wie in 2A zur Veranschaulichung gezeigt, erstrecken sich die aktiven Bereiche 130A - 130B in x-Richtung und sind in y-Richtung, welche sich von der x-Richtung unterscheidet, voneinander getrennt. Die aktiven Bereiche 130A - 130B weisen Breiten W1 in y-Richtung auf. In einigen Ausführungsformen weist der aktive Bereich 130A aktive Bereiche 131 - 134 auf, und der aktive Bereich 130B weist die aktiven Bereiche 135 - 138 auf.
  • In einigen Ausführungsformen sind die aktiven Bereiche 130A - 130B auf einem Substrat (nicht gezeigt) angeordnet. Das Substrat enthält Materialien, wie zum Beispiel Silizium, und/oder ist mit Phosphor, Arsen, Germanium, Gallium, Indiumarsenid, oder einer Kombination davon dotiert. In verschiedenen Ausführungsformen ist der aktive Bereich 130A mit p-Dotierstoffen, wie zum Beispiel Bor, Indium, Aluminium, Gallium oder einer Kombination davon, dotiert, und der aktive Bereich 130B ist mit n-Dotierstoffen, wie zum Beispiel Phosphor, Arsen, oder einer Kombination davon dotiert.
  • Die Gestaltungen der aktiven Bereiche 130A - 130B dienen der Veranschaulichung. Verschiedene Umsetzungen der aktiven Bereiche 130A - 130B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel weisen die aktiven Bereiche 130A - 130B in einigen Ausführungsformen mehrere aktive Bereiche auf, welche entlang der x-Richtung voneinander getrennt sind und jeder für sich einem der Anschlüsse der Transistoren M1 - M6 entsprechen.
  • Zur Veranschaulichung erstrecken sich die leitfähigen Strukturen 141 - 147 in y-Richtung. Die leitfähigen Strukturen 141 - 143 und 145 - 147 sind auf den aktiven Bereichen 131 - 133 und 135 - 137 angeordnet und getrennt mit diesen verbunden. Die leitfähige Struktur 144 ist auf den aktiven Bereichen 134 und 138 angeordnet und mit diesen verbunden.
  • Die Gates 151 - 157 erstrecken sich in y-Richtung. Die Gates 151 und 156 sind getrennt voneinander in y-Richtung angeordnet, und die Gates 155 und 157 sind getrennt voneinander in y-Richtung angeordnet. In einigen Ausführungsformen werden die Gates getrennt voneinander durch eine Schnittschicht (nicht gezeigt) gebildet. Wie in 2A gezeigt, kreuzen die Gates 151 und 155 den aktiven Bereich 130A. Die Gates 156 und 157 kreuzen den aktiven Bereich 130B. Die Gates 152 - 154 kreuzen beide aktiven Bereiche 130A und 130B.
  • Die leitfähigen Segmente 161 - 167 erstrecken sich x-Richtung und sind in der y-Richtung voneinander getrennt. Die leitfähigen Segmente 161 und 163 - 164 überlappen den aktiven Bereich 130A. Die leitfähigen Segmente 162 und 166 - 167 überlappen den aktiven Bereich 130B.
  • Die Gestaltungen der leitfähigen Segmente 161 - 167 dienen der Veranschaulichung. Verschiedene Umsetzungen der leitfähigen Segmente 161 - 167 fallen in den erwogenen Umfang der vorliegenden Offenbarung. In einigen Ausführungsformen überlappen die leitfähigen Segmente 161 - 162 die aktiven Bereiche 130A - 130B zum Beispiel nicht. In verschiedenen Ausführungsformen weisen die aktiven Bereiche 130A - 130B größere Breiten als die Breite W1 auf, und folglich überlappen die leitfähigen Segmente 164 - 166 die aktiven Bereiche 130A - 130B zur Gänze. In verschiedenen Ausführungsformen gibt es mehr leitfähige Segmente als jene, welche in 2A gezeigt sind, für das Routing der integrierten Schaltung 100.
  • Die Leiterbahn 171 erstreckt sich in y-Richtung und überlappt die aktiven Bereiche 130A - 130B und die leitfähigen Segmente 161 - 167. In einigen Ausführungsformen ist die Leiterbahn 171 zwischen den Gates 153 - 154 angeordnet. Die Gestaltungen der Leiterbahn 171 dienen der Veranschaulichung. Verschiedene Umsetzungen der Leiterbahn 171 fallen in den erwogenen Umfang der vorliegenden Offenbarung. In einigen Ausführungsformen ist die Leiterbahn 171 zum Beispiel zwischen den Gates 154 - 155 und 157 angeordnet.
  • Zur Veranschaulichung ist die Durchkontaktierung VP1 zwischen das leitfähige Segment 161 und die leitfähigen Strukturen 141 und 143 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 161 die Versorgungsspannung VDD durch die Durchkontaktierung VP1 an die leitfähige Struktur 141 des Transistors M1 und die leitfähige Struktur 143 des Transistors M3 und M5 von 1B aus. Die Durchkontaktierung VP2 ist zwischen das leitfähige Segment 162 und die leitfähige Struktur 147 geschaltet. In einigen Ausführungsformen empfängt das leitfähige Segment 162 die Versorgungsspannung VSS für die leitfähige Struktur 147 der Transistoren M4 und M6 von 1B durch die Durchkontaktierung VP2.
  • Die Durchkontaktierung VD1 ist zwischen das leitfähige Segment 161 und die leitfähige Struktur 142 geschaltet. Die Durchkontaktierung VD2 ist zwischen das leitfähige Segment 167 und die leitfähige Struktur 145 geschaltet. Die Durchkontaktierung VD3 ist zwischen das leitfähige Segment 165 und die leitfähige Struktur 144 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 165 durch die Durchkontaktierung VD3 ein durch die integrierte Schaltung 100 bearbeitetes Signal an den Ausgangsanschluss Z aus.
  • Die Durchkontaktierung VG1 ist zwischen das leitfähige Segment 166 und das Gate 152 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 166 das Signal A1 an die Gates der Transistoren M1 - M2 von 1B aus. Die Durchkontaktierung VG2 ist zwischen das leitfähige Segment 164 und das Gate 153 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 164 das Signal A2 an die Gates der Transistoren M3 - M4 von 1B aus. Die Durchkontaktierungen VG3 - VG4 sind am Gate 154 angeordnet und mit diesem verbunden. Wie in 2A gezeigt, verbindet die Durchkontaktierung VG3 durch das leitfähige Segment 163 und die Durchkontaktierung VD1 das Gate 154 mit der leitfähigen Struktur 142. Die Durchkontaktierung VG4 verbindet das Gate 154 durch das leitfähige Segment 167 und die Durchkontaktierung VD2 mit der leitfähigen Struktur 145. Darüber hinaus sind die Durchkontaktierungen VG5 - VG8 an den Gates 151, 156, 155 und 158 angeordnet und getrennt mit diesem verbunden. In einigen Ausführungsformen sind die Gates VG5 und VG7 mit dem leitfähigen Segment 161 verbunden, und die Gates VG6 und VG8 sind mit dem leitfähigen Segment 162 verbunden.
  • Die Durchkontaktierung VM1 ist am leitfähigen Segment 163 angeordnet und mit diesem verbunden, und die Durchkontaktierung VM2 ist am leitfähigen Segment 167 angeordnet und mit diesem verbunden. Die Durchkontaktierungen VM1 - VM2 sind ferner mit der Leiterbahn 171 verbunden. Folglich ist das leitfähige Segment 163 durch die Durchkontaktierung VM1, die Leiterbahn 171 und die Durchkontaktierung VM2 mit dem leitfähigen Segment 167 verbunden. Die Gestaltungen der Durchkontaktierungen VM1- VM2 dienen der Veranschaulichung. Verschiedene Umsetzungen der Durchkontaktierungen VM1- VM2 fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel weisen in einigen Ausführungsformen die Durchkontaktierungen VM1 - VM2 sich verjüngende Formen auf, welche einen die Leiterbahn 171 kontaktierenden Bereich aufweisen, welcher größer ist als ein Bereich, welcher die leitfähigen Segmente 163 und 167 kontaktiert.
  • Bezugnehmend auf die 1B und 2A, wie oben erörtert, entspricht das Gate 154 den Gates der Transistoren M5 - M6, während die Leiterbahn 171 ferner mit dem Gate 154 verbunden ist. In solchen Ausführungsformen weist die Routing-Struktur, welche zum Widerstand des Widerstands R1 oder des Widerstands R2 von 1B beiträgt, das Gate 154, die Durchkontaktierungen VG3 - VG4, die leitfähigen Segmente 163 und 167, die Durchkontaktierungen VM1 - VM2 und die Leiterbahn 171 auf. Wenn der durch die Routing-Struktur erzeugte Widerstand sinkt, verringert sich der Ersatzwiderstand des Widerstands R1 oder R2 dementsprechend.
  • Unter Fortführung der obengenannten Erörterungen nutzen bei einigen Ansätzen Transistoren entsprechend den Transistoren M5 - M6 ein Gate entsprechend dem Gate 154 gemeinsam, wobei das Gate nicht mit einer zusätzlichen Metallleitung zum Beispiel entsprechend der Leiterbahn 171 verbunden ist. In solchen Ansätzen erfährt ein Signal, welches im Gate 154 übertragen wird, einen hohen, durch das Gate 154 beigetragenen Widerstand. Im Vergleich zu den Ansätzen wird mit den Gestaltungen von 2A ein im Gate 154 übertragenes Signal auch durch die Leiterbahn 171 übertragen. Anders ausgedrückt sind zwei parallelgeschaltete Pfade zum Übertragen des Signals bereitgestellt, und folglich wird der durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B erzeugte Widerstand verringert. In einigen Ausführungsformen ist mit den Gestaltungen von 2A der Widerstand ungefähr halb so groß wie bei einigen Ansätzen.
  • Darüber hinaus liegt in einigen Ausführungsformen ein Verhältnis einer Breite, in x-Richtung, der Leiterbahn 171 zu einer Breite des Gates 154 im Bereich von ungefähr 1 bis ungefähr 20. In verschiedenen Ausführungsform liegt ein Verhältnis einer Höhe, in z-Richtung, welche sich von der x- und der y-Richtung unterscheidet, der Leiterbahn 171 zu einer Höhe des Gates 154 im Bereich von ungefähr 1 bis ungefähr 40. Folglich stellt in solchen Ausführungsformen im Vergleich zum Gate 154 die Leiterbahn 171 eine größere Querschnittsfläche und einen entsprechend niedrigeren Widerstand bereit. Somit wird der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B weiter verringert.
  • Ferner steht in einigen Ausführungsformen der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B in Zusammenhang mit den Positionen der Durchkontaktierungen VG3 - VG4 in Bezug auf die aktiven Bereiche 130A und 130B. Wie bei den Ausführungsformen, welche in 2A gezeigt sind, sind das leitfähige Segment 163 und die Durchkontaktierung VG3 zum Beispiel in y-Richtung auf die Mitte einer Breite des aktiven Bereichs 130A ausgerichtet, und die leitfähigen Segmente 167 und die Durchkontaktierung VG4 sind in y-Richtung auf die Mitte einer Breite des aktiven Bereichs 130B ausgerichtet. In solchen Ausführungsformen gelangt das durch das leitfähige Segment 163 und die Durchkontaktierung VG3 übertragene Signal zum Beispiel direkt in das Gate 154 und den aktiven Bereich 130A, ohne sich im Gate 154 über eine große Entfernung fortzupflanzen. Anders ausgerückt erfährt das Signal im Vergleich zu einigen Ansätzen, bei welchen die Durchkontaktierung entsprechend der Durchkontaktierung VG3 entfernt von der Mitte des aktiven Bereichs 130A angeordnet ist und sich das Signal im Gate 154 folglich über eine Entfernung fortpflanzt, bevor es in den aktiven Bereich eintritt, weniger Widerstand. Die Anordnungen des leitfähigen Segments 167 und der Durchkontaktierung VG4 sind ähnlich jenen des leitfähigen Segments 163 und der Durchkontaktierung VG3. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • Im Vergleich zu einigen Ansätzen erlangt die integrierte Schaltung 100 mit den Gestaltungen von 2A in einigen Ausführungsformen eine um ungefähr 10 % schnellere Geschwindigkeit. Da die Transistoren M5 - M6 mehrere entsprechend ausgeführte Transistoren sind, erlangt die integrierte Schaltung 100 in verschiedenen Ausführungsformen im Vergleich zu einigen Ansätzen eine um ungefähr 5 % schnellere Geschwindigkeit.
  • Die Gestaltungen von 2A dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 2A fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel sind die Breiten der leitfähigen Segmente 163 und 167 in einigen Ausführungsformen größer als jene der Leiterbahn 171.
  • In der Folge wird Bezug genommen auf 2B. 2B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 100 in 2A entlang der Linie AA' in 2A im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung ist das Gate 154 über den aktiven Bereichen 130A - 130B angeordnet, und ein Teil des Gates 154 liegt an beiden aktiven Bereichen 130A - 130B an. Die Durchkontaktierungen VG3 - VG4 sind in y-Richtung auf die Mitten der aktiven Bereiche 130A - 130B ausgerichtet, und sind in y-Richtung voneinander getrennt.
  • In einigen Ausführungsformen weisen die Durchkontaktierungen VG3 - VG4 sich verjüngende Formen auf, welche einen das leitfähige Segment 163 oder 167 kontaktierenden Bereich aufweisen, welcher größer ist als ein Bereich, welcher das Gate 154 kontaktiert. Die Gestaltungen von 2B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 2B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel weisen in einigen Ausführungsformen die Durchkontaktierungen VG3 - VG4 entlang der z-Richtung eine gleichmäßige Form auf. In alternativen Ausführungsformen weisen die Durchkontaktierungen VG3 - VG4 im Vergleich zu dem Bereich, welcher das Gate 154 kontaktiert, einen kleineren Bereich auf, welcher das leitfähige Segment 163 oder 167 kontaktiert.
  • In der Folge wird Bezug genommen auf 3. 3 ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 100 entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 3 sind ähnliche Elemente in 2A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 3 gezeigten Elementen vorzustellen.
  • Verglichen mit 2A weist die integrierte Schaltung 100 ferner eine Leiterbahn 172 und Durchkontaktierungen VM3 - VM4 auf. Die Leiterbahn 171 ist zum Beispiel in Bezug auf die Leiterbahn 171 gestaltet. Die Durchkontaktierungen VM3 - VM4 sind zum Beispiel in Bezug auf die Durchkontaktierungen VM1 - VM2 gestaltet. Die Leiterbahn 172 ist zwischen den Gates 152 - 153 angeordnet und kreuzt die aktiven Bereiche 130A - 130B. Insbesondere ist die Durchkontaktierung VM3 am leitfähigen Segment 163 angeordnet und mit diesem verbunden, und die Durchkontaktierung VM4 ist am leitfähigen Segment 167 angeordnet und mit diesem verbunden. Die Durchkontaktierungen VM3 - VM4 sind mit der Leiterbahn 172 verbunden. Anders ausgedrückt ist das Gate 154 ferner mit der Leiterbahn 172 verbunden.
  • Bezugnehmend auf die 1B, 2A und 3 weist in solchen Ausführungsformen von 3 die Routing-Struktur, welche zum Widerstand des Widerstands R1 oder R2 von 1B beiträgt, auch die Leiterbahn 172 auf. Da der zusätzliche Pfad zum Übertragen des Signals im Gate 154 durch die Durchkontaktierungen VM3 - VM4 und die Leiterbahn 172 bereitgestellt ist, wird folglich der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B verringert.
  • Die Gestaltungen von 3 dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 3 fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel ist in einigen Ausführungsformen die Leiterbahn 172 zwischen den Gates 151, 156 und 152 angeordnet. In verschiedenen Ausführungsformen weist die Leiterbahn 172 mindestens zwei Leiterbahnen auf, wobei eine der zwei zwischen den Gates 151, 156 und 152 angeordnet ist, und die andere zwischen den Gates 154, 155 und 157 angeordnet ist. In verschiedenen Ausführungsformen ist das Gate 154 von 3 in zwei Abschnitte als die Gates 154a - 154b geteilt, welche nachfolgend in 6A dargestellt sind.
  • In der Folge wird Bezug genommen auf 4A. 4A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 100 entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 4A sind ähnliche Elemente in 2A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 4A gezeigten Elementen vorzustellen.
  • Verglichen mit 2A weist die integrierte Schaltung 100 ferner Durchkontaktierungen VD4, VM5 und VG9 und ein leitfähiges Segment 168 auf. Die Durchkontaktierungen VD4, VM5 und VG9 sind in Bezug auf die Durchkontaktierungen VD1, VM1 beziehungsweise VG3 gestaltet. Das leitfähige Segment 168 ist zum Beispiel in Bezug auf das leitfähige Segment 163 gestaltet. Zur Veranschaulichung ist die Durchkontaktierung VD4 an der leitfähigen Struktur 142 angeordnet und mit dieser verbunden. Die Durchkontaktierung VG9 ist am Gate 154 angeordnet und mit diesem verbunden. Die Durchkontaktierung VM5 ist am leitfähigen Segment 168 angeordnet und mit diesem verbunden. Das leitfähige Segment 168 ist durch die Durchkontaktierung VM5 mit der Leiterbahn 171 verbunden.
  • Bezugnehmend auf die 1B, 2A und 4A weist in solchen Ausführungsformen von 4A die Routing-Struktur, welche zum Widerstand des Widerstands R1 oder R2 von 1B beiträgt, auch die Durchkontaktierungen VD4, VM5 und VG9 und das leitfähige Segment 168 auf. Da der zusätzliche Pfad zum Übertragen des Signals im Gate 154 durch die Durchkontaktierungen VD4, VM5 und VG9 und das leitfähige Segment 168 bereitgestellt ist, wird folglich der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B verringert.
  • Darüber hinaus, wie in den Ausführungsformen, welche in 4A gezeigt sind, steht eine Anzahl der Durchkontaktierungen, welche in Bezug auf die Durchkontaktierung VG3 gestaltet sind, mit der Breite des aktiven Bereichs 130A in Zusammenhang, und eine Anzahl der Durchkontaktierungen, welche in Bezug auf die Durchkontaktierung VG4 gestaltet sind, steht in Zusammenhang mit der Breite des aktiven Bereichs 130B. Im Vergleich zu 2A weist der aktive Bereich 130A insbesondere eine Breite W2 auf, welche sich von der Breite W1 unterscheidet. In einigen Ausführungsformen ist die Breite W2 größer als die Breite W1. Folglich ist in solchen Ausführungsformen die Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130A kreuzt, größer als die Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130B kreuzt. Anders ausgedrückt nimmt die Breite des aktiven Bereichs 130 von der Breite W1 zur Breite W2 zu, und die Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130A kreuzt, erhöht sich dementsprechend.
  • Wie oben erörtert ist in einigen Ausführungsformen eine Länge des Gates 154 von 4A länger als jene des Gates 154 der 2A und 3. Anders ausgedrückt stehen auch die Anzahl der Durchkontaktierungen, welche in Bezug auf die Durchkontaktierung VG3 gestaltet sind, und die Anzahl der Durchkontaktierungen, welche in Bezug auf die Durchkontaktierung VG4 gestaltet sind, in Zusammenhang mit der Länge des Gates 154.
  • Des Weiteren verschmelzen in einigen Ausführungsformen die leitfähigen Segmente 163 und 168 miteinander und sind derart gestaltet, dass sie ein leitfähiges Segment aufweisend eine Breite, welcher größer ist als die Breite des einzelnen leitfähigen Segments 163 oder 168, bilden. In derartigen Anordnungen wird der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B aufgrund des größeren leitfähigen Bereichs des leitfähigen Segments weiter verringert.
  • Die Gestaltungen von 4A dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 4A fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel weisen in einigen Ausführungsformen die Ausführungsformen von 4A ferner die Leiterbahn 172 in den Ausführungsformen von 3 auf. Die Leiterbahn 172 ist durch VM3 - VM4 und eine zusätzliche Durchkontaktierung gestaltet in Bezug auf die Durchkontaktierung VM3 mit den leitfähigen Segmenten 163, 167 und 168 verbunden. In verschiedenen Ausführungsformen beträgt die Anzahl der Durchkontaktierungen gestaltet in Bezug auf die Durchkontaktierungen VG3 und VG9 mehr als zwei. Folglich beträgt die Anzahl der leitfähigen Segmente gestaltet in Bezug auf die leitfähigen Segmente 163 und 168 mehr als zwei. In verschiedenen Ausführungsformen ist das Gate 154 von 4A in zwei Abschnitte als die Gates 154a - 154b geteilt, welche nachfolgend in 6A dargestellt sind.
  • In der Folge wird Bezug genommen auf 4B. 4B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 100 in 4A im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 4B sind ähnliche Elemente in 2B zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 4B gezeigten Elementen vorzustellen.
  • Verglichen mit 2B weist die integrierte Schaltung 100 ferner die Durchkontaktierung VG9 und das leitfähige Segment 168 auf. Die VG9 ist um einen Abstand entfernt von der Durchkontaktierung VG3 angeordnet. Die Gestaltungen von 4B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 4B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel ist in einigen Ausführungsformen die Durchkontaktierung VG9 in der y-Richtung auf die Mitte des aktiven Bereichs aufweisend die Breite W2 ausgerichtet.
  • In der Folge wird Bezug genommen auf 5A. 5A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 100 entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 5A sind ähnliche Elemente in 4A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 5A gezeigten Elementen vorzustellen.
  • Verglichen mit 4A weist die integrierte Schaltung 100 ferner Durchkontaktierungen VM6 und VG10 und ein leitfähiges Segment 169 auf. Die Durchkontaktierungen VM6 und VG10 sind getrennt voneinander in Bezug auf die Durchkontaktierungen VM2 beziehungsweise VG4 gestaltet. Das leitfähige Segment 169 ist zum Beispiel in Bezug auf das leitfähige Segment 167 gestaltet. Zur Veranschaulichung ist die Durchkontaktierung VG10 am Gate 154 angeordnet und mit diesem verbunden. Die Durchkontaktierung VM6 ist am leitfähigen Segment 169 angeordnet und mit diesem verbunden. Das leitfähige Segment 169 ist durch die Durchkontaktierung VM6 mit der Leiterbahn 171 verbunden.
  • Bezugnehmend auf die 1B, 4A und 5A weist in solchen Ausführungsformen von 5A die Routing-Struktur, welche zum Widerstand des Widerstands R1 oder R2 von 1B beiträgt, auch die Durchkontaktierungen VM6 und VG10 und das leitfähige Segment 169 auf. Da der zusätzliche Pfad zum Übertragen des Signals im Gate 154 durch die Durchkontaktierungen VM6 und VG10 und das leitfähige Segment 169 bereitgestellt ist, wird folglich der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B verringert.
  • Darüber hinaus, wie in den Ausführungsformen gezeigt in 5A, weist der aktive Bereich 130B eine Breite W3 auf. In einigen Ausführungsformen ist die Breite W3 gleich der Breite W2. In verschiedenen Ausführungsformen unterscheidet sich die Breite W3 von der Breite W2. Folglich unterscheidet sich in solchen Anordnungen die Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130A kreuzt, von der Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130B kreuzt. Die Beziehung zwischen den Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130B kreuzt, und der Breite des aktiven Bereichs 130B ist ähnlich der Beziehung zwischen den Durchkontaktierungen angeordnet am Abschnitt des Gates 154, welcher den aktiven Bereich 130A kreuzt, und der Breite des aktiven Bereichs 130A. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • In der Folge wird Bezug genommen auf 5B. 5B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 100 in 5A im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 5B sind ähnliche Elemente in 4B zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 5B gezeigten Elementen vorzustellen.
  • Verglichen mit 4B weist die integrierte Schaltung 100 ferner die Durchkontaktierung VG10 und das leitfähige Segment 169 auf. Die VG10 ist um einen Abstand entfernt von der Durchkontaktierung VG4 angeordnet. Die Gestaltungen von 5B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 5B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel ist in einigen Ausführungsformen die Durchkontaktierung VG10 in der y-Richtung auf die Mitte des aktiven Bereichs aufweisend die Breite W3 ausgerichtet.
  • Die Gestaltungen der 5A - 5B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen der 5A - 5B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel überlappt die Durchkontaktierung VG4 in einigen Ausführungsformen durch das Verändern der Breite des aktiven Bereichs 130B, zum Beispiel das Verringern der Breite W3, den aktiven Bereich 130B in der Layout-Ansicht nicht. In verschiedenen Ausführungsformen ist das Gate 154 von 5A in zwei Abschnitte als die Gates 154a - 154b geteilt, welche nachfolgend in 6A dargestellt sind.
  • In der Folge wird Bezug genommen auf 6A. 6A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 100 entsprechend einem Teil von 1B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 6A sind ähnliche Elemente in 2A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 6A gezeigten Elementen vorzustellen.
  • Im Vergleich zu 2A weist die integrierte Schaltung 100, wie in 6A gezeigt, statt des Einzel-Gates 154 die Gates 154a - 154b auf. Die Gates 154a - 154b sind in Bezug auf das Gate 154 von 2A gestaltet. Zur Veranschaulichung sind die Gates 154a - 154b in einer y-Richtung aufeinander ausgerichtet, und sind in der y-Richtung voneinander getrennt. Die Durchkontaktierung VG3 ist am Gate 154a angeordnet, und die Durchkontaktierung VG4 ist am Gate 154b angeordnet.
  • In einigen Ausführungsformen werden die Gates 154a - 154b durch Ausführen einer Schnittschicht (nicht gezeigt) in der Mitte des Gates 154 gebildet. In einigen Ausführungsformen weist die Schnittschicht eine Breite in y-Richtung auf, welche gleich der Breite des leitfähigen Segments 165 ist.
  • In der Folge wird Bezug genommen auf 6B. 6B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 100 in 6A im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 6B sind ähnliche Elemente in 2B zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 6B gezeigten Elementen vorzustellen.
  • Im Vergleich zu 2B sind, statt dass das Gate 154 eine Einzel-Gate-Struktur aufweist, die beiden getrennten Gates 154a - 154b in y-Richtung voneinander getrennt.
  • Die Gestaltungen der 6A - 6B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen der 6A - 6B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel sind in einigen Ausführungsformen die Durchkontaktierungen VG3 - VG4 nicht auf die Mitten der aktiven Bereiche 130A - 130B ausgerichtet.
  • Basierend auf den obigen Erörterungen der 1A - 6B ist in einigen Ausführungsformen eine Schwellenspannung des logischen Gates 120 aufweisend die getrennten Gates 154a - 154b niedriger als jene des logischen Gates 120 aufweisend das Einzel-Gate 154, da das logische Gate 120 die Transistoren M5 - M6 aufweist.
  • Da der leitfähige Pfad durch die Gate-Struktur in 6A zerschnitten ist (d.h. die Gates 154a - 154b entsprechend dem Gate 154 sind voneinander getrennt), ist in verschiedenen Ausführungsformen ferner statt der zwei leitfähigen Pfaden, wie in 2A dargestellt, ein leitfähiger Pfad durch die Leiterbahn 171 bereitgestellt. Folglich ist in einigen Ausführungsformen der Widerstand erzeugt durch die Routing-Struktur von 6A zum Beispiel um ungefähr 2 % höher als jener von 2A.
  • In der Folge wird Bezug genommen auf 7A. 7A ist eine Ersatzschaltung eines Teils einer integrierten Schaltung 700 im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung weist die integrierte Schaltung die logischen Gates 710 - 720 auf. Ein erster Anschluss des logischen Gates 710 ist mit einem Signal B1 verbunden. Ein zweiter Anschluss des logischen Gates 710 ist durch die Widerstände R3 - R4 mit einem ersten Anschluss des logischen Gates 720 verbunden. Die Widerstände R3 - R4 sind parallelgeschaltet. Ein zweiter Anschluss des logischen Gates 720 ist mit einem Signal B2 verbunden. Ein dritter Anschluss des logischen Gates 720 ist ein Ausgangsanschluss ZN. In einigen Ausführungsformen ist das logische Gate 710 ein Wechselrichter, und das logische Gate 720 ist ein NAND-Gate. In verschiedenen Ausführungsformen wird das logische Gate 710 als eine Schaltung der ersten Stufe bezeichnet, und das logische Gate 720 wird als eine Schaltung der zweiten Stufe bezeichnet. Die Gestaltungen der integrierten Schaltung 700 dienen der Veranschaulichung. Verschiedene Umsetzungen der integrierten Schaltung 700 fallen in den erwogenen Umfang der vorliegenden Offenbarung. In einigen Ausführungsformen ist die integrierte Schaltung 700 zum Beispiel eine logische Gate-Schaltung, welche AND-, OR-, NAND-, MUX-, Flip-Flop-, Verriegelungs-, BUFF- oder irgendwelche anderen Arten von logischer Schaltung aufweist.
  • In einigen Ausführungsformen stellt der Widerstand R3 einen Widerstand dar, welcher von einem Teil des Routings, welches dafür angeordnet ist, den ersten Anschluss des logischen Gates 710 mit dem logischen Gate 720 zu verbinden, beigetragen wird. Desgleichen stellt der Widerstand R4 einen Widerstand dar, welcher von einem anderen Teil des Routings, welches dafür angeordnet ist, den ersten Anschluss des logischen Gates 710 mit dem logischen Gate 720 zu verbinden, beigetragen wird. Die Einzelheiten der Gestaltung der Widerstände R3 und R4 werden in den folgenden Absätzen erörtert.
  • In der Folge wird Bezug genommen auf 7B. 7B ist eine detaillierte Schaltung entsprechend der Ersatzschaltung eines Teils der integrierten Schaltung 700 in 7A im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung weist die integrierte Schaltung 700 Transistoren T1 - T6 auf, welche zwischen die Versorgungsspannungen VDD und VSS geschaltet sind. In Bezug auf die logischen Gates 710 - 720 von 7A weist das logische Gate 710 in einigen Ausführungsformen die Transistoren T1 - T2 auf. Das logische Gate 720 weist die Transistoren T3 - T6 auf.
  • In einigen Ausführungsformen sind die Transistoren T1, T3 und T5 p-Transistoren, und die Transistoren T2, T4 und T6 sind n-Transistoren. Die Gestaltungen der Transistoren T1 - T6 dienen der Veranschaulichung. Verschiedene Umsetzungen von 1A fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel sind die Transistoren T1, T3 und T5 in einigen Ausführungsformen n-Transistoren, und die Transistoren T2, T4 und T6 sind p-Transistoren.
  • Bezugnehmend auf 7B sind die Gates der Transistoren T1- T2 miteinander verbunden und dafür eingerichtet, dass sie zum Beispiel der erste Anschluss des logischen Gates 710 sind, welcher mit dem Signal B1 zu verbinden ist. Gates der Transistoren T3 - T4 sind miteinander und durch die Widerstände R3 - R4 mit Drain-Anschlüssen der Transistoren T1 und T2 verbunden, und die Gates der Transistoren T3 - T4 sind dafür eingerichtet, zum Beispiel der erste Anschluss des logischen Gates 720 zu sein. Die Gates der Transistoren T5 - T6 sind miteinander verbunden und dafür eingerichtet, zum Beispiel der zweite Anschluss des logischen Gates 720 zu sein, welcher mit dem Signal B2 zu verbinden ist. Source-Anschlüsse der Transistoren T1, T3 und T5 sind mit der Versorgungsspannung VDD verbunden. Ein Drain-Anschluss des Transistors T2 ist mit einem Drain-Anschluss des Transistors T1 verbunden. Source-Anschlüsse der Transistoren T2 und T4 sind mit der Versorgungsspannung VSS verbunden. Ein Drain-Anschluss des Transistors T4 ist mit einem Drain-/Source-Anschluss des Transistors T6 verbunden. Ein Source-/Drain-Anschluss des Transistors T6 und ein Drain-Anschluss des Transistors T5 sind miteinander verbunden und dafür eingerichtet, dass sie der dritte Anschluss des logischen Gates 720 sind.
  • In der Folge wird Bezug genommen auf 8A. 8A ist ein Layout-Diagramm in einer Draufsicht eines Teils der integrierten Schaltung 700 entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung weist die integrierte Schaltung 700 aktive Bereiche 730A - 730B, leitfähige Strukturen (Metall-zu-Vorrichtung, MD) 741 - 747, Gates 751- 755, leitfähige Segmente (Metall-Null-Segmente, Mo) 761 - 762, 763a - 763b, 764 - 766 und 767a - 767b, Leiterbahnen 771 - 772 (Metall-Eins-Segmente, M1), Durchkontaktierungen VD71 - VD74, VG71 - VG78, VM1 - VM4 und VP71 - VP72 auf. In einigen Ausführungsformen sind die aktiven Bereiche 730A - 730B in einer ersten Schicht angeordnet, und die Gates 751 - 755 kreuzen die aktiven Bereiche 730A - 730B. Die leitfähigen Strukturen 741 - 747 sind in einer zweiten Schicht über der ersten Schicht angeordnet. Die Leiterbahnen 771 - 772 sind in einer dritten Schicht über der zweiten Schicht angeordnet. Die Durchkontaktierungen VD71 - VD34, VG71 - VG78 und VP 71 - VP72 sind zwischen der ersten Schicht und der zweiten Schicht angeordnet. Die Durchkontaktierungen VM1 - VM4 sind zwischen der zweiten Schicht und der dritten Schicht angeordnet.
  • Bezugnehmend auf die 7B und 8A sind die aktiven Bereiche 730A - 730B für die Bildung der Transistoren T1 - T6 eingerichtet. Die leitfähige Struktur 741 entspricht den Drain-Anschlüssen der Transistoren T1 - T2. Die leitfähige Struktur 742 entspricht den Source-Anschlüssen der Transistoren Ti und T3. Die leitfähige Struktur 743 entspricht den Drain-Anschlüssen der Transistoren T3 und T5. Die leitfähige Struktur 744 entspricht dem Source-Anschluss des Transistors T5. Die leitfähige Struktur 745 entspricht den Source-Anschlüssen der Transistoren T2 und T4. Die leitfähige Struktur 746 entspricht dem Drain-/Source-Anschluss des Transistors T6 und dem Drain-Anschluss des Transistors T4. Die leitfähige Struktur 767 entspricht dem Source-/Drain-Anschluss des Transistors T6.
  • Das Gate 752 entspricht den Gates der Transistoren T1 - T2. Das Gate 753 entspricht den Gates der Transistoren T3 - T4. Das Gate 754 entspricht den Gates der Transistoren T5 - T6. Anders ausgedrückt wird das Gate 752 von den Transistoren T1 - T2 gemeinsam genützt. Das Gate 753 wird von den Transistoren T3 - T4 gemeinsam genützt. Das Gate 754 wird von den Transistoren T5 - T6 gemeinsam genützt. Die Gates 751 und 755 werden als die Dummy-Gates bezeichnet.
  • Wie in 8A zur Veranschaulichung gezeigt, erstrecken sich die aktiven Bereiche 730A - 730B in x-Richtung, und sind in y-Richtung, welche sich von der x-Richtung unterscheidet, voneinander getrennt. Die aktiven Bereiche 730A - 730B weisen in y-Richtung die Breiten W1 auf. In einigen Ausführungsformen weist der aktive Bereich 130A die aktiven Bereiche 731 - 734 auf, und der aktive Bereich 130B weist die aktiven Bereiche 735 - 738 auf. Die Anordnungen der aktiven Bereiche 730A - 730B sind ähnlich jenen der aktiven Bereiche 130A - 130B von 2A. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • Zur Veranschaulichung erstrecken sich die leitfähigen Strukturen 741 - 747 in y-Richtung. Die leitfähige Struktur 741 ist auf den aktiven Bereichen 731 und 735 angeordnet und mit diesen verbunden. Die leitfähigen Strukturen 741 - 747 sind jede für sich auf den aktiven Bereichen 732 - 734 und 736 - 738 angeordnet und mit diesen verbunden.
  • Die Gates 751 - 755 erstrecken sich y-Richtung, und sind in der x-Richtung voneinander getrennt. Wie in 8A gezeigt, kreuzen die Gates 751 - 755 beide aktiven Bereiche 730A - 730B.
  • Die leitfähigen Segmente 761 - 762, 763a - 763b, 764 - 766 und 767a - 767b erstrecken sich x-Richtung, und sind in der y-Richtung voneinander getrennt. Die leitfähigen Segmente 761, 763a - 763b und 764 überlappen den aktiven Bereich 730A. Die leitfähigen Segmente 762, 766 und 767a - 767b überlappen den aktiven Bereich 730B.
  • Die Gestaltungen der leitfähigen Segmente 761 - 762, 763a - 763b, 764 - 766 und 767a - 767b dienen der Veranschaulichung. Verschiedene Umsetzungen der leitfähigen Segmente 761 - 762, 763a - 763b, 764 - 766 und 767a - 767b fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel überlappen in einigen Ausführungsformen die leitfähigen Segmente 761 - 762 die aktiven Bereiche 730A - 730B nicht.
  • Die Leiterbahnen 771 - 772 erstrecken sich in y-Richtung und überlappen die aktiven Bereiche 730A - 730B und die leitfähigen Segmente 761 - 762, 763b, 764 - 766 und 767b. In einigen Ausführungsformen ist die Leiterbahn 771 zwischen den Gates 754 - 755 angeordnet. Die Leiterbahn 772 ist zwischen den Gates 753 - 754 angeordnet.
  • Zur Veranschaulichung ist die Durchkontaktierung VP71 zwischen das leitfähige Segment 761 und die leitfähigen Strukturen 742 und 744 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 761 die Versorgungsspannung VDD durch die Durchkontaktierung VP71 an die leitfähige Struktur 742 der Transistoren T1 und T3 und die leitfähige Struktur 744 der Transistoren T3 und T5 von 7B aus. Die Durchkontaktierung VP72 ist zwischen das leitfähige Segment 762 und die leitfähige Struktur 745 geschaltet. In einigen Ausführungsformen empfängt das leitfähige Segment 762 die Versorgungsspannung VSS für die leitfähige Struktur 745 der Transistoren T2 und T4 von 7B durch die Durchkontaktierung VP72.
  • Die Durchkontaktierung VD71 ist zwischen das leitfähige Segment 764 und die leitfähige Struktur 741 geschaltet. Die Durchkontaktierung VD72 ist zwischen das leitfähige Segment 766 und die leitfähige Struktur 141 geschaltet. Die Durchkontaktierung VD73 ist zwischen das leitfähige Segment 767b und die leitfähige Struktur 747 geschaltet. Die Durchkontaktierung VD74 ist zwischen das leitfähige Segment 763b und die leitfähige Struktur 743 geschaltet.
  • Die Durchkontaktierung VG71 ist zwischen das leitfähige Segment 767a und das Gate 752 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 767a das Signal B1 an die Gates der Transistoren T1 - T2 von 7B aus. Die Durchkontaktierung VG72 ist zwischen das leitfähige Segment 765 und das Gate 754 geschaltet. In einigen Ausführungsformen gibt das leitfähige Segment 765 das Signal B2 an die Gates der Transistoren T5 - T6 von 7B aus. Die Durchkontaktierungen VG73 - VG74 sind am Gate 753 angeordnet und mit diesem verbunden. Wie in 8A gezeigt, verbindet die Durchkontaktierung VG73 das Gate 753 durch das leitfähige Segment 764 und die Durchkontaktierung VD71 mit der leitfähigen Struktur 741. Die Durchkontaktierung VG4 verbindet das Gate 753 durch das leitfähige Segment 766 und die Durchkontaktierung VD72 mit der leitfähigen Struktur 741. Darüber hinaus sind die Durchkontaktierungen VG75 - VG76 am Gate 751 angeordnet und mit diesem verbunden, und die Durchkontaktierungen VG77 - 78 sind am Gate 755 angeordnet und mit diesem verbunden. In einigen Ausführungsformen sind die Gates VG75 und VG77 mit dem leitfähigen Segment 761 verbunden, und die Gates VG76 und VG78 sind mit dem leitfähigen Segment 762 verbunden.
  • Die Durchkontaktierung VM71 ist am leitfähigen Segment 764 angeordnet und mit diesem verbunden, und die Durchkontaktierung VM72 ist am leitfähigen Segment 766 angeordnet und mit diesem verbunden. Die Durchkontaktierungen VM71 - VM72 sind ferner mit der Leiterbahn 771 verbunden. Folglich ist das leitfähige Segment 764 durch die Durchkontaktierung VM71, die Leiterbahn 771 und die Durchkontaktierung VM72 mit dem leitfähigen Segment 766 verbunden. Ferner ist die Durchkontaktierung VM73 am leitfähigen Segment 763b angeordnet und mit diesem verbunden, und die Durchkontaktierung VM74 ist am leitfähigen Segment 767b angeordnet und mit diesem verbunden. Die Durchkontaktierungen VM3 - VM4 sind ferner mit der Leiterbahn 772 verbunden. Folglich ist die leitfähige Struktur 743 durch die Durchkontaktierung VD74, das leitfähige Segment 763b, die Durchkontaktierung VM73, die Leiterbahn 772, die Durchkontaktierung VM74, das leitfähige Segment 767b und die Durchkontaktierung VD73 mit der leitfähigen Struktur 746 verbunden.
  • Die Gestaltungen der Durchkontaktierungen VM71 - VM74 dienen der Veranschaulichung. Verschiedene Umsetzungen der Durchkontaktierungen VM71 - VM74 fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel weisen in einigen Ausführungsformen die Durchkontaktierungen VM71 - VM72 sich verjüngende Formen auf, welche einen die Leiterbahn 771 kontaktierenden Bereich aufweisen, welcher größer ist als ein Bereich, welcher die leitfähigen Segmente 764 und 766 kontaktiert.
  • Bezugnehmend auf die 7B und 8A, wie oben erörtert, entspricht das Gate 753 den Gates der Transistoren T3 - T4, während die Leiterbahn 771 ferner mit dem Gate 753 verbunden ist. In solchen Ausführungsformen weist die Routing-Struktur, welche zum Widerstand der Widerstände R3 oder R4 von 7B beiträgt, das Gate 753, die Durchkontaktierungen VG73 - VG74, die leitfähigen Segmente 764 und 766, die Durchkontaktierungen VM71 - VM72 und die Leiterbahn 771 auf. Wenn sich der durch die Routing-Struktur erzeugte Widerstand verringert, verringert sich folglich der Ersatzwiderstand des Widerstands R3 oder R4 entsprechend.
  • Unter Fortführung der obengenannten Erörterungen nutzen bei einigen Ansätzen Transistoren entsprechend den Transistoren T3 - T4 ein Gate entsprechend dem Gate 753 gemeinsam, wobei das Gate nicht mit einer zusätzlichen Metallleitung zum Beispiel entsprechend der Leiterbahn 771 verbunden ist. In solchen Ansätzen erfährt ein Signal, welches im Gate 753 übertragen wird, einen hohen, durch das Gate 753 beigetragenen Widerstand. Verglichen mit anderen Ansätzen wird mit den Gestaltungen von 8A ein im Gate 753 übertragenes Signal auch durch die Leiterbahn 771 übertragen. Anders ausgedrückt sind zwei parallelgeschaltete Pfade zum Übertragen des Signals bereitgestellt, und folglich wird der durch die Routing-Struktur zwischen den Gates der Transistoren T3 - T4 von 7B erzeugte Widerstand verringert. In einigen Ausführungsformen beträgt mit den Gestaltungen von 8A der Widerstand ungefähr ¾ des Widerstands bei anderen Ansätzen.
  • Darüber hinaus sind in einigen Ausführungsformen die Anordnungen der Leiterbahn 771 ähnlich jenen der Leiterbahn 171 von 2A. Folglich stellt in solchen Ausführungsform im Vergleich zum Gate 753 die Leiterbahn 771 eine größere Querschnittsfläche und einen entsprechend deutlich niedrigeren Widerstand bereit. Somit wird der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren T3 - T4 von 7B weiter verringert.
  • Des Weiteren, wie oben in Bezug auf den Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren M5 - M6 von 1B erörtert, steht der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren T3 - T4 von 7B ferner in Zusammenhang mit den Positionen der Durchkontaktierungen VG73 - VG74 in Bezug auf die aktiven Bereiche 730A und 730B. Wie bei den Ausführungsformen gezeigt in 8A ist zum Beispiel die Durchkontaktierung VG73 um einen Abstand in y-Richtung von einer Mitte des aktiven Bereichs 730A entfernt angeordnet, und die Durchkontaktierung VG74 ist um einen Abstand in y-Richtung entfernt von einer Mitte des aktiven Bereichs 730B angeordnet. In solchen Ausführungsformen wird zum Beispiel das Signal von /zum leitfähigen Segment 764 durch die Durchkontaktierung VG73 übertragen und pflanzt sich über den Abstand im Gate 753 fort. Anders ausgedrückt erfährt das Signal einen größeren Widerstand im Vergleich zu den Ausführungsformen von 2A, in welchen die entsprechende Durchkontaktierung VG3 auf die Mitte des aktiven Bereichs 130A ausgerichtet ist. Die Anordnungen des leitfähigen Segments 766 und der Durchkontaktierung VG74 sind ähnlich jenen des leitfähigen Segments 764 und der Durchkontaktierung VG73. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • Die Gestaltungen von 8A dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 8A fallen in den erwogenen Umfang der vorliegenden Offenbarung. In verschiedenen Ausführungsformen weisen die aktiven Bereiche 730A - 730B zum Beispiel größere Breiten als die Breite W1 auf, und folglich überlappen die leitfähigen Segmente 764 - 766 die aktiven Bereiche 730A - 730B zur Gänze. In verschiedenen Ausführungsformen gibt es für das Routing zwischen den Transistoren T3 - T4 der integrierten Schaltung 700 mehr leitfähige Segmente entsprechend den leitfähigen Segmenten 764 oder 766 und mehr Durchkontaktierungen entsprechend den Durchkontaktierungen VG73 oder VG74, als bei jenen, welche in 8A gezeigt sind.
  • In der Folge wird Bezug genommen auf 8B. 8B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 700 in 8A entlang der Linie AA' in 8A im Einklang mit verschiedenen Ausführungsformen. Zur Veranschaulichung ist das Gate 753 über den aktiven Bereichen 730A - 730B angeordnet, und ein Teil des Gates 753 liegt an beiden aktiven Bereichen 730A - 730B an. Die Durchkontaktierungen VG3 - VG4 sind in y-Richtung auf die Mitten der aktiven Bereiche 730A - 730B ausgerichtet, und sind in y-Richtung voneinander getrennt.
  • Die Anordnungen der Formen der Durchkontaktierungen VG73 - VG74 sind ähnlich jenen der Durchkontaktierungen VG3 - VG4 von 2B. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • In der Folge wird Bezug genommen auf 9A. 9A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 700 entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 9A sind ähnliche Elemente in 8A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 9A gezeigten Elementen vorzustellen.
  • Verglichen mit 8A weist die integrierte Schaltung 700 ferner Durchkontaktierungen VD75, VM75 und VG79 und ein leitfähiges Segment 768 auf. Die Durchkontaktierungen VD75, VM75 und VG79 sind getrennt voneinander in Bezug auf die Durchkontaktierungen VD71, VM71 beziehungsweise VG73 gestaltet. Das leitfähige Segment 768 ist zum Beispiel in Bezug auf das leitfähige Segment 764 gestaltet. Zur Veranschaulichung ist die Durchkontaktierung VD75 an der leitfähigen Struktur 741 angeordnet und mit dieser verbunden. Die Durchkontaktierung VG79 ist am Gate 753 angeordnet und mit diesem verbunden. Die Durchkontaktierung VM75 ist am leitfähigen Segment 768 angeordnet und mit diesem verbunden. Das leitfähige Segment 768 ist durch die Durchkontaktierung VM75 mit der Leiterbahn 771 verbunden.
  • Bezugnehmend auf die 7B, 8A und 9A weist in solchen Ausführungsformen von 9A die Routing-Struktur, welche zum Widerstand des Widerstands R3 oder R4 von 7B beiträgt, auch die Durchkontaktierungen VD75, VM75 und VG79 und das leitfähige Segment 768 auf. Da der zusätzliche Pfad zum Übertragen des Signals im Gate 753 durch die Durchkontaktierungen VD75, VM75 und VG79 und das leitfähige Segment 768 bereitgestellt ist, wird folglich der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren T3 - T4 von 7B verringert.
  • Darüber hinaus, wie in den Ausführungsformen gezeigt in 9A, weist der aktive Bereich 730B eine Breite W4 auf. In einigen Ausführungsformen ist die Breite W4 größer als die Breite W1 des aktiven Bereichs 730B. Folglich ist in solchen Ausführungsformen die Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 753, welcher den aktiven Bereich 730A kreuzt, größer als die Anzahl der Durchkontaktierungen angeordnet am Abschnitt des Gates 753, welcher den aktiven Bereich 730B kreuzt. Die Beziehung zwischen der Anzahl von Durchkontaktierungen, welche in Bezug auf die Durchkontaktierungen VG73 oder VG74 gestaltet sind, und den Breiten der aktiven Bereiche 730A - 730B ist ähnlich jener, welche in Bezug auf die Durchkontaktierungen VG3 - VG4 und die aktiven Bereiche 130A - 130B erörtert wurde. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • Die Gestaltungen von 9A dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 9A fallen in den erwogenen Umfang der vorliegenden Offenbarung. In verschiedenen Ausführungsformen beträgt die Anzahl der Durchkontaktierungen gestaltet in Bezug auf die Durchkontaktierungen VG73 und VG79 zum Beispiel mehr als zwei. Folglich beträgt die Anzahl der leitfähigen Segmente gestaltet in Bezug auf die leitfähigen Segmente 764 und 768 mehr als zwei. In verschiedenen Ausführungsformen ist das Gate 753 von 9A in zwei Abschnitte als die Gates 753a - 753b geteilt, welche nachfolgend in 10A dargestellt sind.
  • In verschiedenen Ausführungsformen ist die Breite des aktiven Bereichs 730B darüber hinaus größer als die Breite W1. Eine Anzahl der Durchkontaktierungen gestaltet in Bezug auf die Durchkontaktierung VG74 beträgt mehr als eins. Dementsprechend beträgt die Anzahl der leitfähigen Segmente gestaltet in Bezug auf das leitfähige Segment 766 mehr als eins. Anders ausgedrückt weist die integrierte Schaltung 700 ferner zusätzliche Pfade auf, welche durch die oben erwähnten Strukturen zum Übertragen des Signals im Gate 753 bereitgestellt sind. Somit wird der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren T3 - T4 von 7B verringert.
  • In der Folge wird Bezug genommen auf 9B. 9B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 700 in 9A im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 9B sind ähnliche Elemente in 8B zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 9B gezeigten Elementen vorzustellen.
  • Verglichen mit 8B weist die integrierte Schaltung 700 ferner die Durchkontaktierung VG79 und das leitfähige Segment 768 auf. Die VG79 ist um einen Abstand entfernt von der Durchkontaktierung VG73 angeordnet. Die Gestaltungen von 9B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 9B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel ist in einigen Ausführungsformen die Durchkontaktierung VG79 in der y-Richtung auf die Mitte des aktiven Bereichs 730A aufweisend die Breite W4 ausgerichtet.
  • In der Folge wird Bezug genommen auf 10A. 10A ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 700 entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 10A sind ähnliche Elemente in 8A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 10A gezeigten Elementen vorzustellen.
  • Im Vergleich zu 8A weist die integrierte Schaltung 700, wie in 10A gezeigt, statt des Einzel-Gates 753 die Gates 753a - 753b auf. Die Gates 753a - 753b sind in Bezug auf das Gate 753 von 8A gestaltet. Zur Veranschaulichung sind die Gates 753a - 753b in einer y-Richtung aufeinander ausgerichtet, und sind in der y-Richtung voneinander getrennt. Die Durchkontaktierung VG73 ist am Gate 753a angeordnet, und die Durchkontaktierung VG74 ist am Gate 753b angeordnet.
  • In einigen Ausführungsformen werden die Gates 753a - 753b durch Ausführen einer Schnittschicht (nicht gezeigt) in der Mitte des Gates 753 gebildet. In einigen Ausführungsformen weist die Schnittschicht eine Breite in y-Richtung auf, welche gleich der Breite des leitfähigen Segments 765 ist.
  • Da der leitfähige Pfad durch die Gate-Struktur in 10A zerschnitten ist (d.h. die Gates 753a - 753b entsprechend dem Gate 753 sind voneinander getrennt), ist ferner in verschiedenen Ausführungsformen statt den zwei Pfaden, wie in 9A dargestellt, nur ein leitfähiger Pfad durch die Leiterbahn 771 bereitgestellt. Folglich ist der Widerstand erzeugt durch die Routing-Struktur von 10A zum Beispiel um ungefähr 4 % höher als jener von 9A.
  • In der Folge wird Bezug genommen auf 10B. 10B ist eine Querschnittsansicht eines Teils der integrierten Schaltung 700 in 10A im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 10B sind ähnliche Elemente in 8B zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 10B gezeigten Elementen vorzustellen.
  • Im Vergleich zu 8B sind anstelle des Gates 753, welches eine Einzel-Gate-Struktur darstellt, die beiden getrennten Gates 753a - 753b in y-Richtung voneinander getrennt.
  • Die Gestaltungen der 10A - 10B dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen der 10A - 10B fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel sind in einigen Ausführungsformen die Breiten der aktiven Bereiche 730A - 730B breiter als die Breite W1, und folglich weist die integrierte Schaltung 700 mehr Durchkontaktierungen entsprechend den Durchkontaktierungen VG73 oder VG74 auf.
  • In der Folge wird Bezug genommen auf 11. 11 ist ein weiteres Layout-Diagramm in der Draufsicht eines Teils der integrierten Schaltung 700 entsprechend einem Teil von 7B im Einklang mit verschiedenen Ausführungsformen. In Bezug auf die Ausführungsformen von 11 sind ähnliche Elemente in 8A zum besseren Verständnis mit denselben Bezugsziffern gekennzeichnet. Die spezifischen Operationen ähnlicher Elemente, welche in vorstehenden Absätzen bereits ausführlich erörtert worden sind, werden hierin der Kürze halber weggelassen, außer es ist notwendig, die Beziehung der Zusammenarbeit mit den in 11 gezeigten Elementen vorzustellen.
  • Verglichen mit 8A weist die integrierte Schaltung 700 wie in 11 gezeigt ferner Durchkontaktierungen VM76 - VM77 und VG710 - VG711 und eine Leiterbahn 773 auf. Die Durchkontaktierungen VM76 und VG77 sind in Bezug auf die Durchkontaktierungen VM71 beziehungsweise VM72 gestaltet. Die Durchkontaktierungen VG710 und VG711 sind in Bezug auf die Durchkontaktierungen VG73 beziehungsweise VG74 gestaltet. Die Leiterbahn 773 ist zum Beispiel in Bezug auf die Leiterbahn 771 gestaltet. Zur Veranschaulichung ist die Durchkontaktierung VM76 am leitfähigen Segment 763a angeordnet und mit diesem verbunden. Die Durchkontaktierung VM77 ist am leitfähigen Segment 767b angeordnet und mit diesem verbunden. Die Durchkontaktierungen VM76 - VM77 sind ferner mit der Leiterbahn 773 verbunden. Die Durchkontaktierungen VG710 - VG711 sind am Gate 752 angeordnet und mit diesem verbunden. Folglich ist in solchen Anordnungen das Gate 752 durch die Durchkontaktierungen VG710 - 711, die leitfähigen Segmente 763a und 767a, und die Leiterbahn 773 mit der Leiterbahn 773 verbunden.
  • Bezugnehmend auf die 7B, 8A und 11 weist die Routing-Struktur zwischen den Gates der Transistoren T1 - T2 von 7B, welche einen Widerstand beiträgt, in solchen Ausführungsformen von 11 auch die Durchkontaktierungen VM76 - VM77 und VG710 - VG711 und die die Leiterbahn 773 auf. Da ein zusätzlicher Pfad zum Übertragen des Signals im Gate 752 durch die Durchkontaktierungen VM76 - VM77 und VG710 - VG711 und die Leiterbahn 773 bereitgestellt ist, wird folglich der Widerstand erzeugt durch die Routing-Struktur zwischen den Gates der Transistoren T1 - T2 von 7B verringert.
  • Die Merkmale der Beziehung zwischen den Durchkontaktierungen VM76 - VM77, VG710 - VG711, der Leiterbahn 773 und dem Widerstand erzeugt durch deren Routing-Struktur sind ähnlich jenen der Durchkontaktierungen VM71 - VM72, VG73 - VG74 und der Leiterbahn 771. Der Kürze halber werden wiederholte Beschreibungen hier somit weggelassen.
  • Die Gestaltungen von 11 dienen veranschaulichenden Zwecken. Verschiedene Umsetzungen von 11 fallen in den erwogenen Umfang der vorliegenden Offenbarung. Wenn sich zum Beispiel in einigen Ausführungsformen die Breiten der aktiven Bereiche 730A und/oder 730B erhöhen, sind die Durchkontaktierungen VM71 - VM76, VG73 - VG74, VG710 - VG711, die leitfähigen Segmente 763a, 767a, 764, 766 oder Kombinationen davon Instrumente mit mehreren entsprechenden Strukturen, um den durch die Routing-Strukturen erzeugten Widerstand weiter zu verringern.
  • In der Folge wird Bezug genommen auf 12. 12 ist ein Ablaufdiagramm eines Verfahrens 1200 zur Herstellung der integrierten Schaltung 100 oder 700 im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach den in den 12 gezeigten Prozessen bereitgestellt werden können, und dass manche der unten beschriebenen Vorgänge für zusätzliche Ausführungsformen des Verfahrens 1200 ersetzt oder gestrichen werden können. Das Verfahren 1200 umfasst die Vorgänge 1210 - 1230, welche nachfolgend unter Bezugnahme auf die integrierte Schaltung 100 von 2A beschrieben sind.
  • Bei Vorgang 1210 wird eine Gate-Struktur gebildet, welche durch einen ersten Transistor und einen zweiten Transistor gemeinsam genützt wird. Der erste Transistor kann von einem ersten Typ und der zweite Transistor von einem zweiten Typ sein, wobei sich der zweite Typ vom ersten Typ unterscheidet. Bezugnehmend auf 1B und 1C wird das Gate 154 gebildet und durch den Transistor M5 des Typs P und den Transistor M6 des Typs N gemeinsam genützt.
  • Bei Vorgang 1220 werden mindestens eine erste Gate-Durchkontaktierung und mindestens eine zweite Gate-Durchkontaktierung an der Gate-Struktur gebildet. Bezugnehmend auf 1C werden die Durchkontaktierungen VG3 und VG4 am Gate 154 gebildet. In einigen Ausführungsformen sind die Durchkontaktierungen VG3 und VG4 mit dem Gate 154 elektrisch verbunden.
  • Bei Vorgang 1230 wird eine Leiterbahn verbunden mit der Gate-Struktur - durch eine Mehrzahl leitfähiger Durchkontaktierungen, eine Mehrzahl leitfähiger Segmente, die mindestens eine erste Gate-Durchkontaktierung und die mindestens eine zweite Gate-Durchkontaktierung - gebildet. In einigen Ausführungsformen erstrecken sich die Gate-Struktur und die Leiterbahn in einer ersten Richtung (z.B. einer y-Richtung). Bezugnehmend auf 1C wird die Leiterbahn 171 gebildet und durch die Durchkontaktierungen VM1- VM2, VG3 - VG4 und die leitfähigen Segmente 163 und 167 mit dem Gate 154 verbunden. Wie in 2A gezeigt, erstrecken sich in einigen Ausführungsformen das Gate 154 und die Leiterbahn 171 in der y-Richtung.
  • In einigen Ausführungsformen liegt das Verhältnis der Breite der Leiterbahn 171 zu einer Breite des Gates 154 im Bereich von ungefähr 1 bis ungefähr 20, und das Verhältnis der Höhe der Leiterbahn 171 zur Höhe des Gates 154 im Bereich von ungefähr 1 bis ungefähr 40.
  • In einigen Ausführungsformen umfasst das Verfahren 1200 ferner den Vorgang des Bildens des aktiven Bereichs 130A des Transistors M5 und des aktiven Bereichs 130B des Transistors M6. Die aktiven Bereiche 130A - 130B sind in der y-Richtung voneinander getrennt und erstrecken sich in die x-Richtung. In einigen Ausführungsformen ist die Durchkontaktierung VG3 in der y-Richtung auf eine Mitte des aktiven Bereichs 130A ausgerichtet, wie in 2A gezeigt.
  • In einigen Ausführungsformen umfasst das Verfahren 1200 ferner den Vorgang des Trennens, in y-Richtung, eines ersten Segments des Gates 154 von einem zweiten Segment des Gates 154. Das erste Segment ist zum Beispiel das Gate 154a von 6A, und das zweite Segment ist zum Beispiel das Gate 154b von 6A.
  • Wie oben erörtert ist in einigen Ausführungsformen ein Widerstand eines leitenden Pfads mit den getrennten Segmenten, zum Beispiel den Gates 154a - 154b des Gates 154, größer als jener, der vorliegt, wenn das erste Segment und das zweite Segment des Gates 154 miteinander verschmolzen sind.
  • 13 zeigt eine Vergleichstabelle eines Gate-Widerstands im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung. Wie oben erörtert variiert der Gate-Widerstand (aufweisend das Metall-Routing verbunden mit der Gate-Struktur) als Reaktion auf verschiedene Layouts. In einigen Ausführungsformen entspricht Fall A einigen Ansätzen, welche eine fortlaufende Gate-Struktur und eine darauf angeordnete Durchkontaktierung ohne weitere Segmente gestaltet in Bezug auf die Leiterbahn 171 oder 771 der vorliegenden Offenbarung bereitstellen. Fall B entspricht den Ausführungsformen von 8A. Fall C entspricht den Ausführungsformen von 10A. Fall D entspricht den Ausführungsformen von 2A. Fall E entspricht den Ausführungsformen von 6A.
  • Vergleicht man wie in 13 gezeigt Fall B mit Fall A, welcher den Gate-Widerstand bezeichnet als 1X aufweist, so beträgt der Gate-Widerstand von Fall B 0,75x und ist kleiner als jener von Fall A, weil er mehr als eine Durchkontaktierung VG73 - VG74 und zusätzlich die Leiterbahn 771 aufweist, wie in 8A gezeigt. Anders ausgedrückt stellt Fall B mehr leitfähige Pfade bereit als Fall A.
  • Vergleicht man Fall C mit Fall B, so ist die Gate-Struktur in Fall C zerschnitten. Fall C weist weniger leitfähigen Pfad auf, und folglich beträgt der Gate-Widerstand von Fall C 0,79x und ist größer als jener von Fall B.
  • Vergleicht man Fall D mit Fall B, so sind Durchkontaktierungen in Fall D in den Mitten aktiver Bereiche angeordnet. Wie oben erörtert, gelangt in einigen Ausführungsformen das durch leitfähige Segmente (Schicht Mo in 13) und die Durchkontaktierungen übertragene Signal direkt in das Gate und die aktiven Bereiche, ohne sich im Gate über eine große Entfernung fortzupflanzen. Folglich beträgt der Gate-Widerstand von Fall D 0,52x und ist geringer als jener von Fall B.
  • Vergleicht man Fall E mit Fall D, so ist die Gate-Struktur in Fall E zerschnitten. Fall E weist weniger leitfähigen Pfad auf, und folglich beträgt der Gate-Widerstand von Fall E 0,5,4x und ist größer als jener von Fall D.
  • Darüber hinaus ist der Gate-Widerstand von Fall C zwar größer als jener von Fall B, während der Effekt der parasitären Kapazität des Metall-Routings in Fall C jedoch in einigen Ausführungsformen aufgrund des getrennten Gates geringer ist als in Fall B. Der Vergleich des Effekts der parasitären Kapazität zwischen den Fällen D und E ist ähnlich jenem der Fälle B und C. Somit werden wiederholte Beschreibungen hier weggelassen.
  • Die Gestaltungen von 13 dienen der Veranschaulichung. Verschiedene Umsetzungen fallen in den erwogenen Umfang der vorliegenden Offenbarung. Zum Beispiel verringert sich in einigen Ausführungsformen der Gate-Widerstand, wenn mehrere Durchkontaktierungen mit dem Gate verbunden sind, wie in den Ausführungsformen von 5A gezeigt.
  • In der Folge wird Bezug genommen auf 14. 14 ist ein Blockschaltbild eines Elektronikdesignautomatisierungssystems (EDA-Systems) 1400 zum Entwerfen eines IC-Layout-Designs im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung. Das EDA-System 1400 ist dafür eingerichtet, einen oder mehrere Vorgänge des Verfahrens 1200 umzusetzen, welches in 12 offenbart und in Verbindung mit den 1A - 11 näher erklärt wird. In einigen Ausführungsformen weist das EDA-System 1400 ein APR-System auf.
  • In einigen Ausführungsformen ist das EDA-System 1400 eine Mehrzweck-Datenverarbeitungsvorrichtung aufweisend einen Hardwareprozessor 1402 und ein nichtflüchtiges, computerlesbares Speichermedium 1404. Das Speichermedium 1404 ist unter anderem kodiert mit, das heißt, es speichert, Computerprogrammcode (Anweisungen) 1406, d.h. einen Satz ausführbarer Befehle. Die Ausführung der Anweisungen 1406 durch den Hardwareprozessor 1402 stellt (zumindest zum Teil) ein EDA-Werkzeug dar, welches einen oder sämtliche Abschnitte des Verfahrens 1200 umsetzt.
  • Der Prozessor 1402 ist über einen Bus 1408 elektrisch mit dem computerlesbaren Speichermedium 1404 verbunden. Der Prozessor 1402 ist durch den Bus 1408 auch mit einer Eingabe/Ausgabe-Schnittstelle 1410 und einem Fertigungswerkzeug 1416 elektrisch verbunden. Eine Netzwerkschnittstelle 1412 ist ebenfalls über den Bus 1408 mit dem Prozessor 1402 elektrisch verbunden. Die Netzwerkschnittstelle 1412 ist mit einem Netzwerk 1414 verbunden, sodass der Prozessor 1402 und das computerlesbare Speichermedium 1404 in der Lage sind, sich über das Netzwerk 1414 mit externen Elementen zu verbinden. Der Prozessor 1402 ist dafür eingerichtet, Computerprogrammcode 1406, der im computerlesbaren Speichermedium 1404 kodiert/gespeichert ist, auszuführen, um zu veranlassen, dass das EDA-System 1400 dazu verwendbar ist, einen oder sämtliche Abschnitte der aufgeführten Prozesse und/oder Verfahren auszuführen. In einer oder mehreren Ausführungsformen ist der Prozessor 1402 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einer oder mehreren Ausführungsformen ist das computerlesbare Speichermedium 1404 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder eine entsprechende Einrichtung oder Vorrichtung). Zum Beispiel weist das computerlesbare Speichermedium 1404 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Festwertspeicher (ROM), eine magnetische Festplatte und/oder eine optische Platte auf. In einer oder mehreren Ausführungsformen, welche optische Platten verwenden, weist das computerlesbare Speichermedium 1404 einen Kompaktdisk-Festwertspeicher (CD-ROM), eine Kompaktdisk zum Lesen/Schreiben (CD-R/W) und/oder eine digitale Videodisk (DVD) auf.
  • In einer oder mehreren Ausführungsformen speichert das Speichermedium 1404 Computerprogrammcode 1406, welcher dafür eingerichtet ist, zu veranlassen, dass das EDA-System 1400 (in welchem eine solche Ausführung (mindestens zum Teil) das EDA-Werkzeug darstellt) dafür verwendbar ist, einen Abschnitt der oder sämtliche aufgeführten Prozesse und/oder Verfahren auszuführen. In einer oder mehreren Ausführungsformen speichert das Speichermedium 1404 auch Informationen, welche das Ausführen eines Abschnitts der oder sämtlicher der aufgeführten Prozesse und/oder Verfahren ermöglichen. In einer oder mehreren Ausführungsformen speichert das Speichermedium 1404 ein IC-Layout-Diagramm 1420 von Standardzellen aufweisend hierin offenbarte Standardzellen, zum Beispiel eine Zelle, welche die integrierten Schaltungen 100 und/oder 700, welche oben in Bezug auf die 1A - 11 erörtert worden sind, aufweisen.
  • Das EDA-System 1400 weist die Eingabe/Ausgabe- (I/O-) Schnittstelle 1410 auf. Die I/O-Schnittstelle 1410 ist mit einer externen Schaltung verbunden. In einer oder mehreren Ausführungsformen weist die I/O-Schnittstelle 1410 eine Tastatur, ein Tastenfeld, eine Maus, eine Steuerkugel, einen Berührungsbildschirm und/oder Cursorrichtungstasten zum Übermitteln von Informationen und Befehlen zum Prozessor 1402 auf.
  • Das EDA-System 1400 weist auch die Netzwerkschnittstelle 1412, die mit dem Prozessor 1402 verbunden ist, auf. Die Netzwerkschnittstelle 1412 ermöglicht dem System 1400, mit dem Netzwerk 1414 zu kommunizieren, mit welchem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 1412 weist drahtlose Netzwerkschnittstellen wie BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder verdrahtete Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-1464 auf. In einer oder mehreren Ausführungsformen ist ein Abschnitt der oder sind sämtliche der aufgeführten Prozesse und/oder Verfahren in zwei oder mehr Systemen 1400 umgesetzt.
  • Das EDA-System 1400 weist auch das Fertigungswerkzeug 1416 verbunden mit dem Prozessor 1402 auf. Das Fertigungswerkzeug 1416 ist dafür eingerichtet, integrierte Schaltungen, z.B. die integrierte Schaltung 100 und/oder 700, welche in den 1A - 11 dargestellt sind, im Einklang mit den durch den Prozessor 1402 verarbeiteten Design-Dateien herzustellen.
  • Das EDA-System 1400 ist dafür eingerichtet, durch die I/O-Schnittstelle 1410 Informationen zu empfangen. Die durch die I/O-Schnittstelle 1410 empfangenen Informationen weisen ein oder mehrere Elemente aus der Gruppe umfassend Anweisungen, Daten, Design-Regeln, Bibliotheken von Standardzellen und/oder andere Parameter zum Verarbeiten durch den Prozessor 1402 auf. Die Informationen werden über den Bus 1408 zum Prozessor 1402 übertragen. Das EDA-System 1400 ist dafür eingerichtet, durch die 1/O-Schnittstelle 1410 Informationen in Zusammenhang mit einer UI (Benutzeroberfläche) zu empfangen. Die Informationen werden im computerlesbaren Medium 1404 als Design-Spezifikation 1422 gespeichert.
  • In einigen Ausführungsformen ist ein Abschnitt der oder sind sämtliche der aufgeführten Prozesse und/oder Verfahren als eine alleinstehende Softwareanwendung zur Ausführung durch einen Prozessor umgesetzt. In einigen Ausführungsformen ist ein Abschnitt der oder sind sämtliche der aufgeführten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, welche einen Teil einer zusätzlichen Softwareanwendung bildet. In einigen Ausführungsformen ist ein Abschnitt der oder sind sämtliche der aufgeführten Prozesse und/oder Verfahren als ein Zusatzmodul (Plug-in) einer Softwareanwendung umgesetzt. In einigen Ausführungsformen ist mindestens einer/eines der aufgeführten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, welche einen Abschnitt eines EDA-Werkzeugs bildet. In einigen Ausführungsformen ist ein Abschnitt der oder sind sämtliche der aufgeführten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, welche vom EDA-System 1400 verwendet wird. In einigen Ausführungsformen wird ein Layout-Diagramm, welches Standardzellen aufweist, unter Verwendung eines geeigneten Layout-Erzeugungswerkzeugs erzeugt.
  • In einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms verwirklicht, welches in einem nichtflüchtigen computerlesbaren Aufzeichnungsmedium gespeichert ist. Beispiele eines nichtflüchtigen computerlesbaren Aufzeichnungsmediums weisen auf, sind aber nicht beschränkt auf, externe/entfernbare und/oder interne/eingebaute Speicher oder Speichereinheiten, zum Beispiel ein oder mehrere Elemente aus der Gruppe umfassend eine optische Platte, wie zum Beispiel eine DVD, eine Magnetplatte, wie zum Beispiel eine Festplatte, einen Halbleiterspeicher, wie zum Beispiel einen ROM, einen RAM, eine Speicherkarte und dergleichen.
  • 15 ist ein Blockschaltbild eines IC-Herstellungssystems 1500 und eines IC-Fertigungsflusses in Zusammenhang damit im Einklang mit einigen Ausführungsformen. In einigen Ausführungsformen basierend auf einem Layout-Diagramm werden mindestens (A) eine oder mehrere Halbleitermasken oder (B) mindestens eine Komponente in einer Schicht einer integrierten Halbleiterschaltung unter Verwendung des IC-Herstellungssystems 1500 hergestellt.
  • In 15 weist ein IC-Herstellungssystem 1500 Einheiten, wie zum Beispiel ein Design-Haus 1520, ein Maskenhaus 1530 und einen IC-Hersteller/Fabrikant („fab“) 1550, auf, welche hinsichtlich Design-, Entwicklungs- und Herstellungszyklen und/oder -Diensten in Zusammenhang mit der Herstellung eines IC-Bauelements 1560 miteinander kooperieren. Die Einheiten im IC-Herstellungssystem 1500 sind durch ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl unterschiedlicher Netzwerke, wie zum Beispiel ein Intranet und das Internet. Das Kommunikationsnetzwerk weist verdrahtete und/oder drahtlose Kommunikationskanäle auf. Jede der Einheiten interagiert mit einer oder mehreren der anderen Einheiten, und stellt einer oder mehreren der anderen Einheiten Dienste bereit oder empfängt solche von diesen. In einigen Ausführungsformen sind zwei oder mehr der Einheiten Design-Haus 1520, Maskenhaus 1530 und IC-Fabrikant 1550 im Besitz eines einzigen Unternehmens. In einigen Ausführungsformen sind zwei oder mehr der Einheiten Design-Haus 1520, Maskenhaus 1530 und IC-Fabrikant 1550 in einer gemeinsamen Anlage untergebracht und nutzen gemeinsame Ressourcen.
  • Das Design-Haus (oder Design-Team) 1520 erzeugt ein IC-Designlayout-Diagramm 1522. Das IC-Designlayout-Diagramm 1522 weist verschiedene geometrische Strukturen auf, zum Beispiel ein IC-Layoutdesign, welches in den 2A, 3, 4A, 5A, 6A, 8A, 9A, 10A und/oder 11 abgebildet und für eine IC-Vorrichtung 1560 entworfen worden ist, zum Beispiel für die integrierten Schaltungen 100 und 700, welche oben in Bezug auf die 2A, 3, 4A, .5A, 6A, 8A, 9A, 10A und/oder 11 erörtert worden sind. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, welche die verschiedenen Komponenten des IC-Bauelements 1560, welches gefertigt werden soll, bilden. Die verschiedenen Schichten verbinden sich, um verschiedene IC-Merkmale zu bilden. Zum Beispiel weist ein Abschnitt des IC-Designlayout-Diagramms 1522 verschiedene IC-Merkmale auf, wie zum Beispiel einen aktiven Bereich, eine Gate-Elektrode, Source und Drain, leitfähige Segmente oder Durchkontaktierungen einer Zwischenschicht-Interconnect-Struktur, welche in einem Halbleitersubstrat (zum Beispiel einem Siliziumwafer) zu bilden sind, sowie verschiedene Materialschichten, welche auf dem Halbleitersubstrat angeordnet sind. Das Design-Haus 1520 setzt ein angemessenes Design-Verfahren um, um das IC-Designlayout-Diagramm 1522 zu bilden. Das Design-Verfahren umfasst eines oder mehrere Elemente aus der Gruppe umfassend ein logisches Design, einen physisches Design oder Standort und Routing. Das IC-Designlayout-Diagramm 1522 ist in einer oder mehreren Datendateien dargestellt, welche Informationen über die geometrischen Strukturen aufweisen. Zum Beispiel kann das IC-Designlayout-Diagramm 1522 in einem GDSII-Dateiformat oder einem DFII-Dateiformat ausgedrückt sein.
  • Das Maskenhaus 1530 umfasst Datenerstellung 1532 und Maskenfertigung 1544. Das Maskenhaus 1530 verwendet das IC-Designlayout-Diagramm 1522, um eine oder mehrere Masken 1545 herzustellen, welche dazu verwendet werden sollen, die verschiedenen Schichten der IC-Vorrichtung 1560 gemäß dem IC-Designlayout-Diagramm 1522 zu fertigen. Das Maskenhaus 1530 führt die Maskendatenvorbereitung 1532 durch, bei welcher das IC-Designlayout-Diagramm 1522 in eine repräsentative Datendatei („RDF“) übersetzt wird. Die Maskendatenvorbereitung 1532 stellt der Maskenfertigung 1544 das RDF bereit. Die Maskenfertigung 1544 weist einen Maskenschreiber auf. Ein Maskenschreiber wandelt das RDF in ein Bild auf einem Substrat, wie zum Beispiel einer Maske (Strichplatte) 1545 oder einem Halbleiterwafer 1553, um. Das IC-Designlayout-Diagramm 1522 wird durch die Maskendatenvorbereitung 1532 bearbeitet, um den jeweiligen Eigenschaften des Maskenschreibers und/oder den Anforderungen des IC-Fabrikanten 1550 zu entsprechen. In 15 sind die Maskendatenvorbereitung 1532 und die Maskenfertigung 1544 als getrennte Elemente dargestellt. In einigen Ausführungsformen können die Datenvorbereitung 1532 und die Maskenfertigung 1544 zusammen als Maskendatenvorbereitung bezeichnet werden.
  • In einigen Ausführungsformen umfasst die Datenvorbereitung 1532 eine optische Nahbereichskorrektur (OPC), welche Lithografie-Verbesserungstechniken verwendet, um Bildfehler, wie zum Beispiel jene, die durch Diffraktion, Interferenz, andere Prozesseffekte und dergleichen entstehen können, auszugleichen. Die OPC passt das IC-Designlayout-Diagramm 1522 an. In einigen Ausführungsformen umfasst die Datenvorbereitung 1532 weitere Auflösungsverbesserungstechniken (RET), wie zum Beispiel außeraxiale Beleuchtung, Subauflösungs-Hilfsfunktionen, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen, oder Kombinationen davon. In einigen Ausführungsformen wird auch umgekehrte Lithografietechnologie (ILT) verwendet, welche die OPC als ein umgekehrtes Bilderstellungsproblem behandelt.
  • In einigen Ausführungsformen umfasst die Datenvorbereitung 1532 einen Maskenregelprüfer (MRC), welcher das IC-Designlayout-Diagramm 1522, welches bei der OPC Prozessen unterzogen worden ist, mit einem Satz von Maskenerstellungsregeln überprüft, welche bestimmte geometrische und/oder Anschlusseinschränkungen aufweisen, um ausreichende Ränder sicherzustellen, Schwankungen bei den Halbleiterherstellungsverfahren zu berücksichtigen und dergleichen. In einigen Ausführungsformen modifiziert der MRC das IC-Designlayout-Diagramm 1522, um Einschränkungen während der Maskenfertigung 1544, welche einen Teil der durch die OPC ausgeführten Modifikationen rückgängig machen könnten, auszugleichen, um Maskenerstellungsregeln einzuhalten.
  • In einigen Ausführungsformen umfasst die Datenvorbereitung 1532 eine Lithografieprozessprüfung (LPC), welche die Verarbeitung simuliert, welche durch den IC-Fabrikanten 1550 umgesetzt wird, um die IC-Vorrichtung 1560 zu fertigen. Die LPC simuliert diese Verarbeitung basierend auf dem IC-Designlayout-Diagramm 1522, um eine simulierte hergestellte Vorrichtung, wie zum Beispiel die IC-Vorrichtung 1560, zu schaffen. Die Verfahrensparameter der LPC-Simulation können Parameter in Zusammenhang mit verschiedenen Prozessen des IC-Herstellungszyklus, Parameter in Zusammenhang mit Werkzeugen, die für die Herstellung der IC verwendet werden, und/oder andere Aspekte des Herstellungsverfahrens aufweisen. Die LPC berücksichtigt verschiedene Faktoren, wie zum Beispiel Luftbildkontrast, Tiefenschärfe („DOF“), Maskenfehlerverbesserungsfaktor („MEEF“), andere geeignete Faktoren und dergleichen oder Kombinationen davon. In einigen Ausführungsformen werden OPC und/oder MRC wiederholt, nachdem eine simulierte hergestellte Vorrichtung durch die LPC geschaffen worden ist, falls die simulierte Vorrichtung hinsichtlich ihrer Form die Design-Regeln nicht ausreichend erfüllt, um das IC-Designlayout-Diagramm 1522 noch weiter zu verfeinern.
  • Es versteht sich, dass die obige Beschreibung der Datenvorbereitung 1532 aus Gründen der Klarheit vereinfacht worden ist. In einigen Ausführungsformen umfasst die Datenvorbereitung 1532 zusätzliche Merkmale, wie zum Beispiel eine logische Operation (LOP), um das IC-Designlayout-Diagramm 1522 gemäß den Herstellungsregeln zu modifizieren. Darüber hinaus können die während der Datenvorbereitung 1532 am IC-Designlayout-Diagramm 1522 vorgenommenen Prozesse in einer Vielzahl unterschiedlicher Reihenfolgen ausgeführt werden.
  • Nach der Datenvorbereitung 1532 und während der Maskenfertigung 1544 wird eine Maske 1545 oder eine Gruppe von Masken 1545 basierend auf dem modifizierten IC-Designlayout-Diagramm 1522 hergestellt. In einigen Ausführungsformen umfasst die Maskenherstellung 1544 das Ausführen einer oder mehrere lithografischer Belichtungen basierend auf dem IC-Designlayout-Diagramm 1522. In einigen Ausführungsformen wird ein Elektronenstrahl (E-Beam) oder ein Mechanismus aus mehreren Elektronenstrahlen verwendet, um eine Struktur auf einer Maske (Fotomaske oder Strichplatte) 1545 basierend auf dem modifizierten IC-Designlayout-Diagramm 1522 zu bilden. Die Maske 1545 kann mit verschiedenen Technologien gebildet werden. In einigen Ausführungsformen wird die Maske 1545 unter Verwendung einer Binärtechnologie gebildet. In einigen Ausführungsformen weist eine Maskenstruktur undurchsichtige und transparente Bereiche auf. Ein Strahlenbündel, wie zum Beispiel ein ultravioletter Strahl (UV-Strahl), welcher dazu verwendet wird, die bildempfindliche Materialschicht (z.B. den Fotolack), mit welcher ein Wafer beschichtet worden ist, zu belichten, wird durch den undurchsichtigen Bereich blockiert und durchdringt die transparenten Bereiche. In einem Beispiel weist eine binäre Maskenversion der Maske 1545 ein transparentes Substrat (zum Beispiel Quarzglas) und ein undurchsichtiges Material (zum Beispiel Chrom), mit welchem die undurchsichtigen Bereiche der Binärmaske beschichtet sind, auf. In einem anderen Beispiel wird die Maske 1545 unter Verwendung einer Phasenverschiebungstechnologie gebildet. In einer Phasenverschiebungsmaskenversion (PSM-Version) der Maske 1545 sind verschiedene Merkmale in der auf der Phasenverschiebungsmaske gebildeten Struktur dafür eingerichtet, eine geeignete Phasendifferenz aufzuweisen, um die Auflösung und Bildgebungsqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine gedämpfte PSM oder eine alternierende PSM sein. Die durch die Maskenherstellung 1544 erzeugte/n Maske/n wird/werden in einer Vielzahl von Prozessen verwendet. Zum Beispiel wird/werden (eine) solche Maske/n in einem Ionenimplantationsverfahren verwendet, um verschiedene dotierte Bereiche im Halbleiterwafer 1553 zu bilden, in einem Ätzverfahren, um verschiedene Ätzbereiche im Halbleiterwafer 1553 zu bilden, und/oder in anderen geeigneten Verfahren.
  • Der IC-Fabrikant 1550 umfasst die Wafer-Fertigung 1552. Der IC-Fabrikant 1550 ist ein IC-Fertigungsunternehmen, welches eine oder mehrere Anlagen für die Herstellung einer Vielzahl unterschiedlicher IC-Produkte aufweist. In einigen Ausführungsformen ist der IC-Fabrikant 1550 ein Halbleiterhersteller. Zum Beispiel könnte es eine Fertigungsanlage für die Front-End-Fertigung einer Vielzahl von IC-Produkten (Front-End-Of-Line-Fertigung (FEOL-Fertigung)) geben, während eine zweite Fertigungsanlage die Back-End-Fertigung für die Verbindung und Verpackung der IC-Produkte (Back-End-Of-Line-Fertigung (BEOL-Fertigung)) und eine dritte Fertigungsanlage andere Dienste für das Herstellungsunternehmen bereitstellt.
  • Der IC-Fabrikant 1550 verwendet (eine) Maske/n 1545, die durch das Maskenhaus 1530 hergestellt worden ist/sind, um die IC-Vorrichtung 1560 herzustellen. Somit verwendet der IC-Fabrikant 1550 zumindest indirekt das IC-Designlayout-Diagramm 1522, um die IC-Vorrichtung 1560 zu fertigen. In einigen Ausführungsformen wird der Halbleiterwafer 1553 durch den IC-Fabrikanten 1550 unter Verwendung der Maske/n 1545 zur Bildung der IC-Vorrichtung 1560 gefertigt. In einigen Ausführungsformen umfasst die IC-Fertigung das Ausführen einer oder mehrere lithografischer Belichtungen, zumindest indirekt basierend auf dem IC-Designlayout-Diagramm 1522. Der Halbleiterwafer 1553 weist ein Siliziumsubstrat oder ein anderes geeignetes Substrat auf, auf welchem Materialschichten gebildet sind. Der Halbleiterwafer 1553 weist ferner einen oder mehrere verschiedene dotierte Bereiche, dielektrische Merkmale, Mehrebenen-Interconnect-Strukturen und dergleichen auf (die in aufeinanderfolgenden Fertigungsschritten gebildet werden).
  • Wie oben beschrieben stellen integrierte Schaltungen in der vorliegenden Offenbarung zusätzliche leitfähige Pfade zum Übertragen von Signalen in den Gate-Strukturen von Mehrphasenschaltungen bereit. Somit wird der Widerstand erzeugt durch das Routing zwischen den Gate-Strukturen verringert und die Schaltungsleistung dementsprechend verbessert.
  • In einigen Ausführungsformen ist eine integrierte Schaltung offenbart, welche ein erstes Gate und ein zweites Gate in einer ersten Schicht, welche in einer ersten Richtung aufeinander ausgerichtet sind; eine erste Gate-Durchkontaktierung angeordnet am ersten Gate und eine zweite Gate-Durchkontaktierung angeordnet am zweiten Gate; mindestens ein erstes leitfähiges Segment und mindestens ein zweites leitfähiges Segment angeordnet in einer zweiten Schicht über der ersten Schicht, wobei das erste und das zweite leitfähige Segment mit der ersten beziehungsweise der zweiten Gate-Durchkontaktierung verbunden sind; und eine erste Leiterbahn angeordnet in einer dritten Schicht über der zweiten Schicht, welche sich in der ersten Richtung erstreckt; wobei das erste und das zweite Gate dafür eingerichtet sind, ein Anschluss einer ersten logischen Schaltung zu sein, wobei die erste Leiterbahn durch eine erste Verbindungsdurchkontaktierung, das erste leitfähige Segment und die erste Gate-Durchkontaktierung elektrisch mit dem ersten Gate verbunden ist, wobei die zweite Leiterbahn durch eine zweite Verbindungsdurchkontaktierung, das zweite leitfähige Segment und die zweite Gate-Durchkontaktierung elektrisch mit dem zweiten Gate verbunden ist, aufweist. In einigen Ausführungsformen sind das erste und das zweite Gate als eine Gate-Struktur, welche sich in der ersten Richtung erstreckt, miteinander verbunden. In einigen Ausführungsformen weist die Halbleiterstruktur ferner einen ersten aktiven Bereich und einen zweiten aktiven Bereich auf, welche in der ersten Richtung voneinander getrennt sind und sich in einer zweiten Richtung, welche sich von der ersten Richtung unterscheidet, erstrecken; wobei die mindestens eine erste Gate-Durchkontaktierung mehrere erste Gate-Durchkontaktierungen aufweist und die mindestens eine zweite Gate-Durchkontaktierung mehrere zweite Gate-Durchkontaktierungen aufweist; wobei eine Anzahl der ersten Gate-Durchkontaktierungen in der ersten Richtung entlang einer Breite des ersten aktiven Bereichs ausgerichtet sind, und eine Anzahl der zweiten Gate-Durchkontaktierungen in der ersten Richtung entlang einer Breite des zweiten aktiven Bereichs ausgerichtet sind. In einigen Ausführungsformen erhöht sich die Anzahl der ersten Gate-Durchkontaktierungen, wenn die Breite des ersten aktiven Bereichs zunimmt. In einigen Ausführungsformen weisen die mindestens eine erste Gate-Durchkontaktierung und die mindestens eine zweite Gate-Durchkontaktierung mehrere erste Gate-Durchkontaktierungen beziehungsweise mehrere zweite Gate-Durchkontaktierungen auf; wobei die Anzahl der ersten Gate-Durchkontaktierungen gleich der Anzahl der zweiten Gate-Durchkontaktierungen ist. In einigen Ausführungsformen weist die Halbleiterstruktur ferner einen ersten aktiven Bereich und einen zweiten aktiven Bereich auf, welche in der ersten Richtung voneinander getrennt sind und sich in einer zweiten Richtung, welche sich von der ersten Richtung unterscheidet, erstrecken; wobei die erste Gate-Durchkontaktierung in der ersten Richtung auf eine Mitte des ersten aktiven Bereichs ausgerichtet ist, und die zweite Gate-Durchkontaktierung in der ersten Richtung auf eine Mitte des zweiten aktiven Bereichs ausgerichtet ist. In einigen Ausführungsformen weist die Halbleiterstruktur ferner ein drittes Gate und ein viertes Gate angeordnet in der ersten Schicht, welche in der ersten Richtung aufeinander ausgerichtet sind; eine dritte Gate-Durchkontaktierung angeordnet am dritten Gate und eine vierte Gate-Durchkontaktierung angeordnet am vierten Gate; ein drittes leitfähiges Segment verbunden mit dem dritten Gate und ein viertes leitfähiges Segment verbunden mit dem vierten Gate, wobei das dritte und das vierte leitfähige Segment in der zweiten Schicht angeordnet sind; und eine zweite Leiterbahn angeordnet in der dritten Schicht, welche sich in der ersten Richtung erstreckt, auf; wobei das dritte und das vierte Gate dafür eingerichtet sind, ein Anschluss einer zweiten logischen Schaltung zu sein, wobei die erste und die zweite logische Schaltung miteinander verbunden sind.
  • Ebenfalls offenbart wird eine integrierte Schaltung, welche ein erstes Paar von Transistoren aufweisend ein erstes Gate, welches sich entlang einer ersten Richtung erstreckt; und einen ersten aktiven Bereich und einen zweiten aktiven Bereich, welcher in der ersten Richtung vom ersten aktiven Bereich getrennt ist, wobei das erste Gate den ersten aktiven Bereich und den zweiten aktiven Bereich kreuzt; mindestens eine erste Gate-Durchkontaktierung und mindestens eine zweite Gate-Durchkontaktierung, welche mit dem ersten Gate verbunden sind, wobei die mindestens eine erste Gate-Durchkontaktierung näher am ersten aktiven Bereich angeordnet ist als die mindestens eine zweite Gate-Durchkontaktierung; und eine erste Leiterbahn elektrisch verbunden mit der mindestens einen ersten Gate-Durchkontaktierung und der mindestens einen zweiten Gate-Durchkontaktierung aufweist; wobei ein leitfähiger Pfad, welcher mit dem ersten des ersten Paars von Transistoren verbunden ist, die mindestens eine erste Gate-Durchkontaktierung, die mindestens eine zweite Gate-Durchkontaktierung und die erste Leiterbahn aufweist. In einigen Ausführungsformen ist die mindestens eine erste Gate-Durchkontaktierung in der ersten Richtung auf eine Mitte des ersten aktiven Bereichs ausgerichtet. In einigen Ausführungsformen weisen die mindestens eine erste Gate-Durchkontaktierung und die mindestens eine zweite Gate-Durchkontaktierung mehrere erste Gate-Durchkontaktierungen beziehungsweise mehrere zweite Gate-Durchkontaktierungen auf; wobei ein Widerstand des leitfähigen Pfads mit einer Anzahl der ersten Gate-Durchkontaktierungen und einer Anzahl der zweiten Gate-Durchkontaktierungen in Zusammenhang steht. In einigen Ausführungsformen basiert eine Breite des ersten aktiven Bereichs auf der Anzahl der ersten Gate-Durchkontaktierungen. In einigen Ausführungsformen weist das erste Gate auf: einen ersten Abschnitt und einen zweiten Abschnitt, welcher in der ersten Richtung vom ersten Abschnitt getrennt ist, wobei die mindestens eine erste Gate-Durchkontaktierung am ersten Abschnitt des ersten Gates angeordnet ist und die mindestens eine zweite Gate-Durchkontaktierung am zweiten Abschnitt des ersten Gates angeordnet ist. In einigen Ausführungsformen beträgt ein Verhältnis einer Breite der ersten Leiterbahn zu einer Breite des ersten Abschnitts des ersten Gates zwischen ungefähr 1 und ungefähr 20. In einigen Ausführungsformen, in welchen die mindestens eine erste Gate-Durchkontaktierung aufweist: mehrere erste Gate-Durchkontaktierungen, erhöht sich ein Widerstand des leitfähigen Pfads als Reaktion auf eine Verringerung einer Anzahl der Mehrzahl erster Gate-Durchkontaktierungen. In einigen Ausführungsformen variiert die Anzahl der ersten Gate-Durchkontaktierungen, wenn eine Breite des ersten aktiven Bereichs variiert. In einigen Ausführungsformen weist die integrierte Schaltung ferner ein zweites Paar von Transistoren auf, aufweisend: ein zweites Gate eines ersten Transistors des zweiten Paars von Transistoren und ein drittes Gate eines zweiten Transistors des zweiten Paars von Transistoren; wobei das zweite Gate den ersten aktiven Bereich kreuzt und das dritte Gate den zweiten aktiven Bereich kreuzt; eine dritte Gate-Durchkontaktierung angeordnet am zweiten Gate; eine vierte Gate-Durchkontaktierung angeordnet am dritten Gate; und eine zweite Leiterbahn elektrisch verbunden mit der dritten Gate-Durchkontaktierung und der vierten Gate-Durchkontaktierung.
  • Ebenfalls offenbart wird ein Verfahren umfassend die folgenden Vorgänge: Bilden einer Gate-Struktur, welche von einem ersten Transistor eines ersten Typs und einem zweiten Transistor eines zweiten Typs, welcher sich vom ersten Typ unterscheidet, gemeinsam genützt wird; Bilden mindestens einer ersten Gate-Durchkontaktierung und mindestens einer zweiten Gate-Durchkontaktierung an der Gate-Struktur; und Bilden einer Leiterbahn verbunden mit der Gate-Struktur durch eine Mehrzahl leitfähiger Durchkontaktierungen, eine Mehrzahl leitfähiger Segmente, die mindestens eine erste Gate-Durchkontaktierung und die mindestens eine zweite Gate-Durchkontaktierung; wobei sich die Gate-Struktur und die Leiterbahn in einer ersten Richtung erstrecken. In einigen Ausführungsformen liegt ein Verhältnis einer Breite der Leiterbahn zu einer Breite der Gate-Struktur im Bereich von ungefähr 1 bis ungefähr 20, wobei ein Verhältnis einer Höhe der Leiterbahn zu einer Höhe der Gate-Struktur im Bereich von ungefähr 1 bis ungefähr 40 liegt. In einigen Ausführungsformen umfasst das Verfahren ferner das Bilden eines ersten aktiven Bereichs des ersten Transistors und eines zweiten aktiven Bereichs des zweiten Transistors, welche in der ersten Richtung voneinander getrennt sind und sich in einer zweiten Richtung, welche sich von der ersten Richtung unterscheidet, erstrecken, wobei die mindestens eine erste Gate-Durchkontaktierung in der ersten Richtung auf eine Mitte des ersten aktiven Bereichs ausgerichtet ist. In einigen Ausführungsformen variiert die Anzahl der mindestens einen ersten Gate-Durchkontaktierung sowie jene der mindestens einen zweiten Gate-Durchkontaktierung, wenn eine Länge der Gate-Struktur variiert.

Claims (18)

  1. Halbleiterstruktur, aufweisend: ein erstes Gate und ein zweites Gate angeordnet in einer ersten Schicht und in einer ersten Richtung in einer Linie miteinander ausgerichtet; mindestens eine erste Gate-Durchkontaktierung (VG3) angeordnet auf dem ersten Gate und mindestens eine zweite Gate-Durchkontaktierung (VG4) angeordnet auf dem zweiten Gate; ein erstes leitfähiges Segment (163) und ein zweites leitfähiges Segment (167) angeordnet in einer zweiten Schicht über der ersten Schicht, wobei das erste (163) und das zweite leitfähige Segment (167) mit der ersten (VG3) beziehungsweise der zweiten Gate-Durchkontaktierung (VG4) verbunden sind; und eine erste Leiterbahn (171) angeordnet in einer dritten Schicht über der zweiten Schicht und sich in der ersten Richtung erstreckend; wobei das erste und das zweite Gate dafür ausgerichtet sind, ein Anschluss einer ersten logischen Schaltung (120) zu sein, wobei die erste Leiterbahn (171) durch eine erste Verbindungsdurchkontaktierung (VM1), das erste leitfähige Segment (163) und die mindestens eine erste Gate-Durchkontaktierung (VG3) elektrisch mit dem ersten Gate verbunden ist, und wobei die erste Leiterbahn (171) durch eine zweite Verbindungsdurchkontaktierung (VM2), das zweite leitfähige Segment (167) und die mindestens eine zweite Gate-Durchkontaktierung (VG4) elektrisch mit dem zweiten Gate verbunden ist.
  2. Halbleiterstruktur nach Anspruch 1, wobei das erste und das zweite Gate als eine Gate-Struktur (154), welche sich in der ersten Richtung erstreckt, miteinander verbunden sind.
  3. Halbleiterstruktur nach Anspruch 2, ferner aufweisend: einen ersten aktiven Bereich und einen zweiten aktiven Bereich, welche in der ersten Richtung voneinander getrennt sind und sich in einer zweiten Richtung, welche sich von der ersten Richtung unterscheidet, erstrecken; wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) eine Mehrzahl erster Gate-Durchkontaktierungen aufweist, und die mindestens eine zweite Gate-Durchkontaktierung (VG4) eine Mehrzahl zweiter Gate-Durchkontaktierungen aufweist; wobei eine Anzahl der Mehrzahl erster Gate-Durchkontaktierungen in der ersten Richtung entlang einer Breite des ersten aktiven Bereichs ausgerichtet sind, und eine Anzahl der Mehrzahl zweiter Gate-Durchkontaktierungen in der ersten Richtung entlang einer Breite des zweiten aktiven Bereichs ausgerichtet sind.
  4. Halbleiterstruktur nach Anspruch 3, wobei sich die Anzahl der Mehrzahl erster Gate-Durchkontaktierungen erhöht, wenn die Breite des ersten aktiven Bereichs zunimmt.
  5. Halbleiterstruktur nach Anspruch 1, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) und die mindestens eine zweite Gate-Durchkontaktierung (VG4) eine Mehrzahl erster Gate-Durchkontaktierungen beziehungsweise eine Mehrzahl zweiter Gate-Durchkontaktierungen aufweisen, und wobei eine Anzahl der Mehrzahl erster Gate-Durchkontaktierungen gleich einer Anzahl der Mehrzahl zweiter Gate-Durchkontaktierungen ist.
  6. Halbleiterstruktur nach Anspruch 1, ferner aufweisend: einen ersten aktiven Bereich und einen zweiten aktiven Bereich, welche in der ersten Richtung voneinander getrennt sind und sich in einer zweiten Richtung, welche sich von der ersten Richtung unterscheidet, erstrecken; wobei die erste Gate-Durchkontaktierung (VG3) in der ersten Richtung auf eine Mitte des ersten aktiven Bereichs ausgerichtet ist, und die zweite Gate-Durchkontaktierung (VG4) in der ersten Richtung auf eine Mitte des zweiten aktiven Bereichs ausgerichtet ist.
  7. Halbleiterstruktur nach Anspruch 1, ferner aufweisend: ein drittes Gate und ein viertes Gate angeordnet in der ersten Schicht und in der ersten Richtung aufeinander ausgerichtet; eine dritte Gate-Durchkontaktierung angeordnet am dritten Gate und eine vierte Gate-Durchkontaktierung angeordnet am vierten Gate; ein drittes leitfähiges Segment verbunden mit dem dritten Gate und ein viertes leitfähiges Segment verbunden mit dem vierten Gate, wobei das dritte und das vierte leitfähige Segment in der zweiten Schicht angeordnet sind; und eine zweite Leiterbahn angeordnet in der dritten Schicht und sich in der ersten Richtung erstreckend; wobei das dritte und das vierte Gate dafür eingerichtet sind, ein Anschluss einer zweiten logischen Schaltung zu sein, wobei die erste und die zweite logische Schaltung miteinander verbunden sind.
  8. Integrierte Schaltung, aufweisend: ein erstes Paar von Transistoren (M5, M6), aufweisend: ein erstes Gate (154), welches sich entlang einer ersten Richtung erstreckt; und einen ersten aktiven Bereich (130A) und einen zweiten aktiven Bereich (130B) in der ersten Richtung getrennt vom ersten aktiven Bereich (130A), wobei das erste Gate (154) den ersten aktiven Bereich (130A) und den zweiten aktiven Bereich (130B) kreuzt; mindestens eine erste Gate-Durchkontaktierung (VG3) und mindestens eine zweite Gate-Durchkontaktierung (VG4), welche mit dem ersten Gate (154) verbunden sind, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) näher am ersten aktiven Bereich (130A) angeordnet ist als die mindestens eine zweite Gate-Durchkontaktierung; (VG4) und eine erste Leiterbahn (171) elektrisch verbunden mit der mindestens einen ersten Gate-Durchkontaktierung (VG3) und der mindestens einen zweiten Gate-Durchkontaktierung (VG4); wobei ein leitfähiger Pfad verbunden mit dem ersten Gate (154) des ersten Paars von Transistoren (M5, M6) die mindestens eine erste Gate-Durchkontaktierung (VG3), die mindestens eine zweite Gate-Durchkontaktierung (VG4) und die erste Leiterbahn (171) aufweist, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) in der ersten Richtung auf eine Mitte des ersten aktiven Bereichs (130) ausgerichtet ist.
  9. Integrierte Schaltung nach Anspruch 8, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) und die mindestens eine zweite Gate-Durchkontaktierung (VG4) eine Mehrzahl erster Gate-Durchkontaktierungen beziehungsweise eine Mehrzahl zweiter Gate-Durchkontaktierungen aufweisen, und wobei der Widerstand des leitfähigen Pfads mit einer Anzahl der Mehrzahl erster Gate-Durchkontaktierungen und einer Anzahl der Mehrzahl zweiter Gate-Durchkontaktierungen in Zusammenhang steht.
  10. Integrierte Schaltung nach Anspruch 9, wobei eine Breite des ersten aktiven Bereichs (130A) auf der Anzahl der Mehrzahl erster Gate-Durchkontaktierungen basiert.
  11. Integrierte Schaltung nach Anspruch 8, wobei das erste Gate (154) aufweist: einen ersten Abschnitt und einen zweiten Abschnitt, welcher in der ersten Richtung vom ersten Abschnitt getrennt ist, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) am ersten Abschnitt des ersten Gates (154) angeordnet ist und die mindestens eine zweite Gate-Durchkontaktierung (VG4) am zweiten Abschnitt des ersten Gates (154) angeordnet ist.
  12. Integrierte Schaltung nach Anspruch 11, wobei ein Verhältnis einer Breite der ersten Leiterbahn (171) zu einer Breite des ersten Abschnitts des ersten Gates (154) zwischen ungefähr 1 und ungefähr 20 beträgt.
  13. Integrierte Schaltung nach Anspruch 11, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) eine Mehrzahl erster Gate-Durchkontaktierungen aufweist, und wobei sich der Widerstand des leitfähigen Pfads als Reaktion auf eine Verringerung der Anzahl der Mehrzahl erster Gate-Durchkontaktierungen erhöht.
  14. Integrierte Schaltung nach Anspruch 13, wobei die Anzahl der Mehrzahl erster Gate-Durchkontaktierungen variiert, wenn eine Breite des ersten aktiven Bereichs (130A) variiert.
  15. Integrierte Schaltung nach Anspruch 8, ferner aufweisend: ein zweites Paar von Transistoren, aufweisend: ein zweites Gate eines ersten Transistors des zweiten Paars von Transistoren; ein drittes Gate eines zweiten Transistors des zweiten Paars von Transistoren; wobei das zweite Gate den ersten aktiven Bereich (130A) kreuzt und das dritte Gate den zweiten aktiven Bereich (130B) kreuzt; eine dritte Gate-Durchkontaktierung angeordnet am zweiten Gate; eine vierte Gate-Durchkontaktierung angeordnet am dritten Gate; und eine zweite Leiterbahn elektrisch verbunden mit der dritten Gate-Durchkontaktierung und der vierten Gate-Durchkontaktierung.
  16. Verfahren, umfassend: Bilden einer Gate-Struktur (154), welche einem ersten Transistor (M5) eines ersten Typs und einem zweiten Transistor (M6) eines zweiten Typs, welcher sich vom ersten Typ unterscheidet, gemein ist; Bilden mindestens einer ersten Gate-Durchkontaktierung (VG3) und mindestens einer zweiten Gate-Durchkontaktierung (VG4) auf der Gate-Struktur (154); und Bilden einer Leiterbahn (171) verbunden mit der Gate-Struktur (154) durch eine Mehrzahl leitfähiger Durchkontaktierungen (VM1, VM2), eine Mehrzahl leitfähiger Segmente (163, 167), die mindestens eine erste Gate-Durchkontaktierung (VG3) und die mindestens eine zweite Gate-Durchkontaktierung (VG4); wobei sich die Gate-Struktur (154) und die Leiterbahn (171) in einer ersten Richtung erstrecken.
  17. Verfahren nach Anspruch 16, wobei ein Verhältnis einer Breite der Leiterbahn (171) zu einer Breite der Gate-Struktur (154) im Bereich von ungefähr 1 bis ungefähr 20 liegt, und wobei ein Verhältnis einer Höhe der Leiterbahn (171) zu einer Höhe der Gate-Struktur (154) im Bereich von ungefähr 1 bis ungefähr 40 liegt.
  18. Verfahren nach Anspruch 16, ferner umfassend: Bilden eines ersten aktiven Bereichs des ersten Transistors (M5) und eines zweiten aktiven Bereichs des zweiten Transistors (M6), welche in der ersten Richtung voneinander getrennt sind und sich in einer zweiten Richtung, welche sich von der ersten Richtung unterscheidet, erstrecken, wobei die mindestens eine erste Gate-Durchkontaktierung (VG3) in der ersten Richtung auf eine Mitte des ersten aktiven Bereichs ausgerichtet ist.
DE102020114939.5A 2020-05-08 2020-06-05 Gate-strukturen für halbleitervorrichtungen Active DE102020114939B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/870,087 2020-05-08
US16/870,087 US11616054B2 (en) 2020-05-08 2020-05-08 Gate structure for semiconductor devices

Publications (2)

Publication Number Publication Date
DE102020114939A1 DE102020114939A1 (de) 2021-11-11
DE102020114939B4 true DE102020114939B4 (de) 2023-07-27

Family

ID=76921775

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020114939.5A Active DE102020114939B4 (de) 2020-05-08 2020-06-05 Gate-strukturen für halbleitervorrichtungen

Country Status (5)

Country Link
US (2) US11616054B2 (de)
KR (2) KR20210137371A (de)
CN (1) CN113178413A (de)
DE (1) DE102020114939B4 (de)
TW (1) TWI824224B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210060695A (ko) * 2019-11-18 2021-05-27 삼성전자주식회사 반도체 소자

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6252427B1 (en) 1999-04-27 2001-06-26 Matsushita Electronics Corporation CMOS inverter and standard cell using the same
DE102018117704A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Lokale Zusammenschaltungsstruktur

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW560042B (en) * 2002-09-18 2003-11-01 Vanguard Int Semiconduct Corp ESD protection device
KR100487950B1 (ko) * 2003-02-03 2005-05-06 삼성전자주식회사 활성영역과 중첩되는 게이트 전극 상에 배치된 콘택홀을갖는 반도체 소자
US6958541B2 (en) * 2003-07-25 2005-10-25 Lsi Logic Corporation Low gate resistance layout procedure for RF transistor devices
JP4163610B2 (ja) * 2003-12-22 2008-10-08 株式会社東芝 不揮発性半導体記憶装置
KR101676417B1 (ko) * 2010-07-07 2016-11-16 에스케이하이닉스 주식회사 반도체 메모리 장치
US8664729B2 (en) * 2011-12-14 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reduced gate resistance finFET
US10700170B2 (en) * 2014-04-29 2020-06-30 Globalfoundries Inc. Multiple fin finFET with low-resistance gate structure
US9583493B2 (en) * 2015-04-08 2017-02-28 Samsung Electronics Co., Ltd. Integrated circuit and semiconductor device
US9806159B2 (en) * 2015-10-08 2017-10-31 Macom Technology Solutions Holdings, Inc. Tuned semiconductor amplifier
WO2017217984A1 (en) * 2016-06-15 2017-12-21 Intel IP Corporation Differential varactor for mm-wave applications
US10740531B2 (en) 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
KR102323733B1 (ko) * 2017-11-01 2021-11-09 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
DE102019121157A1 (de) 2018-09-06 2020-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Transfer-gate-struktur, layout, verfahren und system
US10867113B2 (en) 2018-09-06 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Transmission gate structure, layout, methods, and system
US11362032B2 (en) * 2019-08-01 2022-06-14 Samsung Electronics Co., Ltd. Semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6252427B1 (en) 1999-04-27 2001-06-26 Matsushita Electronics Corporation CMOS inverter and standard cell using the same
DE102018117704A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Lokale Zusammenschaltungsstruktur

Also Published As

Publication number Publication date
KR20230021057A (ko) 2023-02-13
US20210351174A1 (en) 2021-11-11
US11616054B2 (en) 2023-03-28
TWI824224B (zh) 2023-12-01
KR20210137371A (ko) 2021-11-17
DE102020114939A1 (de) 2021-11-11
CN113178413A (zh) 2021-07-27
TW202143088A (zh) 2021-11-16
US20230246016A1 (en) 2023-08-03

Similar Documents

Publication Publication Date Title
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019116893A1 (de) Zellbereiche doppelter höhe, halbleiterbauelement damit und verfahren zum erzeugen eines entsprechenden aufbaudiagramms
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019117778A1 (de) Speicherzellenschaltung und verfahren zu derer herstellung
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102020127462B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019116733A1 (de) Verfahren, vorrichtung und system eines integrierten schaltungslayouts
DE102019128571A1 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102019123621A1 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102020114939B4 (de) Gate-strukturen für halbleitervorrichtungen
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020127331A1 (de) Integrierte schaltung
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102021119369B4 (de) Pegelverschiebungsschaltkreis und verfahren
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021109480A1 (de) Speichervorrichtung
DE102020114130A1 (de) Abbindungsvorrichtung
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren
DE102021102951A1 (de) Entkopplungssystem, verfahren zum betrieb davon und verfahren zur herstellung davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division