DE102022101653A1 - Gebiete aktive gebiete für eine halbleitervorrichtung und verfahren zu deren herstellung - Google Patents

Gebiete aktive gebiete für eine halbleitervorrichtung und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102022101653A1
DE102022101653A1 DE102022101653.6A DE102022101653A DE102022101653A1 DE 102022101653 A1 DE102022101653 A1 DE 102022101653A1 DE 102022101653 A DE102022101653 A DE 102022101653A DE 102022101653 A1 DE102022101653 A1 DE 102022101653A1
Authority
DE
Germany
Prior art keywords
relative
reference line
active regions
ars
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022101653.6A
Other languages
English (en)
Inventor
Ru-Yu WANG
You-Cheng Xiao
Kao-Cheng LIN
Pin-Dai Sue
Ting-Wei Chiang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022101653A1 publication Critical patent/DE102022101653A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)

Abstract

Eine Halbleitervorrichtung umfasst ein Substrat; und eine Zellregion mit gegenüberliegenden ersten und zweiten Seiten, wobei die Zellregion aktive Regionen umfasst, die im Substrat ausgebildet sind; relativ zu einer imaginären ersten Referenzlinie eine erste Mehrheit der aktiven Regionen mit ersten Enden, die mit der ersten Referenzlinie ausgerichtet sind, wobei die erste Seite parallel und proximal zu der ersten Referenzlinie ist; relativ zu einer imaginären zweiten Referenzlinie in der zweiten Richtung eine zweite Mehrheit der aktiven Regionen mit zweiten Enden, die mit der zweiten Referenzlinie ausgerichtet sind, wobei die zweite Seite parallel und proximal zu der zweiten Referenzlinie ist; und Gate-Strukturen entsprechend auf ersten und zweiten der aktiven Regionen; und relativ zu der zweiten Richtung ein erstes Ende einer ausgewählten der Gate-Strukturen an eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen angrenzt.

Description

  • PRIORITÄTSANSPRUCH
  • Die vorliegende Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/229,766 , eingereicht am 5. August 2021, die hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen ist.
  • HINTERGRUND
  • Die Industrie der integrierten Halbleiterschaltungen (IC) produziert eine Vielzahl von analogen und digitalen Vorrichtungen, um Probleme in einer Reihe von verschiedenen Bereichen zu lösen. Entwicklungen in Halbleiterprozesstechnologieknoten haben die Komponentengrößen schrittweise reduziert und den Abstand enger gemacht, was zu einer schrittweise erhöhten Transistordichte führt. ICs sind kleiner geworden.
  • Im Zusammenhang mit der Herstellung von Halbleitervorrichtungen ist eine Designregel eine geometrische Einschränkung, die auf Leiterplatten, Halbleitervorrichtungen und IC-Designern auferlegt wird, um sicherzustellen, dass ihre Designs ordnungsgemäß, zuverlässig funktionieren und mit akzeptabler Ausbeute produziert werden. Designregeln für die Produktion werden von Prozessingenieuren entwickelt, die auf dem entsprechenden Halbleiterprozesstechnologieknoten basieren. Die elektronische Designautomatisierung (EDA) wird verwendet, um sicherzustellen, dass Designer Designregeln nicht verletzen; ein Prozess namens Design Rule Checking (DRC). DRC ist ein Schritt während des physisch verifizierten Signoffs auf dem Design, der auch LVS-Prüfungen (Layoutversus-Schematic-Prüfungen), XOR-Prüfungen (Logik-Prüfungen), elektrische Regelprüfungen (ERC), Antennenprüfungen (Sammlung von Ladungen aus elektromagnetischen Feldern) oder dergleichen umfasst.
  • Figurenliste
  • Eine oder mehrere Ausführungsformen sind in den Figuren der beigefügten Zeichnungen als Beispiel und nicht als Einschränkung veranschaulicht, wobei Elemente mit denselben Bezugszeichen-Bezeichnungen durchgehend gleiche Elemente darstellen. Die Zeichnungen sind, sofern nicht anders offenbart, nicht maßstabsgetreu.
    • 1A-1B sind entsprechende Blockdiagramme einer integrierten Schaltung (IC) gemäß einigen Ausführungsformen.
    • 2A ist ein Layoutdiagramm einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 2B ist eine Draufsicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 3A ist ein Layoutdiagramm einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 3B ist eine Draufsicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 3C-3D sind entsprechende Querschnittsdiagramme der Halbleitervorrichtung von 3B gemäß einigen Ausführungsformen.
    • 4A, 4B, 4C, 4D und 4E sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 5A, 5B, 5C, 5D und 5E sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 6A, 6B und 6C sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 7A und 7B sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 8A und 8B sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 9A und 9B sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 10A und 10B sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 11A und 11B sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 12A und 12B sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 13 ist eine Draufsicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 14 ist eine Draufsicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 15A, 15B und 15C sind Draufsichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 16A ist ein Flussdiagramm eines Verfahrens zum Erzeugen eines Layoutdiagramms gemäß einigen Ausführungsformen.
    • 16B ist ein Flussdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 17A und 17B sind Flussdiagramme eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 18 ist ein Blockdiagramm eines Systems der elektronischen Designautomatisierung (EDA) gemäß einigen Ausführungsformen.
    • 19 ist ein Blockdiagramm eines Herstellungssystems einer integrierten Schaltung (IC) und eines damit verbundenen IC-Herstellungsablaufs gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung offenbart viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des Gegenstands. Spezifische Beispiele von Komponenten, Materialien, Werten, Schritten, Operationen, Anordnungen oder dergleichen werden im Folgenden beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht einschränkend sein. Andere Komponenten, Werte, Operationen, Materialien, Anordnungen oder dergleichen werden in Betracht gezogen. Zum Beispiel kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann ferner Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugszeichen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumlich relative Begriffe, wie etwa „unterhalb“, „unter“, „unterer“, „über“, „oberer“ und dergleichen, hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung einschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten relativen Deskriptoren können ebenfalls entsprechend interpretiert werden. In einigen Ausführungsformen bezieht sich der Begriff „Standardzellenstruktur“ auf einen standardisierten Baustein, der in einer Bibliothek verschiedener Standardzellenstrukturen enthalten ist. In einigen Ausführungsformen werden verschiedene Standardzellenstrukturen aus einer Bibliothek davon ausgewählt und werden als Komponenten in einem Layoutdiagramm verwendet, das eine Schaltung darstellt.
  • In einigen Ausführungsformen umfassen eine oder mehrere Halbleitervorrichtungen innerhalb eines IC ein Substrat und einen oder mehrere Zellregionen. Eine Zellregion weist gegenüberliegende erste und zweite Seiten relativ zu einer ersten Richtung, z. B. der X-Achse, auf. Eine Zellregion umfasst aktive Regionen, die im Substrat ausgebildet sind, wobei sich die aktiven Regionen parallel zu der X-Achse erstrecken. In einigen Ausführungsformen umfasst relativ zu einer imaginären ersten Referenzlinie in einer senkrechten zweiten Richtung, z. B. der Y-Achse, eine erste Mehrheit der aktiven Regionen erste Enden, die mit der ersten Referenzlinie ausgerichtet sind. In einigen Ausführungsformen ist die erste Seite parallel und proximal zu der ersten Referenzlinie. In einigen Ausführungsformen umfasst relativ zu einer imaginären zweiten Referenzlinie parallel zu der Y-Achse eine zweite Mehrheit der aktiven Regionen zweite Enden, die mit der zweiten Referenzlinie ausgerichtet sind. In einigen Ausführungsformen ist die zweite Seite parallel und proximal zu der zweiten Referenzlinie. Gate-Strukturen sind korrespondierend auf ersten und zweiten der aktiven Regionen. Relativ zu der X-Achse sind die ersten und zweiten aktiven Regionen durch eine dazwischenliegende Region getrennt. Relativ zu der Y-Achse grenzt ein erstes Ende einer ausgewählten der Gate-Strukturen an die dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen an. In einigen Ausführungsformen fehlt jede der Gate-Strukturen in einer dazwischenliegenden Region zwischen den ersten und zweiten aktiven Regionen. In einigen Ausführungsformen ist eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen frei davon, von den Gate-Strukturen überlappt zu werden.
  • In einigen Ausführungsformen belegt ein Isolations-Dummy-Gate die dazwischenliegende Region. In einigen Ausführungsformen enthält die Halbleitervorrichtung einen Abschnitt mit geringerem Leck (lower leakage, LL). In einigen Ausführungsformen enthält die Halbleitervorrichtung einen Abschnitt mit höherem Leck (higher-leakage, HL). In einigen Ausführungsformen: befindet sich die erste aktive Region im Wesentlichen innerhalb des LL-Abschnitts; und relativ zu der Y-Achse ist die erste aktive Region mit einer Höhe konfiguriert, die einen Leckstrom ermöglicht, der unter einem vorbestimmten Schwellenwert liegt. In einigen Ausführungsformen befindet sich die zweite aktive Region im Wesentlichen innerhalb des Abschnitts mit höherem Leck; und relativ zu der Y-Achse ist die zweite aktive Region mit einer Höhe konfiguriert, die größer als die Höhe der ersten aktiven Region ist, die eine höhere Leistung ermöglicht, z.B. Schaltgeschwindigkeiten bei oder über einem vorbestimmten Schwellenwert. In einigen Ausführungsformen trennt ein zweites Isolations-Dummy-Gate eine oder mehrere erste aktive Regionen in einen ersten Teil und einen zweiten Teil. In einigen Ausführungsformen trennt ein drittes Isolations-Dummy-Gate eine oder mehrere zweite aktive Regionen in einen ersten Teil und einen zweiten Teil. Ein Isolations-Dummy-Gate ist eine dielektrische Struktur, die ein oder mehrere dielektrische Materialien umfasst und als eine elektrische Isolationsstruktur fungiert. Dementsprechend ist ein Isolations-Dummy-Gate keine Struktur, die elektrisch leitfähig ist und so nicht funktioniert, z.B. als eine Gate-Elektrode eines aktiven Transistors.
  • Gemäß einem anderen Ansatz haben alle aktiven Regionen (ARs) innerhalb eines Substrats eine rechteckige Form und sind vollständig projiziert. Gemäß dem anderen Ansatz wird eine aktive Region, die sich kontinuierlich von der einen Seite zu der anderen (z. B. linken Seite zur rechten Seite) der Zellregion erstreckt, als vollständig projiziert angesehen. Die vollständige Projektion aller ARs in der Zellregion gemäß dem anderen Ansatz verschwendet Zellregionsraum, z. B. weil es nur eine aktive Region auf einer gegebenen horizontalen Spur (Referenzlinie) innerhalb der Zellregion und somit nur einen Transistor auf der gegebenen horizontalen Spur innerhalb der Zellregion geben kann. Im Gegensatz dazu werden in einigen Ausführungsformen für eine gegebene horizontale Spur ein oder mehrere Isolations-Dummy-Gates verwendet, um zu teilen, was ansonsten eine einzelne, vollständig projizierte aktive Region gemäß dem anderen Ansatz wäre, in mehrere aktive Regionen auf derselben horizontalen Spurlinie innerhalb der Zellregion und somit mehrere Transistoren auf derselben gegebenen horizontalen Spur innerhalb der Zellregion. Dementsprechend wird in einigen Ausführungsformen weniger Zellregionsraum im Vergleich zum anderen Ansatz verschwendet und somit die Transistordichte (z. B. ein Verhältnis der Anzahl von Transistoren in der Zellregion relativ zum Bereich der Zellregion) im Vergleich zum anderen Ansatz erhöht.
  • 1A-1B sind entsprechende Blockdiagramme eines IC 100 gemäß einigen Ausführungsformen.
  • Der IC 100 enthält eine Halbleitervorrichtung 102 mit einer Zellregion 104. Die Zellregion 104 ist mit Zellabschnitten 106 und 108 konfiguriert. Der Zellabschnitt 106 ist ein Abschnitt mit höherem Leistungsvermögen und folglich höherem Leck (higher-leakage, HL). Der Zellabschnitt 108 ist ein Abschnitt mit geringerem Leck (lower-leakage, LL) und folglich niedrigerem Leistungsvermögen. In 1A grenzt der HL-Abschnitt 106 an den LL-Abschnitt 108 an. In 1B ist der HL-Abschnitt 106 vom LL-Abschnitt 108 umgeben. In einigen Ausführungsformen sind relativ zu 1B mehrere Instanzen des HL-Abschnitts 106 vom LL-Abschnitt 108 umgeben. In einigen Ausführungsformen sind ein oder mehrere ARs innerhalb der Zellregion 104 nicht vollständig projiziert (siehe FC-Strukturen von 2A, wobei in einigen Ausführungsformen FC ein Akronym für eine zukünftige Umwandlung in Isolations-Dummy-Gate ist) und variieren in ihrem jeweiligen Abstand zueinander relativ zu der X-Achse und der Y-Achse. In einigen Ausführungsformen werden ein oder mehrere ARs innerhalb der Zellregion 104 durch Isolations-Dummy-Gates, die im Gegensatz zu anderen Ansätzen entsprechend dazwischenliegende Regionen belegen, aufgebrochen oder getrennt. In einigen Ausführungsformen sind die Breite (relativ zu der X-Achse) und Höhe (relativ zu der Y-Achse) der ARs innerhalb der Zellregion 104 so konfiguriert, dass sie basierend auf der gewünschten Leistung oder einem gewünschten Leckstrom variieren. In einigen Ausführungsformen begrenzen Isolations-Dummy-Gates den Leckstrom zwischen benachbarten ARs. In einigen Ausführungsformen sind Isolations-Dummy-Gates so konfiguriert, dass benachbarte ARs gemäß einem anderen Ansatz näher aneinander platziert werden können, als dies bisher durch Design-Regeln erlaubt war. In einigen Ausführungsformen wird die Leistung der Zellregion durch eine erhöhte AR-Größe erhöht, ohne einen erhöhten Leckstrom zu opfern. In einigen Ausführungsformen verbessert das Lokalisieren benachbarter ARs zwischen Isolations-Dummy-Gates die Zellregionsverhältnisse sowie die Zellregionseffizienz, die AR-Dichte und die Halbleiterausbeute. In einigen Ausführungsformen reduziert eine Konfiguration von Isolations-Dummy-Gates, die ARs innerhalb einer Zellregion trennen, den Abstand zwischen ARs mit variierenden Größen und hält die Design Rule Checking (DRC)-Konformität aufrecht.
  • IC 100 wird als Chip oder Mikrochip bezeichnet und ist ein Satz von elektronischen Schaltungen oder Halbleitervorrichtungen, auf einem Stück (z. B. Wafer, Chip oder Substrat) aus Halbleitermaterial bestehen (z. B. Substrat 314B 3C-3D), üblicherweise Silizium oder andere geeignete Materialien innerhalb des vorgesehenen Umfangs der Offenbarung. IC 100 unterstützt einen oder mehrere Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), wie beispielsweise Halbleitervorrichtung 102, die in einen Chip integriert sind; jedoch liegen andere geeignete Transistoren und elektrische Komponenten innerhalb des vorgesehenen Umfangs der Offenbarung. IC 100 ist elektrisch mit einer oder mehreren Halbleitervorrichtungen 102 gekoppelt, nimmt diese auf oder beherbergt diese.
  • Halbleitervorrichtung 102 ist eine elektronische Komponente oder Gruppierung von elektronischen Komponenten, die konfiguriert sind, um die elektronischen Eigenschaften eines Halbleitermaterials (z. B. Silizium, Germanium oder Galliumarsenid sowie organische Halbleiter oder andere geeignete Materialien innerhalb des vorgesehenen Umfangs der Offenbarung) für seine Funktion zu verwenden.
  • In einigen Ausführungsformen ist Zellregion 104 eine einzelne Zellregion. In einigen Ausführungsformen ist eine Zellregion im Kontext von EDA eine abstrakte Darstellung einer Komponente innerhalb eines schematischen Diagramms oder Layoutdiagramms einer elektronischen Schaltung in Software. Eine zellbasierte Designmethodik ist eine Technik, die Designer unterstützt, um Chipdesigns auf unterschiedlichen Abstraktionsebenen zu analysieren. Zum Beispiel konzentriert sich ein Designer auf die logische Funktion (hohe Ebene) und ein anderer auf physische Implementierung (niedrige Ebene).
  • In einigen Ausführungsformen ist Zellregion 104 eine Kombination von zwei Zellabschnitten, wie z. B. HL-Abschnitt 106 und LL-Abschnitt 108, die aneinandergrenzen, wie z. B. entlang einer vertikalen Achse wie in 1A. In einigen Ausführungsformen ist Zellregion 104 eine Kombination von zwei Zellregionen, die entlang einer horizontalen Achse aneinandergrenzen. In einigen Ausführungsformen ist Zellregion 104 eine Kombination von vier Zellregionen, die entlang einer vertikalen Achse aneinandergrenzen. In einigen Ausführungsformen ist Zellregion 104 eine Kombination von vier Zellregionen, wo zwei Zellregionen entlang einer horizontalen Achse aneinandergrenzen und zwei andere Zellregionen entlang einer vertikalen Achse aneinandergrenzen. Andere geeignete Zellregionsausrichtungen liegen innerhalb des vorgesehenen Umfangs der Offenbarung.
  • Jeder der HL 106- und LL 108-Abschnitte enthält einen oder mehrere ARs, die so konfiguriert sind, dass sie nicht vollständig projiziert sind. In einigen Ausführungsformen sind ein oder mehrere ARs innerhalb jeder von HL 106 und LL 108 durch ein oder mehrere Isolations-Dummy-Gates getrennt, die entsprechende dazwischenliegende Regionen belegen. Ein Isolations-Dummy-Gate ist eine dielektrische Struktur, die ein oder mehrere dielektrische Materialien umfasst und als eine elektrische Isolationsstruktur fungiert. Dementsprechend ist ein Isolations-Dummy-Gate keine Struktur, die elektrisch leitfähig ist und so nicht funktioniert, z.B. als eine Gate-Elektrode eines aktiven Transistors. In einigen Ausführungsformen wird ein Isolations-Dummy-Gate als eine dielektrische Gate-Struktur bezeichnet. In einigen Ausführungsformen ist ein Isolations-Dummy-Gate ein Beispiel für eine Struktur, die in einem CPODE-Layoutschema enthalten ist. In einigen Ausführungsformen ist CPODE ein Akronym für kontinuierliche Poly-auf-Diffusion-Rand (continuous poly on diffusion edge). In einigen Ausführungsformen ist CPODE ein Akronym für kontinuierliche Poly-auf-Oxid-Definition-Rand (continuous poly on oxide definition edge). In einigen Ausführungsformen basiert ein Isolations-Dummy-Gate auf einer Gate-Struktur als ein Vorläufer. In einigen Ausführungsformen wird ein dielektrisches Gate gebildet, indem zuerst eine Gate-Struktur, z. B. ein Dummy-Gate, gebildet wird, das Dummy-Gate geopfert/entfernt (z. B. geätzt) wird, um einen Graben zu bilden, (optional) ein Abschnitt eines Substrats entfernt wird, das zuvor unter dem Dummy-Gate war, um den Graben zu vertiefen, und dann der Graben mit einem oder mehreren dielektrischen Materialien gefüllt wird, so dass die physischen Abmessungen der resultierenden elektrischen Isolationsstruktur, d. h. des Isolations-Dummy-Gates, ähnlich den Abmessungen des Vorläufers sind, der geopfert wurde, nämlich der Gate-Struktur oder der Kombination der Gate-Struktur und des Abschnitts des Substrats.
  • Die Zellregion 104 ist mit einem HL-Abschnitt 106 konfiguriert, wobei letzterer für das Leistungsvermögen konfiguriert ist, wie etwa eine verbesserte Schaltgeschwindigkeit. In einigen Ausführungsformen enthält der HL-Abschnitt 106 im Wesentlichen den gesamten Zellbereich der Zellregion 104. In einigen Ausführungsformen enthält der HL-Abschnitt 106 die Hälfte der Zellregion 104. In einigen Ausführungsformen enthält der HL-Abschnitt 106 einen einzelnen AR, mehrere ARs, oder andere geeignete Anordnungen des HL-Abschnitts 106 liegen innerhalb des vorgesehenen Umfangs der Offenbarung.
  • Die Zellregion 104 ist ferner mit dem LL-Abschnitt 108 konfiguriert, wobei letzterer so konfiguriert ist, dass er einen geringen Leckstrom aufweist. In einigen Ausführungsformen enthält der LL-Abschnitt 108 im Wesentlichen die gesamte Zellfläche der Zellregion 104. In einigen Ausführungsformen enthält der LL-Abschnitt 108 bis zu die Hälfte der Zellregion 104. In einigen Ausführungsformen enthält der LL-Abschnitt 108 einen AR, mehrere ARs, oder andere geeignete Anordnungen des LL-Abschnitts 108 liegen innerhalb des vorgesehenen Umfangs der Offenbarung.
  • In einigen Ausführungsformen ist die Zellregion 104 mit einem oder mehreren HL-Abschnitten 106 und einem oder mehreren LL-Abschnitten 108 konfiguriert, wobei der eine oder die mehreren HL-Zellabschnitte 106 mit dem einen oder den mehreren LL-Abschnitten 108 durchsetzt sind. In einigen Ausführungsformen ist der Abstand zwischen den HL-Abschnitten 106 und den LL-Abschnitten 108 kleiner als 3δ wobei δ der Abstand zwischen Mitten benachbarter Gate-Strukturen ist (auch als Kontakt-Poly-Pitch ~ CPP bezeichnet), und wobei δ gemäß dem entsprechenden Halbleiterprozesstechnologieknoten variiert. In einigen Ausführungsformen ist der Abstand zwischen den HL-Abschnitten 106 und den LL-Abschnitten 108 kleiner als 2δ. In einigen Ausführungsformen ist der Abstand zwischen den HL-Abschnitten 106 und den LL-Abschnitten 108, die durch Isolations-Dummy-Gate-Material getrennt sind, 1δ. In einigen Ausführungsformen ist der Abstand zwischen den HL-Abschnitten 106 und den LL-Abschnitten 108 ungefähr die Breite des Isolations-Dummy-Gates, das die dazwischenliegende Region belegt. In einigen Ausführungsformen verbessert die Platzierung der HL-Abschnitte 106 und der LL-Abschnitte 108 näher aneinander die Zellflächeneffizienz, die AR-Dichte und die Zellregionausbeute.
  • 2A ist ein Layoutdiagramm 202A einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
  • Zum Zweck der Erörterung des Layoutdiagramms 202A werden Formen und Strukturen innerhalb des Layoutdiagramms 202A mit Bezugszeichen in der Form 2XXA oder 2XX_A erörtert. Strukturen, die den Formen und Strukturen des Layoutdiagramms 202A einer Halbleitervorrichtung 202B (2B) entsprechen, werden mit Bezugszeichen der Form 2XXB oder 2XX_B in 2B erörtert.
  • Aufgrund der FC-Strukturen 224A (nachstehend erörtert) in 2A werden entsprechende innere Isolations-Dummy-Gates von 2B gebildet, die dazu führen, dass entsprechende ARs von 2B nicht vollständig projiziert werden (nachstehend erörtert). Ein oder mehrere Vorteile des nicht vollständigen Projizierens aller ARs in 2B schließen eine reduzierte Zellregionsraumverschwendung, erhöhte AR-Dichte oder dergleichen im Vergleich zum anderen Ansatz ein.
  • In einigen Ausführungsformen ist das Layoutdiagramm 202A ein Layoutdiagramm einer Halbleitervorrichtung, z. B. der Halbleitervorrichtung 102. In einigen Ausführungsformen ist das Layoutdiagramm 202A so konfiguriert, dass es bei der Herstellung einer Halbleitervorrichtung, z. B. der Halbleitervorrichtung 202B (2B), verwendet wird, wobei letztere in einem IC, z. B. IC 100, enthalten ist. In einigen Ausführungsformen ist die Zellregion 204A ein Beispiel für die Zellregion 104. In einigen Ausführungsformen befinden sich die Zellregionen 204_AA, 204_AB, 204_AC, 204_AD, 204_AE, 204_AF, 204_AG und 204_AH innerhalb der Zellregion 204A von 2A und werden bei der Herstellung von Halbleiterzellen, wie den entsprechenden Zellregionen 204_BA, 204_BB, 204_BC, 204_BD, 204_BE, 204_BF, 204_BG und 204_BH in der Zellregion 204B der Halbleitervorrichtung 202B von 2B, zur Verwendung in einem IC, wie IC 100, verwendet. In einigen Ausführungsformen ist der HL-Abschnitt 206A ein Beispiel für den HL-Abschnitt 106. In einigen Ausführungsformen ist der HL-Abschnitt 206A so konfiguriert, dass er bei der Herstellung eines HL-Abschnitts, z. B. des HL-Abschnitts 206B (2B) und des HL-Abschnitts 106, verwendet wird. In einigen Ausführungsformen ist der LL-Abschnitt 208A ein Beispiel für den LL-Abschnitt 108. In einigen Ausführungsformen ist der LL-Abschnitt 208A so konfiguriert, dass er bei der Herstellung eines LL-Abschnitts wie des Zellabschnitts 208B (2B) und 108 verwendet wird.
  • Das Layoutdiagramm 202A enthält Zellregion 204A, die eine einzelne Zellregion ist. In einigen Ausführungsformen wird die Zellregion 204A als eine größere Zellregion angesehen, die kleinere Zellregionen enthält, wie z. B. die Zellregionen 204_AA, 204_AB, 204_AC, 204_AD, 204_AE, 204_AF, 204_AG und 204_AH.
  • In einigen Ausführungsformen wird Zellregion 204A als eine größere Zellregion angesehen, die zwei mittelgroße Zellregionen enthält, die relativ zur X-Achse durch eine imaginäre zentrale vertikale Referenzlinie 219A getrennt sind. In einigen Ausführungsformen wird jede der zwei mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält. Zum Beispiel enthält die mittelgroße Zellregion links von der Referenzlinie 219A die Zellregionen 204_AA, 204_AB, 204_AC und 204_AD, und die mittelgroße Zellregion rechts von der Referenzlinie 219A enthält die Zellregionen 204_AE, 204_AF, 204_AG und 204_AH.
  • In einigen Ausführungsformen wird Zellregion 204A als eine größere Zellregion angesehen, die zwei mittelgroße Zellregionen enthält, die relativ zu der Y-Achse durch eine imaginäre zentrale horizontale Referenzlinie 201B getrennt sind. In einigen Ausführungsformen wird jede der zwei mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält. Zum Beispiel enthält z. B. die mittelgroße Zellregion über der Referenzlinie 201B die Zellregionen 204_AA, 204_AB, 204_AE und 204_AF, und die mittelgroße Zellregion unter der Referenzlinie 201B enthält die Zellregionen 204_AC, 204_AD, 204_AG und 204_AH.
  • In einigen Ausführungsformen wird Zellregion 204A als eine größere Zellregion angesehen, die vier mittelgroße Zellregionen enthält, die relativ zu der Y-Achse durch imaginäre horizontale Referenzlinien 201A, 201B und 201C getrennt sind. In einigen Ausführungsformen wird jede der vier mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält, z. B.: die mittelgroße Zellregion über der Referenzlinie 201A enthält die Zellregionen 204_AA, 204_AE; die mittelgroße Zellregion zwischen der Referenzlinie 201A und 201B enthält die Zellregionen 204_AB und 204_AF; die mittelgroße Zellregion zwischen der Referenzlinie 201B und 201C enthält die Zellregionen 204_AC, 204_AG; und die mittelgroße Zellregion unter der Referenzlinie 201C enthält die Zellregionen 204_AD und 204_AH.
  • In einigen Ausführungsformen wird Zellregion 204A als eine größere Zellregion angesehen, die vier mittelgroße Zellregionen enthält, die relativ zu der X-Achse durch die Referenzlinie 219A getrennt sind und relativ zur Y-Achse durch die Referenzlinie 201B getrennt sind. In einigen Ausführungsformen wird jede der vier mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält, z. B.: die mittelgroße Zellregion links von der Referenzlinie 219A und oberhalb der Referenzlinie 201B enthält die Zellregionen 204_AA und 204_AB; die mittelgroße Zellregion links von der Referenzlinie 219A und unterhalb der Referenzlinie 201B enthält die Zellregionen 204_AC und 204_AD; die mittelgroße Zellregion rechts von der Referenzlinie 219A und oberhalb der Referenzlinie 201B enthält die Zellregionen 204_AE und 204_AF; und die mittelgroße Zellregion rechts von der Referenzlinie 219A und unterhalb der Referenzlinie 201B enthält die Zellregionen 204_AG und 204_AH. Andere geeignete Zellregionsausrichtungen liegen innerhalb des vorgesehenen Umfangs der Offenbarung.
  • Das Layoutdiagramm 202A enthält ferner n-Wannen-Strukturen 212A innerhalb eines Substrats. In einigen Ausführungsformen befinden sich n-Wannen-Strukturen 212A und das Substrat innerhalb einer ersten Schicht 340 (3C-3D) (z. B. einer Substratschicht 390). N-Wannen-Strukturen 212A sind mit einer Breite, die sich in einer ersten Richtung erstreckt, und einer Höhe, die sich in einer senkrechten zweiten Richtung erstreckt, konfiguriert. In 2A sind die erste und zweite Richtung entsprechend die X-Achse und die Y-Achse. In einigen Ausführungsformen sind die erste und zweite Richtung entsprechend anders als die X-Achse und die Y-Achse. N-Wannen-Strukturen 212A sind voneinander entlang der Y-Achse sowie entlang der X-Achse getrennt; jedoch sind andere Ausrichtungen innerhalb der Offenbarung vorgesehen.
  • Das Layoutdiagramm 202A enthält ferner entgegengesetzte Seiten 210AR und 210AL relativ zu der X-Achse. In einigen Ausführungsformen entsprechen entgegengesetzte Seiten 210AR und 210AL Zellgrenzen. Zellregion 204A enthält eine Gruppe 216A_1 von AR-Strukturen und eine Gruppe 216A_2 von AR-Strukturen, die eine Breite (Xδ, z. B. 9δ, 10δ) entlang der X-Achse und eine Höhe (H_X, z. B. H_1, H_2) entlang der Y-Achse enthalten. In einigen Ausführungsformen ist eine AR-Region durch ein Oxid begrenzt und wird als eine oxiddimensionierte (OD) Region oder eine Oxiddiffusions- (OD) Region bezeichnet. In einigen Ausführungsformen befinden sich die Gruppen 216A_1 und 216A_2 von AR-Strukturen innerhalb der ersten Schicht 340 (3C-3D) des Layoutdiagramms 202A. Während der Herstellung der Halbleitervorrichtung basierend auf dem Layoutdiagramm 202A resultieren die Gruppen 216A_1 und 216A_2 von AR-Strukturen in korrespondierenden ARs in den Gruppen 216B_1 und 216B_2 (2B) von ARs.
  • Das Layoutdiagramm 202A enthält ferner imaginäre Referenzlinien 201A, 201B, 201C, 218A, 219A, 220A, 232AT und 232AB. In einigen Ausführungsformen sind die imaginären Referenzlinien Orientierungslinien/-spuren, auf denen die Formen und Strukturen des Layoutdiagramms 202A platziert sind. In einigen Ausführungsformen sind die imaginären Referenzlinien 201A, 201B, 201C, 218A, 219A, 220A, 232AT und 232AB Zellregionsgrenzen. Die Referenzlinie 218A erstreckt sich parallel zu der Y-Achse. Relativ zu der X-Achse umfassen die AR-Strukturen der Gruppe 216A_2 Enden, die mit der Referenzlinie 218A ausgerichtet sind. In einigen Ausführungsformen ist die erste Seite 210AR parallel und proximal zu der Referenzlinie 218A. Die Referenzlinie 220A erstreckt sich parallel zu der Y-Achse. Relativ zu der X-Achse umfassen AR-Strukturen der Gruppe 216A_1 Enden, die mit der Referenzlinie 220A ausgerichtet sind. In einigen Ausführungsformen ist die zweite Seite 210AL parallel und proximal zu der Referenzlinie 220A. In einigen Ausführungsformen stellt die Referenzlinie 219A eine Zellgrenze dar. In einigen Ausführungsformen stellt die Mittellinie 219A eine Grenze zwischen dem HL-Abschnitt 206A und dem LL-Abschnitt 208A dar. In einigen Ausführungsformen ist die Referenzlinie 219A eine vertikale zentrale Referenzlinie für Zellregion 204A. In einigen Ausführungsformen ist die Referenzlinie 219A eine Zellgrenze zwischen den Zellregionen 204-AA und 204_AE, 204_AB und 204_AF, 204_AC und 204_AG und 204_AD und 204_AH. In einigen Ausführungsformen stellen die erste Seite 210AR und die zweite Seite 210AL Zellregionsgrenzen dar.
  • Das Layoutdiagramm 202A enthält ferner eine Gruppe 222A von Gate-Strukturen 215. Gate-Strukturen der Gruppe 222A überlagern korrespondierend die AR-Strukturen Gruppe 216A_1 und 216A_2. In einigen Ausführungsformen befinden sich Gate-Strukturen der Gruppe 222A in einer zweiten Schicht 342 (3C-3D) (z. B. einer Gate-Schicht 396 (3C-3D). In einigen Ausführungsformen befindet sich die zweite Schicht 342 über der ersten Schicht 340. In einigen Ausführungsformen befindet sich die Gate-Schicht 396 in einer dritten Schicht über einer Metall-über-Diffusion-Schicht (nicht gezeigt), die sich in der zweiten Schicht 342 befindet. In einigen Ausführungsformen entsprechen Gate-Strukturen der Gruppe 222A Gate-Strukturen der Gruppe 222B (2B).
  • In 2A ist eine der Gate-Strukturen der Gruppe 222A kollinear mit der Referenzlinie 218A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen in der Gruppe 216A_2 von der Referenzlinie 219A weg in Richtung der Referenzlinie 218A, so dass das am weitesten rechts liegende Ende jeder AR in der Gruppe 216A_2 mit der Referenzlinie 218A ausgerichtet ist. Die Referenzlinie 218A ist parallel und proximal zu der ersten Seite 210AR der Zellregion 204A. In einigen Ausführungsformen sind die am weitesten rechts liegenden Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit der AR-Strukturen in der Gruppe 216A_2 mit der Referenzlinie 218A ausgerichtet.
  • 2A umfasst ferner die imaginäre Referenzlinie 217A, die sich parallel zu der Y-Achse erstreckt. In 2 ist eine der Gate-Strukturen der Gruppe 222A kollinear mit der Referenzlinie 217A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen in der Gruppe 216A_2 von der Referenzlinie 218A weg in Richtung der Referenzlinie 219A, so dass das am weitesten links liegende Ende jeder AR in der Gruppe 216A_2 mit der Referenzlinie 217A ausgerichtet ist. In einigen Ausführungsformen sind die am weitesten links liegenden Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit der AR-Strukturen in der Gruppe 216A_2 mit der Referenzlinie 217A ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit der AR-Strukturen in der Gruppe 216A_2 die gleiche wie die zweite Mehrheit der AR-Strukturen in der Gruppe 216A_2.
  • In 2A ist eine der Gate-Strukturen der Gruppe 222A kollinear mit der Referenzlinie 220A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen in der Gruppe 216A_1 von der Referenzlinie 219A weg in Richtung der Referenzlinie 220A, so dass das am weitesten links liegende Ende jeder AR in der Gruppe 216A_1 mit der Referenzlinie 220A ausgerichtet ist. Die Referenzlinie 220A ist parallel und proximal zu der zweiten Seite 210AL der Zellregion 204A. In einigen Ausführungsformen sind die am weitesten links liegenden Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit der AR-Strukturen in der Gruppe 216A_1 mit der Referenzlinie 220A ausgerichtet.
  • 2A zeigt ferner die imaginäre Referenzlinie 221A, die sich parallel zu der Y-Achse erstreckt. In 2 ist eine der Gate-Strukturen der Gruppe 222A kollinear mit der Referenzlinie 221A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen in der Gruppe 216A_1 von der Referenzlinie 220A weg in Richtung der Referenzlinie 219A, so dass das am weitesten rechts liegende Ende jeder AR in der Gruppe 216A_1 mit der Referenzlinie 221A ausgerichtet ist. In einigen Ausführungsformen sind die am weitesten rechts liegenden Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit der AR-Strukturen in der Gruppe 216A_1 mit der Referenzlinie 221A ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit der AR-Strukturen in der Gruppe 216A_1 die gleiche wie die zweite Mehrheit der AR-Strukturen in der Gruppe 216A_1.
  • In einigen Ausführungsformen befindet sich eine erste Untergruppe 223A_1 von Gate-Strukturen zwischen der Referenzlinie 218A und der ersten Seite 210AR, eine zweite Untergruppe 223A_2 von Gate-Strukturen befindet sich zwischen der Referenzlinie 220A und der zweiten Seite 210AL. In einigen Ausführungsformen befindet sich eine dritte Untergruppe 222A_3 zwischen der Gruppe 216A_2 von AR-Strukturen und der zentralen Referenzlinie 219A. In einigen Ausführungsformen befindet sich eine vierte Untergruppe 222A_4 zwischen der Gruppe 216A_1 von AR-Strukturen und der zentralen Referenzlinie 219A. In einigen Ausführungsformen sind die Gate-Strukturen der Untergruppen 223A_1, 223A_2, 223A_3 und/oder 223A_4 Dummy-Gate-Strukturen. In einigen Ausführungsformen ist eine Dummy-Gate-Struktur so konfiguriert, dass sie zum Isolieren einer Zellregion von einer anderen verwendet wird. In einigen Ausführungsformen ist ein Dummy-Gate nicht Teil eines Transistors. In einigen Ausführungsformen sind eine oder mehrere Dummy-Gate-Strukturen am Rand einer Zellregion angeordnet, wie beispielsweise der Zellregion 204A oder den Zellregionen 204_AA, 204_AB, 204_AC, 204_AD, 204_AE, 204_AF, 204_AG und 204_AH. In einigen Ausführungsformen stellen die erste Seite 210AR und die zweite Seite 210AL Abschnitte einer Zellgrenze dar. In einigen Ausführungsformen stellen die Referenzlinien 218A und 220A Abschnitte einer Zellgrenze dar. In einigen Ausführungsformen stellt die zentrale Referenzlinie 219A einen Abschnitt entsprechender Zellgrenzen dar. In einigen Ausführungsformen stellen die Referenzlinien 201A, 201B und 201C Abschnitte entsprechender Zellgrenzen dar. In einigen Ausführungsformen entspricht Untergruppe 223A_1 von Gate-Strukturen einer Zellgrenze. In einigen Ausführungsformen stellen die Untergruppen 223A_1, 223A_2, 223A_3 und 223A_4 von Gate-Strukturen 222AA Abschnitte entsprechender Zellgrenzen dar.
  • In 2A werden Variationen in der Anordnung von n-Wannen-Strukturen 212A in Betracht gezogen. In einigen Ausführungsformen, relativ zu der X-Achse: die linken Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_1 zugrunde liegen, erstrecken sich zwischen den zwei Gate-Strukturen der Untergruppe 223A_2; die rechten Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_2 zugrunde liegen, erstrecken sich zwischen den zwei Gate-Strukturen der Untergruppe 223A_3; und die rechten Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_2 zugrunde liegen, erstrecken sich zwischen den zwei Gate-Strukturen der Untergruppe 223A_1.
  • In einigen Ausführungsformen, relativ zu der X-Achse: die linken Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_1 zugrunde liegen, erstrecken sich über die Referenzlinie 220A hinaus, aber nicht so weit, dass sie einer beliebigen Gate-Struktur der Untergruppe 223A_2 zugrunde liegen; die rechten Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_1 zugrunde liegen, erstrecken sich über die Gate-Struktur hinaus, die den rechten Enden der AR-Strukturen in der Gruppe 216A_1 zugrunde liegt, aber nicht so weit, dass sie einer beliebigen Gate-Struktur der Untergruppe 223A_4 zugrunde liegen; die linken Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_2 zugrunde liegen, erstrecken sich über die Gate-Struktur hinaus, die den linken Enden der AR-Strukturen in der Gruppe 216A_2 zugrunde liegt, aber nicht so weit, dass sie einer beliebigen Gate-Struktur der Untergruppe 223A_3 zugrunde liegen; und die rechten Enden der Instanzen der n-Wannen-Strukturen 212A, die den entsprechenden AR-Strukturen in der Gruppe 216A_2 zugrunde liegen, erstrecken sich über die Referenzlinie 218A hinaus, aber nicht so weit, dass sie einer beliebigen Gate-Struktur der Untergruppe 223A_2 zugrunde liegen.
  • Das Layoutdiagramm 202A enthält ferner zukünftige Umwandlung in Isolations-Dummy-Gate(FC)-Strukturen 224A, die sich relativ zu der Y-Achse erstrecken. Die Herstellung einer Halbleitervorrichtung basierend auf dem Layoutdiagramm 202A von 2A resultiert in der Halbleitervorrichtung 202B von 2B, und insbesondere resultiert die Herstellung einer Halbleitervorrichtung basierend auf FC-Strukturen 224A von 2A in Isolations-Dummy-Gates 244B von 2B. Eine gegebene FC-Struktur 224A überlagert einen gegebenen Abschnitt einer entsprechenden Gate-Struktur, und der gegebene Abschnitt der Gate-Struktur überlagert einen Abschnitt einer entsprechenden AR-Struktur; die gegebenen Abschnitte der Gate-Struktur und der AR-Struktur stellen eine dazwischenliegende Region 226A dar.
  • In einigen Ausführungsformen wird relativ zu der Y-Achse eine gegebene Region 226A in 2A als in Bezug auf die entsprechende Gate-Struktur dazwischenliegend angesehen, da sich die gegebene Region 226A zwischen oberen und unteren Abschnitten der entsprechenden Gate-Struktur befindet. Der obere Abschnitt der entsprechenden Gate-Struktur liegt nicht unter einer entsprechenden FC-Struktur 224A, d.h. ist nicht von dieser bedeckt. Der untere Abschnitt der entsprechenden Gate-Struktur liegt nicht unter einer entsprechenden FC-Struktur 224A, d.h. ist nicht von dieser bedeckt. Dementsprechend wird gesagt, dass die gegebene Region 226A zwischen den unbedeckten oberen und unteren Abschnitten der entsprechenden Gate-Struktur dazwischenliegt. In einigen Ausführungsformen ist relativ zu der Y-Achse eine Größe der gegebenen Region 226A kleiner als die entsprechende FC-Struktur 224A. In einigen Ausführungsformen ist relativ zu der Y-Achse die Größe der gegebenen Region 226A etwa gleich der entsprechenden FC-Struktur 224A.
  • Es sei daran erinnert, dass dazwischenliegende Regionen in 2A den dazwischenliegenden Regionen 226B in 2B entsprechen. In ähnlicher Weise wird in einigen Ausführungsformen relativ zu der Y-Achse eine gegebene Region 226B in 2B als in Bezug auf die entsprechenden Gates dazwischenliegend angesehen, da sich die gegebene Region 226B zwischen einem oberen Gate und einem unteren Gate befindet. Die gegebene Region 226B wird von einem entsprechenden Isolations-Dummy-Gate 244B belegt, wobei das entsprechende Isolations-Dummy-Gate 244B das obere Gate von dem unteren Gate relativ zu der Y-Achse trennt. In einigen Ausführungsformen ist relativ zu der Y-Achse eine Größe der gegebenen Region 226B kleiner als das entsprechende Isolations-Dummy-Gate 244B. In einigen Ausführungsformen ist relativ zu der Y-Achse die Größe der gegebenen Region 226B etwa gleich der entsprechenden Isolations-Dummy-Gate 244B.
  • In einigen Ausführungsformen wird relativ zu der X-Achse eine gegebene Region 226A in 2A als in Bezug auf die entsprechende AR-Struktur dazwischenliegend angesehen, da sich die gegebene Region 226A zwischen einem linken Abschnitt und einem rechten Abschnitt der entsprechenden AR-Struktur befindet. Der linke Abschnitt der entsprechenden AR-Struktur liegt nicht unter einer entsprechenden FC-Struktur 224A, d.h. ist nicht von dieser bedeckt. Der rechte Abschnitt der entsprechenden AR-Struktur liegt nicht unter einer entsprechenden FC-Struktur 224A, d.h. ist nicht von dieser bedeckt. Dementsprechend wird gesagt, dass die gegebene Region 226A zwischen den unbedeckten linken und rechten Abschnitten der entsprechenden AR-Struktur dazwischenliegt. In einigen Ausführungsformen ist relativ zu der X-Achse eine Größe der gegebenen Region 226A etwa gleich der entsprechenden FC-Struktur 224A. In einigen Ausführungsformen ist relativ zu der Y-Achse die Größe der gegebenen Region 226A kleiner als die entsprechende FC-Struktur 224A.
  • Es sei daran erinnert, dass dazwischenliegende Regionen in 2A den dazwischenliegenden Regionen 226B in 2B entsprechen. In ähnlicher Weise wird in einigen Ausführungsformen relativ zu der X-Achse eine gegebene Region 226B in 2B als in Bezug auf die entsprechenden ARs dazwischenliegend angesehen, da sich die gegebene Region 226B zwischen einem linken AR und einem rechten AR befindet. Die gegebene Region 226B wird von einem entsprechenden Isolations-Dummy-Gate 244B belegt, wobei das entsprechende Isolations-Dummy-Gate 244B den linken AR von der rechten AR relativ zu der Y-Achse trennt. In einigen Ausführungsformen wird relativ zu der X-Achse eine Instanz des Isolations-Dummy-Gates 244B, das zwischen dem linken und dem rechten ARs gefunden wird, als ein inneres Isolations-Dummy-Gate 244B bezeichnet. Im Gegensatz dazu wird in solchen Ausführungsformen eine Instanz des Isolations-Dummy-Gates 244B, die sich nicht zwischen dem entsprechenden linken und rechten ARs der gleichen Zellregion befindet, als ein äußeres Isolations-Dummy-Gate 244B bezeichnet. In solchen Ausführungsformen wird relativ zu der X-Achse ein äußeres Isolations-Dummy-Gate 244B an oder nahe einer Grenze der entsprechenden Zellregion gefunden und ist benachbart zu einem linken AR oder zu einem rechten AR, ist aber nicht benachbart zu sowohl einem linken als auch rechten AR der gleichen Zellregion. In einigen Ausführungsformen ist relativ zu der X-Achse eine Größe der gegebenen Region 226B etwa gleich der entsprechenden Isolations-Dummy-Gate 244B. In einigen Ausführungsformen ist relativ zu der X-Achse die Größe der gegebenen Region 226B kleiner als das entsprechende Isolations-Dummy-Gate 244B.
  • In einigen Ausführungsformen erstrecken sich die FC-Strukturen 224A in einer dritten Richtung senkrecht zu jeder der ersten und zweiten Richtungen. In 2A ist die dritte Richtung parallel zu der Z-Achse (nicht gezeigt in 2A). Insbesondere erstrecken sich in einigen Ausführungsformen die FC-Strukturen 224A von der Unterseite der ersten Schicht 340 zu der Oberseite der zweiten Schicht 342. In einigen Ausführungsformen erstrecken sich die FC-Strukturen 224A von der ersten Schicht 340 zu der dritten Schicht. In einigen Ausführungsformen erstrecken sich die FC-Strukturen 224 von einer Substratschicht 390 (3C) durch eine n-Wannen-Schicht 392, durch eine AR-Schicht 394 (3C-3D) und durch eine Gate-Schicht 396. Andere FC-Strukturkonfigurationen, Anordnungen auf anderen Layoutebenen oder Mengen von FC-Strukturen 224A fallen in den Umfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen bezeichnet jede FC-Struktur 224A eine zukünftige Trennung oder Unterbrechung zwischen AR-Strukturen und zwischen Gate-Strukturen einer ansonsten vollständig projizierten Zellregion. In einigen Ausführungsformen ist jede FC-Struktur 224A ein Vorläufer eines entsprechenden inneren Isolations-Dummy-Gates 244B. In einigen Ausführungsformen sind eine oder mehrere FC-Strukturen 224A auf jeder einer Mehrheit der AR-Strukturen in jeder der Gruppen 216A_1 und 216A2 konfiguriert. In einigen Ausführungsformen sind relativ zu der X-Achse entsprechende Abschnitte der FC-Strukturen 224A mindestens 2δ von einem nächstgelegenen Ende einer entsprechenden AR-Struktur positioniert. In einigen Ausführungsformen beträgt die Breite der AR-Strukturen mindestens 2δ. In einigen Ausführungsformen beträgt die Breite der AR-Strukturen mindestens 3δ (siehe 15C). In einigen Ausführungsformen sind einige der AR-Strukturen so schmal wie 1δ (siehe 15C).
  • Das Layoutdiagramm 202A enthält den Abschnitt mit geringerem Leck 208A und den Abschnitt mit höherem Leck 206A. Die AR-Strukturen der Gruppe 216A_2 befinden sich im Wesentlichen innerhalb des Abschnitts mit geringerem Leck (lower-leakage, LL) 208A. Die AR-Strukturen der Gruppe 216A_1 befinden sich im Wesentlichen innerhalb des Abschnitts mit höherem Leck (higher-leakage, HL) 206A. Relativ zu der Y-Achse sind die AR-Strukturen der Gruppe 216A_2 mit einer Höhe H_1 konfiguriert, die einen Leckstrom unter einem vorbestimmten Schwellenwert ermöglicht. Relativ zu der Y-Achse sind die AR-Strukturen der Gruppe 216A_1 mit einer Höhe H_2 konfiguriert, die größer als die Höhe der Gruppe 216A_2 von AR-Strukturen ist und Schaltgeschwindigkeiten innerhalb eines vorbestimmten Schwellenwerts unterstützt. In einigen Ausführungsformen ist jede AR-Struktur mit einer Höhe von H_2 ein HL-Abschnitt. In einigen Ausführungsformen ist jede AR-Struktur mit einer Höhe von H_1 ein LL-Abschnitt. In einigen Ausführungsformen sind größere AR-Strukturen relativ zu anderen AR-Strukturen innerhalb der Zellregion als ein Satz von HL-Abschnitten konfiguriert. In einigen Ausführungsformen sind kleinere AR-Strukturen relativ zu anderen AR-Strukturen innerhalb der Zellregion als ein Satz von LL-Abschnitten konfiguriert. In einigen Ausführungsformen sind relativ zu der Y-Achse die AR-Strukturen in Gruppe 216A_1 doppelt so groß wie die AR-Strukturen in Gruppe 216A_2.
  • Relativ zu der X-Achse werden eine oder mehrere der AR-Strukturen in jeder der Gruppen 216A_1 und 216A_2 durch eine oder mehrere entsprechende FC-Strukturen 224A überlagert. Eine lange Achse der FC-Strukturen 224A erstreckt sich relativ zu der Y-Achse. In einigen Ausführungsformen erstreckt sich eine lange Achse einer oder mehrerer FC-Strukturen 224A relativ zu der Y-Achse von einem ersten Ende einer Gate-Struktur 222A zu einem zweiten Ende einer Gate-Struktur 222A. Die AR-Strukturen in Gruppe 216A_2 sind durch entsprechende Lücken 228A mit einem Abstand S_1 voneinander getrennt. Die AR-Strukturen in Gruppe 216A_1 sind durch entsprechende Lücken 230A mit einem Abstand S_1 voneinander getrennt. In einigen Ausführungsformen sind die Lücken 228A und 230A mit derselben Höhe konfiguriert. In einigen Ausführungsformen sind die Lücken 228A und 230A mit unterschiedlichen Höhen konfiguriert. In einigen Ausführungsformen wird der Abstand S_1 durch Designregeln des entsprechenden Halbleiterprozesstechnologieknotens bestimmt. In einigen Ausführungsformen ist der Abstand S_1 eine minimale Höhe, die zwischen AR-Strukturen gemäß Designregeln des entsprechenden Halbleiterprozesstechnologieknotens erlaubt ist. Zum Zweck des Beschreibens von Ausführungsformen der vorliegenden Offenbarung sind Lückenhöhen in der Form S_X bezeichnet, wobei X eine nicht negative ganze Zahl oder ein nicht negativer Bruchteil ist. Zum Zweck des Beschreibens von Ausführungsformen der vorliegenden Offenbarung sind AR-Höhen in der Form H_X bezeichnet, wobei X eine nicht negative ganze Zahl ist.
  • Die obersten und untersten AR-Strukturen in Gruppe 216A_1 sind von entsprechenden Referenzlinien 232AT und 232AB durch einen Abstand von S_1/2 getrennt. In einigen Ausführungsformen sind die Referenzlinien 232AT und 232AR Zellgrenzen. Die obersten und untersten AR-Strukturen in Gruppe 216A_2 sind von entsprechenden Referenzlinien 232AT und 232AB durch einen Abstand von S_1/2 getrennt. Die AR-Strukturen in Gruppe 216A_2 sind von den AR-Strukturen in Gruppe 216A_1 unterschiedlich bemessen, z. B. mit entsprechenden Höhen H_1 und H_2. In einigen Ausführungsformen sind die Gruppen 216A_1 und 216A_2 von AR-Strukturen innerhalb von n-Wannen-Strukturen 212A konfiguriert, um p-Diffusionsmaterial zu sein und p-Kanal-Metalloxidhalbleiter (PMOSs) zu unterstützen. In einigen Ausführungsformen sind die Gruppen 216A_1 und 216A_2 von AR-Strukturen außerhalb von n-Wannen-Strukturen 212A konfiguriert, um n-Diffusionsmaterial zu sein und n-Kanal-Metalloxidhalbleiter (NMOSs) zu unterstützen.
  • In einigen Ausführungsformen ist jede der Zellregionen 204_AE, 204_AF, 204_AG und 204_AH gemäß der Design-Regel (1) unten konfiguriert. In einigen Ausführungsformen ist jede der Zellregionen 204_AA, 204_AB, 204_AC und 204_AD durch die Design-Regel (2) unten ausgedrückt. In einigen Ausführungsformen ist die Zellregion 204A durch die Design-Regel (3) unten ausgedrückt. Zellh o ¨ he = 2 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0001
    Zellh o ¨ he = 1.5 S _ 1 + 1.5 H _ 2
    Figure DE102022101653A1_0002
  • 2B ist eine Draufsicht einer Halbleitervorrichtung 202B gemäß einigen Ausführungsformen.
  • Halbleitervorrichtung 202B ist ein Beispiel von Halbleitervorrichtung 102. In einigen Ausführungsformen ist die Halbleitervorrichtung 202B so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 204B ein Beispiel für Zellregion 104. Zellregionen 204B, 204_BA, 204_BB 204_BC, 204_BD, 204_BE, 204_BF, 204_BG und 204_BH von 2B entsprechen Zellregionen 204A, 204_AA, 204_AB, 204_AC, 204_AD, 204_AE, 204_AF, 204_AG und 204_AH. In einigen Ausführungsformen ist der HL-Abschnitt 206B ein Beispiel für den HL-Abschnitt 106. In einigen Ausführungsformen ist der HL-Abschnitt 106 so konfiguriert, dass er in Zellregion 104 verwendet wird. In einigen Ausführungsformen ist der LL-Abschnitt 208B ein Beispiel für den LL-Abschnitt 108. In einigen Ausführungsformen ist der LL-Abschnitt 208B so konfiguriert, dass er in Zellregion 104 verwendet wird.
  • Zellregion 204B ist mit Isolations-Dummy-Gates 244B und dazwischenliegenden Regionen 226B konfiguriert. Insbesondere belegen Isolations-Dummy-Gates 244B korrespondierende dazwischenliegende Regionen 226B. In einigen Ausführungsformen werden mindestens ausgewählte Abschnitte von ausgewählten der Gate-Elektroden, die korrespondierend mit den Referenzlinien 217B, 218B, 220B und 221B ausgerichtet sind, durch korrespondierende Isolations-Dummy-Gates ersetzt, wobei die ausgewählten Abschnitte ansonsten korrespondierende Enden von korrespondierenden ARs überlagern würden. In solchen Ausführungsformen werden im Kontext von Zellregion 204B Isolations-Dummy-Gates 244B als innere Isolations-Dummy-Gates bezeichnet, während und die Isolations-Dummy-Gates, die die ausgewählten Abschnitte der ausgewählten Gate-Strukturen ersetzen, als äußere Isolations-Dummy-Gates bezeichnet werden. In einigen Ausführungsformen werden nicht nur ausgewählte Abschnitte, sondern Gesamtheiten der ausgewählten Gate-Elektroden, die korrespondierend mit den Referenzlinien 217B, 218B, 220B und 221B ausgerichtet sind, durch korrespondierende Isolations-Dummy-Gates ersetzt.
  • Die Halbleitervorrichtung 202B enthält eine Zellregion 204B, die ARs in den Gruppen 216B_1 und 216B_2 enthält, die sich parallel zu der X-Achse erstrecken. Die Gruppe 216B_1 enthält Instanzen von AR 213B_1 und AR_213B_2. Die Gruppe 216B_1 enthält Instanzen von AR 213B_1, AR_213B_2 und AR 213B_3. Jede Instanz von AR 213B_1 und AR 213B_2 ist ein Beispiel eines nicht vollständig projizierten AR. Jede Instanz von AR 213B_3 ist eine Instanz eines vollständig projizierten AR. Relativ zu einer imaginären zentralen Referenzlinie 219B, die sich parallel zu der Y-Achse erstreckt, ist die Zellregion 204B so angeordnet, dass sich die zentrale Referenzlinie 219B zentral innerhalb der Zellregion 204B relativ zu der X-Achse befindet. Relativ zu einer imaginären ersten Referenzlinie 218B, die sich parallel zu der Y-Achse erstreckt, erstrecken sich erste Endteile von zwei oder mehr der ARs in der Gruppe 216B_2 um einen Abstand relativ zu der X-Achse von der zentralen Referenzlinie 219B zu der ersten Referenzlinie 218B. Ein erster Rand 210BR der Zellregion 204B ist parallel und proximal zu der ersten Referenzlinie 218B. Relativ zu einer imaginären zweiten Referenzlinie 220B, die sich parallel zu der Y-Achse erstreckt, erstrecken sich zweite Endteile von zwei oder mehr der aktiven Regionen 216B_1 um einen Abstand von der zentralen Referenzlinie 219B zu der zweiten Referenzlinie 220B. Ein zweiter Rand 210BL der Zellregion 204B ist parallel und proximal zu der zweiten Referenzlinie 220B. Der zweite Rand 210BL ist auf einer entgegengesetzten Seite der Zellregion 204B relativ zu dem ersten Rand 210BR. Korrespondierende Instanzen von AR 213B_1 auf der linken Seite und korrespondierende Instanzen von AR 213B_2 auf der rechten Seite umfassen korrespondierende lange Achsen, die parallel zu der X-Achse sind und die kollinear sind. In einigen Ausführungsformen sind Instanzen von AR 213B_1 auf der linken Seite und korrespondierende Instanzen von 213B_2 auf der rechten Seite durch korrespondierende Instanzen der dazwischenliegenden Region 226B getrennt. In einigen Ausführungsformen sind ein erster Satz von Instanzen von AR 213B_1 und ein zweiter Satz von Instanzen von AR 213B_2 durch eine Instanz der dazwischenliegenden Region 226B getrennt.
  • In 2B sind in einigen Ausführungsformen am weitesten rechts liegende Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit der ARs in der Gruppe 216B_2 mit der Referenzlinie 218B ausgerichtet. Relativ zu der X-Achse erstrecken sich alle ARs in der Gruppe 216B_2 von der Referenzlinie 218B weg in Richtung der Referenzlinie 219B, so dass das am weitesten links liegende Ende jeder AR in der Gruppe 216B_2 mit der Referenzlinie 217B ausgerichtet ist. In einigen Ausführungsformen sind die am weitesten links liegenden Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit der ARs in der Gruppe 216B_2 mit der Referenzlinie 217B ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit der ARs in der Gruppe 216B_2 die gleiche wie die zweite Mehrheit der ARs in der Gruppe 216B_2.
  • In 2B sind in einigen Ausführungsformen am weitesten links liegende Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit der ARs in der Gruppe 216B_1 mit der Referenzlinie 220B ausgerichtet. Relativ zu der X-Achse erstrecken sich alle ARs in der Gruppe 216B_1 von der Referenzlinie 220B weg in Richtung der Referenzlinie 219B, so dass das am weitesten rechts liegende Ende jeder AR in der Gruppe 216B_1 mit der Referenzlinie 221B ausgerichtet ist. In einigen Ausführungsformen sind am weitesten rechts liegende Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit der ARs in der Gruppe 216B_1 mit der Referenzlinie 221B ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit der ARs in der Gruppe 216B_1 die gleiche wie die zweite Mehrheit der ARs in der Gruppe 216B_1.
  • Unter Bezugnahme auf 2B befinden sich die dazwischenliegende(n) Region(en) 226B, die nun durch entsprechende Isolations-Dummy-Gates 224B belegt sind, im Gegensatz zu anderen Ansätzen an variierenden Stellen in den ARs der Gruppen 216B_1 und 216B_2. In einigen Ausführungsformen wird die Entfernung von Abschnitten der Gate-Strukturen 222B und entsprechenden Abschnitten der ARs in den Gruppen 216B_1 und 216B_2 in einer Finnen-Feldeffekttransistor- (FinFET-) Technologie, Nanosheet-FET-Technologie, Gate-all-around-Technologie oder dergleichen implementiert.
  • Die Halbleitervorrichtung 202B ist mit Isolations-Dummy-Gates 244B konfiguriert, die korrespondierende dazwischenliegende Regionen 226B belegen. Nicht alle Instanzen der ARs in den Gruppen 216B_1 und 216B_2 sind kollinear mit einer anderen Instanz von AR. Relativ zu der X-Achse sind nicht alle inneren Instanzen des Isolations-Dummy-Gates 244B notwendigerweise kollinear mit einer anderen inneren Instanz des Isolations-Dummy-Gates 244B. Relativ zu der X-Achse befinden sich verschiedene Instanzen des Isolations-Dummy-Gates 244B an unterschiedlichen Stellen von einem AR zum nächsten. Jede der ARs-Gruppen 216B_1 weist eine Höhe H_2 auf, jeder der ARs in Gruppe 216B_2 weist eine Höhe H_1 relativ zu der Y-Achse auf, wobei H1 < H2.
  • 3A ist ein Layoutdiagramm 302A einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
  • Zum Zweck der Erörterung des Layoutdiagramms 302A werden Formen und Strukturen innerhalb des Layoutdiagramms 302A mit Bezugszeichen in der Form 3XXA oder 3XX_A erörtert. Strukturen, die den Formen und Strukturen des Layoutdiagramms 302A einer Halbleitervorrichtung 302B (3B) entsprechen, werden mit Bezugszeichen der Form 3XXB oder 3XX_B in 3B erörtert.
  • Aufgrund der FC-Strukturen 324A (nachstehend erörtert) in 3A werden entsprechende innere Isolations-Dummy-Gates von 3B gebildet, was dazu führt, dass entsprechende ARs von 3B nicht vollständig projiziert werden (nachstehend erörtert). Vorteile des nicht vollständigen Projizierens aller ARs in 3B schließen eine reduzierte Zellregionsraumverschwendung, erhöhte AR-Dichte oder dergleichen im Vergleich zum anderen Ansatz ein.
  • In einigen Ausführungsformen ist das Layoutdiagramm 302A ein Layoutdiagramm einer Halbleitervorrichtung, z. B. der Halbleitervorrichtung 102. In einigen Ausführungsformen ist das Layoutdiagramm 302A so konfiguriert, dass es bei der Herstellung einer Halbleitervorrichtung, z. B. der Halbleitervorrichtung 302B (3B), verwendet wird, wobei letztere in einem IC, z. B. IC 100, enthalten ist. In einigen Ausführungsformen ist die Zellregion 304A ein Beispiel für Zellregion 104. In einigen Ausführungsformen werden die Zellregionen 304_AA, 304_AB, 304_AC, 304_AD, 304_AE, 304_AF, 304_AG und 304_AH innerhalb der Zellregion 304A von 3A und bei der Herstellung von Halbleitervorrichtungen, wie entsprechenden Zellregionen 304_BA, 304_BB, 304_BC, 304_BD, 304_BE, 304_BF, 304_BG und 304_BH in der Zellregion 304B der Halbleitervorrichtung 302B von 3B, zur Verwendung in einem IC, wie IC 100, verwendet. In einigen Ausführungsformen wird ein HL-Abschnitt, z. B. der HL-Abschnitt 106, durch eine Gruppe von AR-Strukturen 316A_1 dargestellt, wobei jede AR-Struktur 316_A eine Höhe H_3 relativ zur Y-Achse aufweist. In einigen Ausführungsformen wird ein LL-Abschnitt, z. B. der LL-Abschnitt 108, durch eine Gruppe von AR-Strukturen 316A_2 und 316A_3 dargestellt, wobei jede der AR-Strukturen 316A_2 und 316A_3 die Höhe H_1 aufweist.
  • In 3A sind relativ zu der X-Achse die Instanzen der AR-Strukturen 316A_3, 316A_2 und 316A_1 nicht vollständig projiziert. In einigen Ausführungsformen ist der Abstand zwischen einer Instanz der AR-Struktur 316A_2 und einer Instanz der AR-Struktur 316A_1 kleiner als oder gleich 16 oder ist kleiner als die Breite der FC-Struktur 324A oder ist kleiner als die Breite der Gate-Struktur 322A.
  • Das Layoutdiagramm 302A enthält Zellregion 304A, die eine einzelne Zellregion ist. In einigen Ausführungsformen wird die Zellregion 304A als eine größere Zellregion angesehen, die kleinere Zellregionen enthält, wie z. B. die Zellregionen 304_AA, 304_AB, 304_AC, 304_AD, 304_AE, 304_AF, 304_AG und 304_AH.
  • In einigen Ausführungsformen wird Zellregion 304A als eine größere Zellregion angesehen, die zwei mittelgroße Zellregionen enthält, die relativ zu der X-Achse durch eine imaginäre zentrale vertikale Referenzlinie 319A getrennt sind. In einigen Ausführungsformen wird jede der zwei mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält. Zum Beispiel enthält z. B. die mittelgroße Zellregion links von der Referenzlinie 319A die Zellregionen 304_AA, 304_AB, 304_AC und 304_AD, und die mittelgroße Zellregion rechts von der Referenzlinie 319A enthält die Zellregionen 304_AE, 304_AF, 304_AG und 304_AH.
  • In einigen Ausführungsformen wird Zellregion 204A als eine größere Zellregion angesehen, die zwei mittelgroße zwei Zellregionen enthält, die relativ zu der Y-Achse durch eine imaginäre zentrale horizontale Linie 301B getrennt sind. In einigen Ausführungsformen wird jede der zwei mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält. Zum Beispiel enthält z. B. die mittelgroße Zellregion über der Referenzlinie 301B die Zellregionen 304_AA, 304_AB, 304_AE und 304_AF, und die mittelgroße Zellregion unter der Referenzlinie 301B enthält die Zellregionen 304_AC, 304_AD, 304_AG und 304_AH.
  • In einigen Ausführungsformen wird Zellregion 304A als eine größere Zellregion angesehen, die vier mittelgroße Zellregionen enthält, die relativ zu der Y-Achse durch imaginäre horizontale Referenzlinien 301A, 301B und 301C getrennt sind. In einigen Ausführungsformen wird jede der vier mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält, z. B.: die mittelgroße Zellregion über der Referenzlinie 301A enthält die Zellregionen 304_AA, 304_AE; die mittelgroße Zellregion zwischen der Referenzlinie 301A und 301B enthält die Zellregionen 304_AB und 304_AF; die mittelgroße Zellregion zwischen der Referenzlinie 301B und 301C enthält die Zellregionen 304_AC, 304_AG; und die mittelgroße Zellregion unter der Referenzlinie 301C enthält die Zellregionen 304_AD und 304_AH.
  • In einigen Ausführungsformen wird Zellregion 304A als eine größere Zellregion angesehen, die vier mittelgroße Zellregionen enthält, die relativ zur X-Achse durch die Referenzlinien 319A getrennt sind und relativ zur Y-Achse durch die Referenzlinie 301B getrennt sind. In einigen Ausführungsformen wird jede der vier mittelgroßen Zellregionen als eine „größere“ Zellregion angesehen, die kleinere Zellregionen enthält, z. B.: die mittelgroße Zellregion links von der Referenzlinie 319A und oberhalb der Referenzlinie 301B enthält die Zellregionen 304_AA und 304_AB; die mittelgroße Zellregion links von der Referenzlinie 319A und unterhalb der Referenzlinie 301B enthält die Zellregionen 304_AC und 304_AD; die mittelgroße Zellregion rechts von der Referenzlinie 319A und oberhalb der Referenzlinie 301B enthält die Zellregionen 304_AE und 304_AF; und die mittelgroße Zellregion rechts von der Referenzlinie 319A und unterhalb der Referenzlinie 301B enthält die Zellregionen 304_AG und 304_AH. Andere geeignete Zellregionsausrichtungen liegen innerhalb des vorgesehenen Umfangs der Offenbarung.
  • Das Layoutdiagramm 302A enthält ferner n-Wannen-Strukturen 312A innerhalb eines Substrats. In einigen Ausführungsformen befinden sich n-Wannen-Strukturen 312A und das Substrat innerhalb einer ersten Schicht 340 (3C-3D), z. B. einer Substratschicht 390 (3C-3D). N-Wannen-Strukturen 312A sind mit einer Breite, die sich in der X-Achse erstreckt, und einer Höhe entlang der Y-Achse konfiguriert. In 3A sind die erste und zweite Richtung entsprechend die X-Achse und die Y-Achse. In einigen Ausführungsformen sind die erste und zweite Richtung entsprechend etwas anderes als die X-Achse und die Y-Achse. N-Wannen-Strukturen 312A sind voneinander relativ zur X-Achse getrennt; jedoch sind andere Ausrichtungen innerhalb der Offenbarung vorgesehen. Variationen in der Anordnung von n-Wannen-Strukturen 312A, die den Variationen von n-Wannen-Strukturen 212A ähnlich sind, werden in Betracht gezogen.
  • Das Layoutdiagramm 302A enthält ferner entgegengesetzte Seiten 310AR und 310AL relativ zu der X-Achse. In einigen Ausführungsformen entsprechen entgegengesetzte Seiten 310AR und 310AL Zellgrenzen. Zellregion 304A enthält AR-Strukturen 316A_2, 316A_3 und 316A_1, von denen jede eine Breite aufweist, die ein entsprechendes Vielfaches von δ (Xδ) relativ zur X-Achse ist und eine Höhe (H_X) relativ zur Y-Achse aufweist, wobei X eine nicht negative ganze Zahl ist. In einigen Ausführungsformen ist eine AR-Region durch ein Oxid begrenzt und wird als eine oxiddimensionierte (OD) Region oder eine Oxiddiffusions- (OD) Region bezeichnet. In einigen Ausführungsformen befinden sich AR-Strukturen 316A_2, 316A_3 und 316A_1 innerhalb der ersten Schicht 340 (3C-3D) des Layoutdiagramms 302A. Während der Herstellung der Halbleitervorrichtung basierend auf dem Layoutdiagramm 302A resultieren Instanzen von AR-Strukturen 316A_2, 316A_3 und 316A_1 in korrespondierenden Instanzen von ARs 316B_2, 316B_3 und 316B_1 (3B).
  • Das Layoutdiagramm 302A enthält ferner imaginäre Referenzlinien 301A, 301B, 301C, 318A, 319A und 320A, 332AT und 332AB. In einigen Ausführungsformen sind imaginäre Referenzlinien Orientierungslinien/-spuren, auf denen die Formen und Strukturen des Layoutdiagramms 302A positioniert sind. Die Referenzlinie 318A erstreckt sich parallel zu der Y-Achse. Relativ zu der X-Achse umfassen die AR-Strukturen 316A_2 Enden, die mit der Referenzlinie 318A ausgerichtet sind. In einigen Ausführungsformen ist die erste Seite 310AR parallel und proximal zu der Referenzlinie 318A und erstreckt sich relativ zu der Referenzlinie 320A parallel zu der Y-Achse. Relativ zu der X-Achse umfassen Instanzen der AR-Struktur 316A_3 und ausgewählte Instanzen der AR-Struktur 316A_2 Enden, die mit der Referenzlinie 320A ausgerichtet sind. Die zweite Seite 310AL ist parallel und proximal zu der Referenzlinie 320A. In einigen Ausführungsformen ist die Referenzlinie 319A eine vertikale zentrale Referenzlinie für Zellregion 304A. In einigen Ausführungsformen ist die Referenzlinie 319A eine Zellgrenze zwischen den Zellregionen 304_AA und 304_AE, 304_AB und 304_AF, 304_AC und 304_AG und 304_AD und 304_AH. In einigen Ausführungsformen erstrecken sich die AR-Strukturen 316A_3 von der Referenzlinie 318A zu 320A. In einigen Ausführungsformen befinden sich die AR-Strukturen 316A_3 entsprechend an den obersten und untersten Abschnitten der Zellregion 304A. Instanzen der AR-Strukturen 316A_3 sind ein Abstand von S_1/2 von entsprechenden Referenzlinien 332AT und 332AB.
  • Das Layoutdiagramm 302A enthält ferner eine Gruppe 322A von Gate-Strukturen 315. Gate-Strukturen der Gruppe 322A überlagern korrespondierend die AR-Strukturen 316A_2, 316A_3 und 316A_1. In einigen Ausführungsformen befinden sich Gate-Strukturen der Gruppe 322A in einer zweiten Schicht 342 (3C-3D), z. B. einer Gate-Schicht 396 (3C-3D). In einigen Ausführungsformen befindet sich die zweite Schicht 342 über der ersten Schicht 340. In einigen Ausführungsformen befindet sich die Gate-Schicht 396 in einer dritten Schicht über einer Metall-über-Diffusion-Schicht (nicht gezeigt), die sich in der zweiten Schicht 340 befindet. In einigen Ausführungsformen entsprechen Gate-Strukturen der Gruppe 322A Gate-Strukturen der Gruppe 322B (3B).
  • In 3A ist eine der Gate-Strukturen der Gruppe 322A kollinear mit der Referenzlinie 318A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen in der Gruppe 316A_2 von der Referenzlinie 319A weg in Richtung der Referenzlinie 318A, so dass das am weitesten rechts liegende Ende jeder AR in der Gruppe 316A_2 mit der Referenzlinie 318A ausgerichtet ist. Die Referenzlinie 318A ist parallel und proximal zu der ersten Seite 310AR der Zellregion 304A. In einigen Ausführungsformen sind am weitesten rechts liegende Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit der AR-Strukturen 316A_2 mit der Referenzlinie 318A ausgerichtet.
  • 3A umfasst ferner die imaginäre Referenzlinie 317A, die sich parallel zu der Y-Achse erstreckt. In 3 ist eine der Gate-Strukturen der Gruppe 322A kollinear mit der Referenzlinie 317A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen 316A_2 von der Referenzlinie 318A weg in Richtung der Referenzlinie 319A, so dass das am weitesten links liegende Ende jeder AR 316A_2 mit der Referenzlinie 317A ausgerichtet ist. In einigen Ausführungsformen sind am weitesten links liegende Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit der AR-Strukturen 316A_2 mit der Referenzlinie 317A ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit der AR-Strukturen 316A_2 die gleiche wie die zweite Mehrheit der AR-Strukturen 316A_2.
  • In 3A ist eine der Gate-Strukturen der Gruppe 322A kollinear mit der Referenzlinie 320A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen 316A_1 von der Referenzlinie 319A weg in Richtung der Referenzlinie 320A, so dass das am weitesten links liegende Ende jeder AR 316A_1 mit der Referenzlinie 320A ausgerichtet ist. Die Referenzlinie 320A ist parallel und proximal zu der zweiten Seite 310AL der Zellregion 304A. In einigen Ausführungsformen sind am weitesten links liegende Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit der AR-Strukturen 316A_1 mit der Referenzlinie 320A ausgerichtet.
  • 3A umfasst ferner die imaginäre Referenzlinie 321A, die sich parallel zu der Y-Achse erstreckt. In 3 ist eine der Gate-Strukturen der Gruppe 322A kollinear mit der Referenzlinie 321A. Relativ zu der X-Achse erstrecken sich alle AR-Strukturen 316A_1 von der Referenzlinie 320A weg in Richtung der Referenzlinie 319A, so dass das am weitesten rechts liegende Ende jeder AR 316A_1 mit der Referenzlinie 321A ausgerichtet ist. In einigen Ausführungsformen sind am weitesten rechts liegende Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit der AR-Strukturen 316A_1 mit der Referenzlinie 321A ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit der AR-Strukturen 316A_1 die gleiche wie die zweite Mehrheit der AR-Strukturen 316A_1.
  • In einigen Ausführungsformen befindet sich eine erste Untergruppe 323A_1 von Gate-Strukturen zwischen der Referenzlinie 318A und der ersten Seite 310AR, und eine zweite Untergruppe 323A_2 von Gate-Strukturen befindet sich zwischen der Referenzlinie 320A und der zweiten Seite 310AL. In einigen Ausführungsformen stellen die erste Seite 310AR und die zweite Seite 310AL Abschnitte einer Zellgrenze dar. In einigen Ausführungsformen stellen die Referenzlinien 318A und 320A Abschnitte einer Zellgrenze dar. In einigen Ausführungsformen stellt die zentrale Referenzlinie 319A Abschnitte entsprechender Zellgrenzen dar. In einigen Ausführungsformen entsprechen die Gate-Strukturen 323A_1 einer Zellgrenze. In einigen Ausführungsformen entspricht eine der Gate-Strukturen 323A_1 einer Zellgrenze.
  • In 3A werden Variationen in der Anordnung von n-Wannen-Strukturen 312A in Betracht gezogen. In einigen Ausführungsformen, relativ zu der X-Achse: die linken Enden der Instanzen der n-Wannen-Strukturen 312A, die den entsprechenden AR-Strukturen 316A_1 und 316A_2 zugrunde liegen, erstrecken sich zwischen den zwei Gate-Strukturen der Untergruppe 323A_2; die rechten Enden der Instanzen der n-Wannen-Strukturen 312A, die den entsprechenden AR-Strukturen 316A_1 und 316A_2 zugrunde liegen, erstrecken sich zwischen den zwei Gate-Strukturen der Untergruppe 323A_1.
  • In einigen Ausführungsformen, relativ zu der X-Achse: die linken Enden der Instanzen der n-Wannen-Strukturen 312A, die den entsprechenden AR-Strukturen 316A_1 und 316A_2 zugrunde liegen, erstrecken sich über die Referenzlinie 320A hinaus, aber nicht so weit, dass sie einer beliebigen Gate-Struktur der Untergruppe 323A_2 zugrunde liegen; die rechten Enden der Instanzen der n-Wannen-Strukturen 312A, die den entsprechenden AR-Strukturen 316A_1 und 316_2 zugrunde liegen, erstrecken sich über die Gate-Struktur hinaus, die den rechten Enden der AR-Strukturen 316A_1 und 316A_2 zugrunde liegt, aber nicht so weit, dass sie einer beliebigen Gate-Struktur der Untergruppe 323A_1 zugrunde liegt.
  • Das Layoutdiagramm 302A enthält ferner FC-Strukturen 324A, die sich relativ zu der Y-Achse erstrecken. Die Herstellung einer Halbleitervorrichtung basierend auf dem Layoutdiagramm 302A von 3A resultiert in der Halbleitervorrichtung 302B von 2B, und insbesondere resultiert die Herstellung einer Halbleitervorrichtung basierend auf FC-Strukturen 324A von 3A in Isolations-Dummy-Gates 344B von 3B. Eine gegebene FC-Struktur 224A überlagert einen gegebenen Abschnitt einer entsprechenden Gate-Struktur, und der gegebene Abschnitt der Gate-Struktur überlagert einen Abschnitt einer entsprechenden AR-Struktur; die gegebenen Abschnitte der Gate-Struktur und der AR-Struktur stellen die dazwischenliegende Region 326A dar.
  • In einigen Ausführungsformen wird relativ zu der Y-Achse eine gegebene Region 326A in 3A als in Bezug auf die entsprechende Gate-Struktur dazwischenliegend angesehen, da sich die gegebene Region 326A zwischen oberen und unteren Abschnitten der entsprechenden Gate-Struktur befindet. Der obere Abschnitt der entsprechenden Gate-Struktur liegt nicht unter einer entsprechenden FC-Struktur 324A, d.h. ist nicht von dieser bedeckt. Der untere Abschnitt der entsprechenden Gate-Struktur liegt nicht unter einer entsprechenden FC-Struktur 324A, d.h. ist nicht von dieser bedeckt. Dementsprechend wird gesagt, dass die gegebene Region 326A zwischen den unbedeckten oberen und unteren Abschnitten der entsprechenden Gate-Struktur dazwischenliegt. In einigen Ausführungsformen ist relativ zu der Y-Achse eine Größe der gegebenen Region 326A kleiner als die entsprechende FC-Struktur 324A. In einigen Ausführungsformen ist relativ zu der Y-Achse die Größe der gegebenen Region 326A etwa gleich der entsprechenden FC-Struktur 324A.
  • Es sei daran erinnert, dass dazwischenliegende Regionen in 3A den dazwischenliegenden Regionen 326B in 3B entsprechen. In ähnlicher Weise wird in einigen Ausführungsformen relativ zu der Y-Achse eine gegebene Region 326B in 3B als in Bezug auf die entsprechenden Gates dazwischenliegend angesehen, da sich die gegebene Region 326B zwischen einem oberen Gate und einem unteren Gate befindet. Die gegebene Region 326B wird von einem entsprechenden Isolations-Dummy-Gate 344B belegt, wobei das entsprechende Isolations-Dummy-Gate 344B das obere Gate von demunteren Gate relativ zu der Y-Achse trennt. In einigen Ausführungsformen ist relativ zu der Y-Achse eine Größe der gegebenen Region 326B kleiner als das entsprechende Isolations-Dummy-Gate 344B. In einigen Ausführungsformen ist relativ zu der Y-Achse die Größe der gegebenen Region 326B etwa gleich der entsprechenden Isolations-Dummy-Gate 344B.
  • In einigen Ausführungsformen wird relativ zu der X-Achse eine gegebene Region 326A in 3A als in Bezug auf die entsprechende AR-Struktur dazwischenliegend angesehen, da sich die gegebene Region 326A zwischen einem linken Abschnitt und einem rechten Abschnitt der entsprechenden AR-Struktur befindet. Der linke Abschnitt der entsprechenden AR-Struktur liegt nicht unter einer entsprechenden FC-Struktur 324A, d.h. ist nicht von dieser bedeckt. Der rechte Abschnitt der entsprechenden AR-Struktur liegt nicht unter einer entsprechenden FC-Struktur 324A, d.h. ist nicht von dieser bedeckt. Dementsprechend wird gesagt, dass die gegebene Region 326A zwischen den unbedeckten linken und rechten Abschnitten der entsprechenden AR-Struktur dazwischenliegt. In einigen Ausführungsformen ist relativ zu der X-Achse eine Größe der gegebenen Region 326A etwa gleich der entsprechenden FC-Struktur 324A. In einigen Ausführungsformen ist relativ zu der Y-Achse die Größe der gegebenen Region 326A kleiner als die entsprechende FC-Struktur 324A.
  • Es sei daran erinnert, dass dazwischenliegende Regionen in 3A dazwischenliegenden Regionen 326B in 3B entsprechen. In ähnlicher Weise wird in einigen Ausführungsformen relativ zu der X-Achse eine gegebene Region 326B in 3B als in Bezug auf die entsprechenden ARs dazwischenliegend angesehen, da sich die gegebene Region 326B zwischen einem linken AR und einem rechten AR befindet. Die gegebene Region 326B wird von einem entsprechenden Isolations-Dummy-Gate 344B belegt, wobei das entsprechende Isolations-Dummy-Gate 344B den linken AR von der rechten AR relativ zu der Y-Achse trennt. Dementsprechend sind solche linken und rechten ARs Beispiele von ARs, die nicht vollständig projiziert sind. In einigen Ausführungsformen wird relativ zu der X-Achse eine Instanz des Isolations-Dummy-Gates 344B, das zwischen dem linken und dem rechten ARs gefunden wird, als ein inneres Isolations-Dummy-Gate 344B bezeichnet. Im Gegensatz dazu wird in solchen Ausführungsformen eine Instanz des Isolations-Dummy-Gates 344B, die sich nicht zwischen dem entsprechenden linken und rechten ARs der gleichen Zellregion befindet, als ein äußeres Isolations-Dummy-Gate 344B bezeichnet. In solchen Ausführungsformen wird relativ zu der X-Achse ein äußeres Isolations-Dummy-Gate 344B an oder nahe einer Grenze der entsprechenden Zellregion gefunden und ist benachbart zu einem linken AR oder zu einem rechten AR, ist aber nicht benachbart zu sowohl einem linken als auch rechten AR der gleichen Zellregion. In einigen Ausführungsformen ist relativ zu der X-Achse eine Größe der gegebenen Region 326B etwa gleich der entsprechenden Isolations-Dummy-Gate 344B. In einigen Ausführungsformen ist relativ zu der X-Achse die Größe der gegebenen Region 326B kleiner als das entsprechende Isolations-Dummy-Gate 344B.
  • In einigen Ausführungsformen erstrecken sich die FC-Strukturen 324A in der dritten Richtung senkrecht zu jeder der ersten und zweiten Richtungen. In 3A ist die dritte Richtung parallel zu der Z-Achse (nicht gezeigt in 3A). Insbesondere erstrecken sich in einigen Ausführungsformen die FC-Strukturen 324A von der ersten Schicht 340 zu der zweiten Schicht 342. In einigen Ausführungsformen erstrecken sich die FC-Strukturen 324A von der ersten Schicht 340 zu der dritten Schicht. In einigen Ausführungsformen erstrecken sich die FC-Strukturen 324 von der Substratschicht 390 durch die n-Wannen-Schicht 392 (3C-3D), durch die AR-Schicht 394 und durch die Gate-Schicht 396. Andere FC-Strukturkonfigurationen, Anordnungen auf anderen Layoutebenen oder Mengen von FC-Strukturen 324A fallen in den Umfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen bezeichnet jede FC-Struktur 324A eine zukünftige Trennung oder Unterbrechung zwischen entsprechenden AR-Strukturen 316A_2 und 316A_1 und einer oder mehreren Gate-Strukturen in der Gruppe 322A. In einigen Ausführungsformen ist jede FC-Struktur 324A ein Vorläufer eines entsprechenden inneren Isolations-Dummy-Gates 344B. Die FC-Strukturen 324A sind zwischen jeder der AR-Strukturen 316A_2 und 316A_1 positioniert. In einigen Ausführungsformen sind die FC-Strukturen 324A, relativ zu der X-Achse, in der Breite kleiner als 1δ Die FC-Strukturen 324A überlagern eine oder mehrere Gate-Strukturen in der Gruppe 322A, die sich am Übergang der AR-Regionen 316A_2 und 316A_1 befinden.
  • In einigen Ausführungsformen enthält das Layoutdiagramm 302A LL-Abschnitte, die AR-Strukturen 316A_2 und 316A_3 sind. In einigen Ausführungsformen sind die HL-Abschnitte AR-Strukturen 316A_1. In einigen Ausführungsformen sind die AR-Strukturen 316A_2 und 316A_3 mit einer Höhe H_1 konfiguriert, die einen Leckstrom unter einem vorbestimmten Schwellenwert ermöglicht. In einigen Ausführungsformen sind die AR-Strukturen 316A_1 mit einer Höhe konfiguriert, die größer als die Höhe der AR-Strukturen 316A_2 ist und Schaltgeschwindigkeiten innerhalb eines vorbestimmten Schwellenwerts unterstützt. In einigen Ausführungsformen werden die AR-Strukturen 316A_1 einzeln als HL-Abschnitte betrachtet. In einigen Ausführungsformen werden die AR-Strukturen 316A_2 und 316A_3 einzeln als LL-Abschnitte betrachtet. In einigen Ausführungsformen sind relativ zu der Y-Achse die AR-Strukturen 316A_1 dreimal so groß wie die AR-Strukturen 316A_2 und 316A_3.
  • Relativ zu der X-Achse werden die ARs 316A_1 und ausgewählten AR-Strukturen 316A_2 durch entsprechende FC-Strukturen 324A überlagert. Eine lange Achse der FC-Strukturen 324A erstreckt sich relativ zu der Y-Achse von einem ersten Ende einer Gate-Struktur 322A zu einem anderen Ende oder zweiten Ende oder der gleichen Gate-Struktur 322A. Entsprechende AR-Strukturen 316A_2 und 316A_3 sind durch entsprechende Lücken 328A mit einem Abstand S_1 voneinander getrennt. Die AR-Strukturen 316A_1 sind durch entsprechende Lücken 330A mit einer Höhe S_3 voneinander getrennt. Die AR-Strukturen 316A_2 sind durch entsprechende Lücken 329A mit einer Höhe S_2 von den AR-Strukturen 316A_1 getrennt. In einigen Ausführungsformen sind die Lücken 328A, 329A und 330A mit derselben Höhe konfiguriert. In einigen Ausführungsformen sind die Lücken 328A, 329A und 330A mit unterschiedlichen Höhen konfiguriert. In einigen Ausführungsformen werden die Abstände S_1, S_2 und S_3 durch Designregeln des entsprechenden Halbleiterprozesstechnologieknotens bestimmt. In einigen Ausführungsformen ist S1 ≤ S2 ≤ S_3.
  • Die obersten und untersten AR-Strukturen 316A_3 sind von entsprechenden Referenzlinien 332AT und 332AB durch einen Abstand von S_1/2 getrennt. In einigen Ausführungsformen sind die AR-Strukturen 316A_2 und 316A_1 innerhalb von n-Wannen-Strukturen 312A konfiguriert, um p-Diffusionsmaterial zu sein und p-Kanal-Metalloxidhalbleiter (PMOSs) zu unterstützen. In einigen Ausführungsformen sind die AR-Strukturen 316A_2, 316A_3 und 316A_1 außerhalb von n-Wannen-Strukturen 312A konfiguriert, um n-Diffusionsmaterial zu sein und n-Kanal-Metalloxidhalbleiter (NMOSs) zu unterstützen.
  • Aufgrund jeder der FC-Strukturen 324A ist jede der AR-Strukturen 316A_1 und 316A_2 in 3C ohne vollständige Projektion. Da keine Instanzen der FC-Struktur 324A mit einer der Instanzen der AR-Struktur 316A_3 assoziiert sind, ist jede Instanz von AR 316A_3 mit vollständiger Projektion, d.h. ist vollständig zwischen der linken Seite 310AL und der rechten Seite 310AR der Zellregion 304A projiziert.
  • 3B ist eine Draufsicht einer Halbleitervorrichtung 302B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 302B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen wird die Halbleitervorrichtung 302B aus einem fotolithografischen Prozess unter Verwendung des Layoutdiagramms 302A hergestellt. In einigen Ausführungsformen ist die Zellregion 304B ein Beispiel für Zellregion 104. Die Zellregionen 304_BA, 304_BB, 304_BC, 304_BD, 304_BE, 304-BF, 304_BG und 304_BH von 3B entsprechen den Zellregionen 304A, 304_AA, 304_AB, 304_AC, 304_AD, 304_AE, 304_AF, 304_AG und 304_AH. In einigen Ausführungsformen sind die ARs 316B_1 HL-Abschnitte, z. B. der HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 316B_2 und 316B_3 LL-Abschnitte, z. B. der LL-Abschnitt 108.
  • Zellregion 304B ist mit Isolations-Dummy-Gates 344B und dazwischenliegenden Regionen 326B konfiguriert. Insbesondere belegen Isolations-Dummy-Gates 344B korrespondierende dazwischenliegende Regionen 326B. In einigen Ausführungsformen werden mindestens ausgewählte Abschnitte von ausgewählten der Gate-Elektroden, die korrespondierend mit den Referenzlinien 318B und 320B ausgerichtet sind, durch korrespondierende Isolations-Dummy-Gates ersetzt, wobei die ausgewählten Abschnitte ansonsten korrespondierende Enden von korrespondierenden ARs überlagern würden. In solchen Ausführungsformen werden im Kontext von Zellregion 304B Isolations-Dummy-Gates 344B als innere Isolations-Dummy-Gates bezeichnet, während die Isolations-Dummy-Gates, die die ausgewählten Abschnitte der ausgewählten Gate-Strukturen ersetzen, als äußere Isolations-Dummy-Gates bezeichnet werden. In einigen Ausführungsformen werden nicht nur ausgewählte Abschnitte, sondern Gesamtheiten der ausgewählten Gate-Elektroden, die korrespondierend mit den Referenzlinien 218B und 220B ausgerichtet sind, durch korrespondierende Isolations-Dummy-Gates ersetzt.
  • Die Halbleitervorrichtung 302B enthält eine Zellregion 304B, die ARs 316B_2, 316B_3 und 316B_1 enthält, die sich parallel zu der X-Achse erstrecken. Relativ zu einer imaginären zentralen Referenzlinie 319B, die sich parallel zu der Y-Achse erstreckt, ist die Zellregion 304B so angeordnet, dass sich die zentrale Referenzlinie 319B zentral innerhalb der Zellregion 304B relativ zu der X-Achse befindet. Relativ zu einer imaginären ersten Referenzlinie 318B, die sich parallel zu der Y-Achse erstreckt, erstrecken sich erste Endteile von zwei oder mehr der ARs 316B_2 und 316B_3 um einen Abstand in der X-Achse von der zentralen Referenzlinie 319B zu der ersten Referenzlinie 318B. Ein erster Rand 310BR der Zellregion 304B ist parallel und proximal zu der ersten Referenzlinie 318B. Relativ zu einer imaginären zweiten Referenzlinie 320B, die sich parallel zu der Y-Achse erstreckt, erstrecken sich zweite Endteile von zwei oder mehr der aktiven Regionen 316B_2 und 316B_3 um einen Abstand von der zentralen Referenzlinie 319B zu der zweiten Referenzlinie 320B. Ein zweiter Rand 310BL der Zellregion 304B ist parallel und proximal zu der zweiten Referenzlinie 320B. Der zweite Rand 310BL ist auf einer gegenüberliegenden Seite der Zellregion 304B relativ zu dem ersten Rand 310BR. Korrespondierende Instanzen von ARs 316B_2, und korrespondierende Instanzen von ARs 316B_1, weisen korrespondierende lange Achsen parallel zu der X-Achse auf, die kollinear sind. Korrespondierende Instanzen von AR 316B_2 und 316B_1 sind durch korrespondierende Instanzen der dazwischenliegenden Region 326B getrennt.
  • In Bezug auf 3B sind in einigen Ausführungsformen in Bezug auf Instanzen von AR 316B_2, die sich rechts von der Referenzlinie 319B erstrecken, am weitesten rechts liegende Enden von weniger als allen, aber nichtsdestotrotz einer ersten Mehrheit derselben mit der Referenzlinie 318B ausgerichtet. In einigen Ausführungsformen sind in Bezug auf Instanzen von AR 316B_2, die sich links von der Referenzlinie 319B erstrecken, am weitesten links liegende Enden von weniger als allen, aber nichtsdestotrotz einer zweiten Mehrheit derselben mit der Referenzlinie 320B ausgerichtet. In einigen Ausführungsformen ist die erste Mehrheit die gleiche wie die zweite Mehrheit.
  • In 3B sind alle Instanzen von AR 316B_2 nicht vollständig projiziert, und alle Instanzen von AR 316B_1 sind nicht vollständig projiziert. In einigen Ausführungsformen sind weniger als alle, aber nichtsdestotrotz eine Mehrheit der Instanzen von AR 316B_2 nicht vollständig projiziert. In einigen Ausführungsformen sind weniger als alle, aber nichtsdestotrotz eine Mehrheit der Instanzen von AR 316B_1 nicht vollständig projiziert. Ebenfalls in 3B sind alle Instanzen von AR 316B_3 vollständig projiziert. Hinsichtlich der dazwischenliegenden Regionen 326B, die in 3B durch korrespondierende Instanzen des Isolations-Dummy-Gates 344B belegt sind, befinden sich einige (aber nicht notwendigerweise alle) so, dass sie mit anderen Instanzen des Isolations-Dummy-Gates 344B relativ zu der X-Achse ausgerichtet sind. In einigen Ausführungsformen wird die Entfernung von Abschnitten der entsprechenden Gate-Strukturen 322B in der Gruppe 322B und entsprechenden Abschnitten der ARs 316B_1 und 316B_2 (um einen weniger als vollständig projizierten AR zu implementieren) auf FinFET, Nanosheet-FET-Technologie, Gate-all-around-Technologie oder dergleichen angewendet. Somit weist die Zellregion 304B keinen vollständig projizierten Satz von ARs auf.
  • Die Halbleitervorrichtung 302B ist mit Isolations-Dummy-Gates 344B konfiguriert, die korrespondierende dazwischenliegende Regionen 326B belegen. Jede Instanz des AR 316B_2 und des AR 316B_3 weist eine Höhe H_1 auf, und jede Instanz des AR 316B_2 weist eine Höhe von H_3 relativ zu der Y-Achse auf. In einigen Ausführungsformen weisen ausgewählte Instanzen des AR 316B_1 korrespondierende lange Achsen auf, die parallel zu der X-Achse sind und die kollinear sind. Ausgewählte Instanzen des AR 316B_2 und entsprechende Instanzen des AR 316B_1 sind durch korrespondierende Instanzen des Isolations-Dummy-Gates 344B getrennt, die korrespondierende Instanzen der dazwischenliegenden Region 326B belegen. Aufgrund jedes der Isolations-Dummy-Gates 344B ist jeder der ARs 316B_1 und 316B_2 in 3B ohne vollständige Projektion. Da keine Instanzen des Isolations-Dummy-Gates 344B mit einer der Instanzen des AR 316B_3 assoziiert sind, ist jede Instanz des AR 316B_3 mit vollständiger Projektion, d.h. ist vollständig zwischen der linken und rechten Seite der Zellregion 304B projiziert.
  • 3C-3D sind entsprechende Querschnittsdiagramme der Halbleitervorrichtung 302B von 3B gemäß einigen Ausführungsformen.
  • Das Querschnittsdiagramm von 3C entspricht der Schnittlinie 3C-3C' in 3B. Das Querschnittsdiagramm von 3C entspricht der Schnittlinie 3C-3C' in 3B. Neben anderen Strukturen zeigt jede der 3C-3D die Substratschicht 390, die das Substrat 314B enthält. Relativ zu der X-Achse hängt eine Breite einer gegebenen Instanz der dazwischenliegenden Region 326B von den Strukturen ab, zwischen denen die gegebene Instanz der dazwischenliegenden Region 326B positioniert ist, d.h. dazwischenliegt.
  • In 3C gibt es zwei Instanzen der dazwischenliegenden Region 326B zwischen korrespondierenden Instanzen des AR 316B_2. Dementsprechend ist jede der zwei Instanzen der dazwischenliegenden Region 326B in 3C breiter als eine einzelne Instanz des Isolations-Dummy-Gate 344B. Insbesondere ist jede der zwei Instanzen der dazwischenliegenden Region 326B in 3C breiter als zwei Instanzen des Isolations-Dummy-Gate 344B. Jede der zwei Instanzen der dazwischenliegenden Region 326B in 3C ist teilweise durch die zwei korrespondierenden Instanzen des Isolations-Dummy-Gate 344B belegt. Noch genauer weist jede der zwei Instanzen der dazwischenliegenden Region 326B in 3C eine Breite gleich oder größer als etwa 3δ auf.
  • In 3D gibt es zwei Instanzen der dazwischenliegenden Region 326B zwischen korrespondierenden Paaren einer Instanz des AR 316B_2 und einer Instanz des AR 316B_1. Dementsprechend ist jede der zwei Instanzen der dazwischenliegenden Region 326B in 3D im Wesentlichen die gleiche Breite wie eine einzelne Instanz des Isolations-Dummy-Gate 344B. Jede der zwei Instanzen der dazwischenliegenden Region 326B in 3D ist im Wesentlichen vollständig durch die korrespondierende einzelne Instanz des Isolations-Dummy-Gate 344B belegt.
  • 4A ist eine Draufsicht einer Halbleitervorrichtung 402B gemäß einigen Ausführungsformen.
  • Halbleitervorrichtung 402B ist ein Beispiel der Halbleitervorrichtung 102. In einigen Ausführungsformen ist die Halbleitervorrichtung 402B so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. Halbleitervorrichtung 402B ist eine Variation der Halbleitervorrichtung 302B von 3B. In einigen Ausführungsformen ist Zellregion 404B ein Beispiel für Zellregion 104. In einigen Ausführungsformen ist AR 416B_1 in einem HL-Abschnitt, z. B. dem HL-Abschnitt 106, enthalten. In einigen Ausführungsformen sind die ARs 416B_2 und 416B_3 in entsprechenden LL-Abschnitten, z. B. LL-Abschnitt 108, enthalten. Zum Zweck der Klarheit und Kürze wird die Bezugnahme auf n-Wannen innerhalb der Zellregionen weggelassen. Es versteht sich jedoch, dass die Zellregionen in einigen Ausführungsformen n-Wannen-Strukturen enthalten.
  • In 4A enthält Zellregion 404B die Zellregionen 404_BA und 404_BB. Zellregion 404B enthält die ARs 416B_2 und 416B_3 und einen Teil von AR 416B_1. Korrespondierende Paare einer Instanz des AR 416B_2 und eines korrespondierenden Teils von AR 416B_1 sind durch korrespondierende Teile der korrespondierenden Isolations-Dummy-Gates 444B getrennt. Mindestens einige der dazwischenliegenden Regionen 426B sind durch korrespondierende Teile der Isolations-Dummy-Gates 444B belegt. Zellregion 404B enthält obere und untere ARs 416B_3, die sich korrespondierend von der ersten imaginären Referenzlinie 418B zu der zweiten imaginären Linie 420B erstrecken. In einigen Ausführungsformen haben die oberen und unteren ARs 416B_3 unterschiedliche Höhen (siehe 13). Jeder der ARs 416B_2 und 416B_3 weist die Höhe H_1 auf, während AR 416B_1 die Höhe H_3 aufweist, und wobei H_1 < H_3.
  • AR 416B_1 und Instanzen von AR 416B_2 sind in einen Satz 446 von ARs gruppiert. In einigen Ausführungsformen befindet sich der Satz 446 von ARs in einer I-Form oder einem I-Rahmen mit zwei oder mehr oberen ARs 416B_2, die den oberen horizontalen Abschnitt des I-Rahmens bilden, zentral angeordnetem AR 416B_1, der den vertikalen Abschnitt des I-Rahmens bildet, und zwei oder mehr unteren ARs 416B_2, die den unteren horizontalen Abschnitt des I-Rahmens bilden. In einigen Ausführungsformen ist diese I-Rahmen-Struktur den AR-Sätzen gemeinsam, wie AR-Satz 446.
  • Relativ zur Y-Achse erstreckt sich der obere Abschnitt 450 von AR 416B_1 nach oben und ist mit einem mittleren Abschnitt der oberen ARs 416B_2 ausgerichtet, aber innerhalb eines Bereichs R_1 eines obersten Rands 451 der oberen ARs 416B_2. Zum Zweck der Erörterung der vorliegenden Offenbarung werden Bereiche in der Form R_X referenziert, wobei X eine nicht negative ganze Zahl ist. Der obere Abschnitt 450 erstreckt sich über einem unteren Rand von AR 416B_2, erstreckt sich aber nicht über einem oberen Rand 451 von AR 416B_2.
  • In Bezug auf 4A, wenn ein Betrachter parallel zu der X-Achse von links nach rechts in 4A scannt, bilden der obere Rand 451 der oberen ARs 416B_2 und der obere Rand 450 von AR 416B-1 ein erstes Profil. Das erste Profil variiert in der Position relativ zur Y-Achse, wenn der Fokuspunkt des Betrachters von links nach rechts parallel zur X-Achse bewegt wird. Relativ zur Y-Achse und von einer Perspektive einer zentralen Region von AR 416B_1 aus gesehen, wird das erste Profil als konkav in Richtung einer zentralen Stelle in der Zellregion 402B beschrieben, wobei der obere Rand 450 von AR 416B-1 unter dem oberen Rand 451 der oberen ARs 416B_2 vertieft ist. Dementsprechend wird der AR-Satz 446 als eine nicht vollständig projizierte, konkave Anordnung von ARs beschrieben.
  • Ebenfalls in Bezug auf 4B, wenn der Betrachter parallel zur X-Achse von links nach rechts in 4A scannt, bilden der untere Rand 451A der unteren ARs 416B_2 und der untere Rand 450A von AR 416B-1 ein zweites Profil. Das zweite Profil variiert in der Position relativ zur Y-Achse, wenn der Fokuspunkt des Betrachters von links nach rechts parallel zu der X-Achse bewegt wird. Relativ zur Y-Achse und von der Perspektive der zentralen Region von AR 416B_1 aus gesehen, wird das zweite Profil als konkav in Richtung der zentralen Stelle in der Zellregion 402B beschrieben, wobei der untere Rand 450A von AR 416B-1 über dem unteren Rand 451A der oberen ARs 416B_2 vertieft ist. Dementsprechend wird der AR-Satz 446 als eine nicht vollständig projizierte, konkave Anordnung von ARs beschrieben.
  • In 4A ist ein erster Abstand zwischen dem oberen Rand 450 von AR 416B_1 und dem oberen Rand 451 der oberen ARs 416B_2 des Satzes 446 im Wesentlichen der gleiche wie ein zweiter Abstand zwischen dem unteren Rand 450A von AR 416B-1 und dem unteren Rand 451A der unteren ARs 416B_2 des Satzes 446. In einigen Ausführungsformen ist der erste Abstand zwischen dem oberen Rand 450 von AR 416B_1 und dem oberen Rand 451 der oberen ARs 416B_2 des Satzes 446 anders als der zweite Abstand zwischen dem unteren Rand 450A von AR 416B_1 und dem unteren Rand 451A der unteren ARs 416B_2 des Satzes 446.
  • Die Zellregion 404B ist gemäß den Design-Regeln (3) und (4) konfiguriert, um DRC zu erfüllen. S _ 1 H _ 3 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0003
    S _ 1 S _ 2 S _ 1 + H _ 1
    Figure DE102022101653A1_0004
  • In 4A ist S_1 der Abstand zwischen zwei Instanzen des AR 416B_2 und der Abstand zwischen einer Instanz des AR_416B und einer korrespondierenden Instanz des AR 416B_2. In 4A ist S_1 kleiner als oder gleich der Höhe H_3 des AR 416B_1. Die Höhe H_3 ist kleiner als oder gleich zweimal die Höhe H_1 plus dem Abstand S_1. In 4A sind relativ zu der X-Achse die Breiten der ARs 416B_2 gleich oder größer als etwa 3δ und die Breite des AR 416B_1 ist gleich oder größer als etwa 3δ In einigen Ausführungsformen liegen andere geeignete Höhen und Breiten der ARs 416B_2, 416B_3 und 416B_1 innerhalb des vorgesehenen Umfangs der Offenbarung.
  • 4B-4E sind Draufsichten einer Halbleitervorrichtung 402BA, 402BB, 402BC und 402BD gemäß einigen Ausführungsformen.
  • Halbleitervorrichtungen 402BA, 402BB, 402BC und 402BD der korrespondierenden 4B-4E sind Variationen der Halbleitervorrichtung 402B von 4A. Die Erörterung der 4B-4E ist auf die Unterschiede zwischen der Halbleitervorrichtung 402B und den Halbleitervorrichtungen 402BA, 402BB, 402BC und 402BD aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholungen beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • In 4B enthält die Zellregion 404BA zwei Sätze 446 von ARs. Zellregion 404BA enthält die Zellregionen 404_BA, 404_BB und 404_BC. Jede der Zellregionen 404_BA und 404_BC enthält die ARs 416B_2 und 416B_3 und Teile von AR 416B_1. Zellregion 404_BB enthält die ARs 416B_2 und Teile der ARs 416B_1. Eine Instanz von AR 416B_1 erstreckt sich von Zellregion 404_BB in Zellregion 404_BA. Eine andere Instanz von AR 416B_1 erstreckt sich von Zellregion 404_BB in Zellregion 404_BC.
  • Zellregion 404BA ist gemäß den Design-Regeln (3) (4) konfiguriert, die oben erwähnt sind, und (5), um DRC zu erfüllen. S _ 1 S _ 3 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0005
  • In einigen Ausführungsformen ist eine Höhe jeder der Zellregionen 404_BA, 404_BB und 404_BC durch die Design-Regel (6) oder (7) oder (8) definiert. 2 S 1 + 2 H _ 1
    Figure DE102022101653A1_0006
    1 2 S _ 1 + S _ 2 + H 1 + 1 2 H _ 3
    Figure DE102022101653A1_0007
    S _ 3 + H _ 3
    Figure DE102022101653A1_0008
  • In 4C enthält Zellregion 404_BB N Sätze 446 von ARs (wobei N eine nicht negative ganze Zahl ist). In 4C enthält jeder Satz 446 von ARs eine Instanz von AR 416B_1 und vier korrespondierende Instanzen von AR 416B_2. In 4C ist N ≥ 3.
  • 4D ist ähnlich zu 4B. In 4D enthält Zellregion 404BC zwei Sätze von ARs 446, wo Instanzen der ARs 416B_1 mit unterschiedlichen Breiten konfiguriert sind (z. B. ist der untere AR 416B_1 mit einer Breite von etwa 4δ konfiguriert und der obere AR 416B_1 ist mit einer Breite von etwa 3δ konfiguriert). In einigen Ausführungsformen liegen andere geeignete Positionen der verschiedenen Instanzen von AR 416B_1 innerhalb des vorgesehenen Umfangs der Offenbarung. Relativ zu der X-Achse überlappen die ARs 416B_1 um eine Distanz Δ1 relativ zu der X-Achse. In einigen Ausführungsformen ist Δ1 eine Distanz größer als Null und bis zu und einschließlich der kleinsten Breite jeder Instanz von AR 416B_1.
  • 4E ist ähnlich zu 4B. In 4E sind relativ zu der X-Achse die ARs 416B_1 um eine Distanz Δ2 voneinander versetzt und überlappen so einander relativ zu der X-Achse nicht. In einigen Ausführungsformen ist Δ2 größer als Null und kleiner als die Breite von AR 416B_3 minus der Summe der Breiten beider ARs 416B_1 (z.B. > 7δ).
  • 5A ist eine Draufsicht einer Halbleitervorrichtung 502B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 502B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 504B ein Beispiel für Zellregion 104. In einigen Ausführungsformen ist AR 516B_1 ein HL-Abschnitt, z. B. der HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 und 416B_3 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • Halbleitervorrichtungen 502B, 502BA, 502BB, 502BC und 502BD sind Variationen der Halbleitervorrichtung 402B von 4A. Die Erörterung der 5A-5E ist auf die Unterschiede zwischen der Halbleitervorrichtung 402B und den Halbleitervorrichtungen 502B, 502BA, 502BB, 502BC und 502BD der 5A-5E aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholungen beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • In 5A enthält die Zellregion 504B die Zellregionen 504_BA und 504_BB. Jede der Zellregionen 504BB und 504BB enthält die ARs 416B_2 und 416B_3 und einen Teil von AR 516B_1. Zellregion 504B enthält obere und untere ARs 416B_3, von denen sich jeder von der ersten imaginären Referenzlinie 418A zu der zweiten imaginären Linie 420A erstreckt. Jeder der ARs 416B_2 und 416B_3 weist die Höhe von H_1 auf, AR 516B_1 weist eine Höhe H_4 auf und wobei H_1 < H_4. In einigen Ausführungsformen ist H_3 (4A-4E) kleiner als H_4, d.h. H_3 < H_4.
  • In 5A sind die ARs 416B_2 und AR 516B_1 in einen Satz 546 von ARs gruppiert. In einigen Ausführungsformen bildet der Satz 546 von ARs eine I-Form oder einen I-Rahmen mit zwei oder mehr oberen ARs 416B_2, die den oberen horizontalen Abschnitt des I-Rahmens bilden, einem AR 516B_1, der den vertikalen Abschnitt des I-Rahmens bildet, und zwei oder mehr unteren ARs 416B_2, die den unteren horizontalen Abschnitt des I-Rahmens bilden.
  • In 5A erstreckt sich relativ zu der Y-Achse der obere Rand 550 von AR 516B_1 nach oben, um mit einem mittleren Abschnitt der oberen ARs 416B_2 ausgerichtet zu sein, und liegt innerhalb eines Bereichs R_2 eines oberen Rands 551 der oberen ARs 416B_2. Relativ zu der Y-Achse erstreckt sich der untere Rand 550A von AR 516B_1 nach unten, um mit einem mittleren Abschnitt der unteren ARs 416B_2 ausgerichtet zu sein, und liegt innerhalb eines Bereichs R_2 eines unteren Rands 551A der unteren ARs 416B_2. In 5A ist der AR-Satz 546 eine konkave Anordnung von ARs entlang des oberen Rands 550 und des oberen Rands 551 und ist eine konkave Anordnung von ARs entlang des unteren Rands 550A und des unteren Rands 551A. In einigen Ausführungsformen ist dieser konkave AR-Satz 546 eine Anordnung von ARs, die ohne vollständige Projektion aller ARs ist. Die Zellregion 504B ist ohne vollständige Projektion aller ARs. In 5A, relativ zu der Y-Achse, ist AR 516B_1 symmetrisch in Bezug auf die ARs 416B_2 angeordnet. In einigen Ausführungsformen, relativ zu der Y-Achse, ist AR 516B_1 asymmetrisch in Bezug auf die ARs 416B_2 angeordnet (z. B. 7A-7B, 8A-8B, 9A-9B, 10A-10B, 11A-11B, 12A-12B, 13A-13B, 14 oder dergleichen).
  • Zellregion 504B ist gemäß den Design-Regeln (9) und (10) konfiguriert, um DRC zu erfüllen. 2 S _ 1 + H _ 1 H _ 4 2 S _ 1 + 3 H _ 1
    Figure DE102022101653A1_0009
    S _ 1 S _ 2 S _ 1 + H _ 1
    Figure DE102022101653A1_0010
  • Zweimal der Abstand S_1 zwischen den ARs 416B_2 kombiniert mit der Höhe H_1 des AR 416B_2 ist kleiner als oder gleich der Höhe H_4 des AR 516B_1, die kleiner als oder gleich dreimal die Höhe H_1 des AR 416B_2 kombiniert mit zweimal dem Abstand S_1 zwischen den ARs 416B_2 ist. In einigen Ausführungsformen entspricht die zweieinhalbfache Höhe H_1 kombiniert mit dem zweieinhalbfachen Abstand S_1 einer Zellregionshöhe, wie Zellregion 504-BA. In einigen Ausführungsformen ist eine Zellregionshöhe größer als oder gleich der größten AR in der Zelle (z.B. AR 516B_1), die größer als oder gleich dem Abstand zwischen den kleinsten ARs (z.B. ARs 416B_2 und 416B_3) in Zellregion 504B oder dem kleinsten Abstand in Zellregion 504B (d.h. S_1) ist. In einigen Ausführungsformen ist S_1 der minimale Abstand zwischen den ARs 416B_2 und 416B_3 in Zellregion 504B. In 5A sind relativ zu der X-Achse die ARs 416B_2 in der Breite 4δ und AR 516B_1 ist in der Breite 2δ 3δ In einigen Ausführungsformen ist AR 516B_1 größer als 3δ. In einigen Ausführungsformen liegen andere geeignete Höhen und Breiten der ARs 416B_2, 416B_3 und 516B_1 innerhalb des vorgesehenen Umfangs der Offenbarung
  • 5B-5E sind Draufsichten einer Halbleitervorrichtung 502BA, 502BB, 502BC und 502BD gemäß einigen Ausführungsformen.
  • Halbleitervorrichtungen 502BA, 502BB, 502BC und 502BD der korrespondierenden 5B-5E sind Variationen der Halbleitervorrichtung 502B von 5A. Die Erörterung der 5B-5E ist auf die Unterschiede zwischen der Halbleitervorrichtung 502B und den Halbleitervorrichtungen 502BA, 502BB, 502BC und 502BD aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholungen beschränkt. Gleiche Elemente behalten ihre Bezugsnummern, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgegeben werden.
  • In 5B enthält Zellregion 504BA zwei Sätze von ARs 546. Zellregion 504BA enthält die Zellregionen 504_BA, 504_BB und 504_BC. Jede der Zellregionen 504_BA und 504_BC enthält zwei ganze AR 416, zwei halbe AR 416B_2, eine AR 416B_3 und eine Hälfte von AR 516B_1. Zellregion 504_BB enthält vier ganze ARs 416B_2, vier halbe ARs 516B_1 und zwei halbe ARs 516B_1. Die obere Instanz von AR 516B_1 erstreckt sich von Zellregion 504_BB in Zellregion 504-BA. Die untere Instanz von AR 516B-1 erstreckt sich von Zellregion 504_BB in Zellregion 504_BC.
  • Zellregion 504BA ist gemäß den Design-Regeln (9), (10) und (11) konfiguriert, um DRC zu erfüllen. S _ 1 S _ 3 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0011
  • In einigen Ausführungsformen ist eine Höhe jeder der Zellregionen 504_BA, 504_BB und 504_BC durch die Design-Regeln (12) oder (13) oder (14) definiert. 2 1 2 S 1 + 2 1 2 H _ 1
    Figure DE102022101653A1_0012
    1 2 S _ 1 + S _ 2 + H 1 + 1 2 H _ 4
    Figure DE102022101653A1_0013
    S _ 3 + H _ 3
    Figure DE102022101653A1_0014
  • In 5C enthält Zellregion 504BB N Sätze von ARs 546 (wobei N eine nicht negative ganze Zahl ist). In 5C enthält jeder Satz 546 von ARs eine Instanz von AR 516B_1 und sechs korrespondierende Instanzen von AR 416B_2. In 5C ist N ≥ 3.
  • In 5D enthält Zellregion 504BC zwei Sätze von ARs 546, wo Instanzen der ARs 516B_1 mit unterschiedlichen Breiten konfiguriert sind (z. B. ist der untere AR 516B_1 mit einer Breite von etwa 4δ konfiguriert und der obere AR 516B_1 ist mit einer Breite von etwa 3δ konfiguriert). In einigen Ausführungsformen liegen andere geeignete Positionen der verschiedenen Instanzen von AR 516B_1 innerhalb des vorgesehenen Umfangs der Offenbarung. Die ARs 516B_1 überlappen um eine Distanz Δ3 relativ zu der X-Achse. In einigen Ausführungsformen ist Δ3 eine Distanz größer als Null und bis zu und einschließlich der kleinsten Breite jeder Instanz von AR 516B_1.
  • 5E ist ähnlich zu 5D. In 5E sind die ARs 516B_1 um eine Distanz Δ4 voneinander versetzt. In einigen Ausführungsformen ist Δ4 größer als Null und kleiner als die Breite von AR 416B_3 minus der Summe der Breiten beider ARs 516B_1 (z.B. > 7δ).
  • 6A ist eine Draufsicht einer Halbleitervorrichtung 602B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 602B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 604B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 416B_2 und 416B_3 LL-Abschnitte, z. B. LL-Abschnitt 108. In einigen Ausführungsformen ist AR 616B_1 ein HL-Abschnitt, z. B. HL-Abschnitt 106.
  • Halbleitervorrichtungen 602B, 602BA und 602BB der korrespondierenden 6A-6C sind Variationen der Halbleitervorrichtung 402B von 4A. Die Erörterung der 6A-6C ist auf die Unterschiede zwischen der Halbleitervorrichtung 402B und den Halbleitervorrichtungen 602B, 602BA und 602BB der 6A-6C aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholungen beschränkt. Ferner wird aus Gründen der Kürze und Prägnanz und zum Reduzieren der Wiederholungen die Erwähnung von mittelgroßen Zellregionen innerhalb einer größeren Zellregion, wie Zellregion 604B, weggelassen. Jedoch sind mehrere mittelgroße Zellregionen innerhalb einer größeren Zellregion durch Ausführungsformen der vorliegenden Offenbarung vorgesehen. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgegeben werden.
  • Zellregion 604B enthält ARs 416B_2, 416B_3 und AR 616B_1. Zellregion 604B enthält obere und untere ARs 416B_3, von denen sich jeder von der ersten imaginären Referenzlinie 418B zu der zweiten imaginären Linie 420B erstrecken, wobei die imaginären Linien 418B und 420B parallel zu der Y-Achse sind. Jeder der ARs 416B_2 und 416B_3 weist eine Höhe H_1 auf. AR 616B_1 weist eine Höhe H_5 auf, und wobei H_1 < H_5. In einigen Ausführungsformen ist H_4 (5A-5E) kleiner als H_5, d.h. H_4 < H_5. ARs 416B_2 616B_1 sind in einem Satz 646 von ARs gruppiert. In einigen Ausführungsformen bildet der Satz 646 von ARs eine I-Form oder einen I-Rahmen mit zwei oder mehr oberen ARs 416B_2, die den oberen horizontalen Abschnitt des I-Rahmens bilden, AR 616B_1, der einen mittleren vertikalen Abschnitt des I-Rahmens bildet, und zwei oder mehr unteren ARs 416B_2, die den unteren horizontalen Abschnitt des I-Rahmens bilden.
  • In 6A erstreckt sich relativ zu der Y-Achse der obere Rand 650 von AR 616B_1 nach oben, um mit einem unteren Rand 653 der obersten ARs 616B_2 ausgerichtet zu sein, und liegt innerhalb eines Bereichs R_3 des unteren Rands 653 von AR 416B_2. Das heißt, der obere Rand 650 erstreckt sich nicht über dem unteren Rand 653 der obersten ARs 416B_2, sondern ist eben mit dem unteren Rand 653 von AR 416B_2. In einigen Ausführungsformen liegt der obere Rand 650 von AR 616B_1 unter dem unteren Rand 650 der obersten ARs 1416B_2. Relativ zu der Y-Achse erstreckt sich ein unterer Rand 650A von AR 606_B nach unten, um mit einem oberen Rand 653A der untersten ARs 416B_2 ausgerichtet zu sein. In einigen Ausführungsformen liegt der untere Rand 650A von AR 616B_1 über dem oberen Rand 650A der untersten ARs 1416B_2. In einigen Ausführungsformen ist R_3 gleich H_1. In einigen Ausführungsformen ist R_3 größer oder kleiner als H_1.
  • Ein höherer AR, wie etwa AR 616B_1, weist eine Höhe H_5 relativ zu der Y-Achse auf. Zellregion 604B ist gemäß den Design-Regeln (15) und (16) konfiguriert, um DRC zu erfüllen. Insbesondere konfiguriert Design-Regel 15 Höhe H_5 in Bezug auf eine positive ganze Zahl N, wobei N die Anzahl von Instanzen von AR416B_2 darstellt, die im Wesentlichen vollständig von AR 616B_1 relativ zu der Y-Achse überlappt werden. N S _ 1 + ( N _ 1 ) H _ 1 H _ 5 N S _ 1 + ( N + 1 ) H _ 1
    Figure DE102022101653A1_0015
    S _ 1 S _ 2 S _ 1 + H _ 1
    Figure DE102022101653A1_0016
  • 6B ist eine Draufsicht einer Halbleitervorrichtung 602BA gemäß einigen Ausführungsformen.
  • Halbleitervorrichtung 602BA von 6B ist eine Variation des Halbleiters 602A von 6A, und eine Erörterung der Unterschiede zwischen dem Halbleiter 602BA und dem Halbleiter 602A ist enthalten. Ähnlichkeiten zwischen den zwei Halbleitervorrichtungen werden weggelassen, um Wiederholungen zu eliminieren und Klarheit zu schaffen.
  • Der untere Rand 650A von AR 616B_1 ist mit dem unteren Rand 651A der untersten ARs 416B_2 ausgerichtet. Der obere Rand 650 von AR 616B_1 ist mit dem oberen Rand 651 der obersten ARs 416B_2 ausgerichtet. Ferner ist AR 616B_1 von entsprechenden ARs 416B_3 durch den Abstand S_1 im Gegensatz zur Halbleitervorrichtung 602B getrennt, wobei AR 616B_1 von entsprechenden ARs 416B_3 durch den Abstand S_2 getrennt ist.
  • 6C ist eine Draufsicht einer Halbleitervorrichtung 602BB gemäß einigen Ausführungsformen.
  • Halbleitervorrichtung 602BB von 6C ist eine Variation des Halbleiters 602B von 6A, und eine Erörterung der Unterschiede zwischen dem Halbleiter 602BB und dem Halbleiter 602A ist enthalten. Ähnlichkeiten zwischen den zwei Halbleitervorrichtungen werden weggelassen, um Wiederholungen zu eliminieren und Klarheit zu schaffen.
  • Zellregion 604BB von 6C enthält zwei Instanzen des AR 606B_1 und zwei Sätze 646 von ARs. Zellregion 604BB ist gemäß den Design-Regeln (15), (16) und (17) konfiguriert, um DRC zu erfüllen. S _ 1 S _ 3 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0017
  • 7A ist eine Draufsicht einer Halbleitervorrichtung 702B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 702B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 704B ein Beispiel für Zellregion 104. In einigen Ausführungsformen ist AR 716B_1 ein HL-Abschnitt, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 und 416B_3 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • Halbleitervorrichtungen 702B und 702BA der korrespondierenden 7A-7B sind Variationen der Halbleitervorrichtung 402B von 4A. Die Erörterung der 7A-7B ist auf die Unterschiede zwischen der Halbleitervorrichtung 402B und den Halbleitervorrichtungen 702B und 702BA der 7A-7B aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholungen beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • In 7A sind die ARs 416B_2 und 716B_1 in einen Satz von ARs 746 gruppiert. 7A ist ähnlich zu 4A, z. B. enthält jeder eine Instanz eines I-Rahmens. In 7A, wenn ein Betrachter parallel zu der X-Achse von links nach rechts scannt, gibt es zwei Profile, nämlich ein erstes Profil und ein zweites Profil. Relativ zu der Y-Achse befindet sich das erste Profil an der Oberseite des I-Rahmens und das zweite Profil befindet sich an der Unterseite des I-Rahmens. Das erste Profil ist durch den oberen Rand 751 der oberen ARs 416B_2 und den oberen Rand 750 des AR 76B_1 gebildet und ist dasselbe wie das konkave erste Profil in 4A. Das zweite Profil von 7A ist unterschiedlich zu dem zweiten Profil von 4A. Wenn der Betrachter parallel zu der X-Achse von links nach rechts in 7A scannt, ist das zweite Profil durch den unteren Rand 751A der unteren ARs 416B_2 und den unteren Rand 750A des AR 716B_1 gebildet. In 7A sind der untere Rand 751A der unteren ARs 416B_2 und der untere Rand 750A des AR 716B_1 im Wesentlichen kollinear, so dass das zweite Profil linear ist, wohingegen das zweite Profil in 4A insofern konkav ist, als der untere Rand 450A des AR 716B_1 über dem unteren Rand 451A der oberen ARs 416B_2 vertieft ist. In 7A, relativ zu der Y-Achse, ist AR 716B_1 asymmetrisch in Bezug auf die ARs 416B_2 angeordnet. Der obere Abschnitt 750 von AR 716B_1 erstreckt sich nach oben und liegt innerhalb eines Bereichs R_4 eines obersten Rands 751 der oberen ARs 416B_2. Die Zellregion 704B ist ohne vollständige Projektion. Insbesondere ist jedes des konkaven ersten Profils und des linearen zweiten Profils ein Ergebnis dessen, dass die entsprechenden ARs ohne vollständige Projektion sind. Das erste Profil weist eine konkave Tiefe oder einen Versatz von R_4 auf.
  • Relativ zu der Y-Achse: AR 716B_1 ist von jedem des oberen AR 416B_3 und des unteren AR 416_B3 durch einen Abstand S_4 getrennt; die ARs 416B_2 sind durch den Abstand S_1 voneinander getrennt; die ARs 416B_2 sind durch den Abstand S_1 von entsprechenden ARs 416B_3 getrennt; die ARs 416B_2 und 416B_3 haben die Höhe H_1; und AR 716B_1 hat eine Höhe von H_6. Zellregion 704B ist gemäß den Design-Regeln (18), (19) und (20) konfiguriert, um DRC zu erfüllen. 0 R _ 4 S _ 1 + H _ 1
    Figure DE102022101653A1_0018
    H _ 1 H _ 6 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0019
    S _ 4 = S _ 1 + R _ 4
    Figure DE102022101653A1_0020
  • Relativ zu der Y-Achse ist der Abstand R_4 zwischen dem oberen Rand 750 und 751 größer als oder gleich Null und kleiner als oder gleich der Höhe H_1 plus dem Abstand S_1. Die Höhe H_1 der ARs 416B_2 ist kleiner als oder gleich der Höhe H_6 des AR 716B_1, und die Höhe H_6 ist kleiner als oder gleich dem Abstand S_1 plus zweimal die Höhe H_1. In einigen Ausführungsformen sind relativ zu der X-Achse die ARs 416B_2 und 416B_3 in der Breite mindestens 3δ In einigen Ausführungsformen ist AR 716B_1 in der Breite kleiner als 3δ In einigen Ausführungsformen liegen andere geeignete Höhen und Breiten der ARs 416B_2, 416B_3 und 716B_1 innerhalb des vorgesehenen Umfangs der Offenbarung.
  • 7B ist eine Draufsicht einer Halbleitervorrichtung 702BA gemäß einigen Ausführungsformen.
  • Halbleitervorrichtung 702BA von 7B ist eine Variation der Halbleitervorrichtung 702B von 7A. Die Erörterung von 7B ist auf die Unterschiede zwischen der Halbleitervorrichtung 702B und der Halbleitervorrichtung 702BA aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholungen beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • 7B enthält zwei Sätze 746 von ARs. 7B ist ähnlich zu 4B, z. B. enthält jeder einen oberen I-Rahmen und einen unteren I-Rahmen. Der obere I-Rahmen in 7B ist ähnlich zu dem I-Rahmen in 7A. Relativ zu einer Symmetrieachse zwischen dem oberen I-Rahmen und dem unteren I-Rahmen von 7B ist der untere I-Rahmen spiegelsymmetrisch in Bezug auf den oberen I-Rahmen.
  • In 7B befindet sich relativ zu der Y-Achse das erste Profil des unteren I-Rahmens über dem zweiten Profil des unteren I-Rahmens. Insbesondere entspricht das erste/obere Profil des unteren I-Rahmens dem zweiten/unteren Profil des oberen I-Rahmens, und das zweite/untere Profil des unteren I-Rahmens entspricht dem ersten/oberen Profil des oberen I-Rahmens. Jedes des ersten/oberen Profils des oberen I-Rahmens und des zweiten/unteren Profils des unteren I-Rahmens ist konkav. Jedes des zweiten/unteren Profils des oberen I-Rahmens und des ersten/oberen Profils des unteren I-Rahmens ist linear.
  • 8A ist eine Draufsicht einer Halbleitervorrichtung 802B gemäß einigen Ausführungsformen.
  • 8A ist ähnlich zu 7A, z. B. enthält jeder eine Instanz eines I-Rahmens. In 8A, wenn ein Betrachter parallel zu der X-Achse von links nach rechts scannt, gibt es zwei Profile, nämlich ein erstes Profil und ein zweites Profil. Relativ zu der Y-Achse befindet sich das erste Profil an der Oberseite des I-Rahmens und das zweite Profil befindet sich an der Unterseite des I-Rahmens. Das erste Profil ist durch den oberen Rand 851 der oberen ARs 416B_2 und den oberen Rand 850 des AR 86B_1 gebildet und ist dasselbe wie das konkave erste Profil in 7A. Das zweite Profil von 8A ist unterschiedlich zu dem zweiten Profil von 7A. Wenn der Betrachter parallel zu der X-Achse von links nach rechts in 8A scannt, ist das zweite Profil durch den unteren Rand 851A der unteren ARs 416B_2 und den unteren Rand 850A des AR 816B_1 gebildet. In 8A erstreckt sich relativ zu der Y-Achse der untere Rand 850A des AR 816B_1 unter den unteren Rand 851A der unteren ARs 416B_2, so dass das zweite Profil insofern konvex ist, als der untere Rand 850A des AR 816B_1 unter den unteren Rand 851A der unteren ARs 416B_2 vorsteht, wohingegen das zweite Profil in 7A linear ist, und wohingegen das zweite Profil in 4A konkav ist. In 8A, relativ zu der Y-Achse, ist AR 816B_1 asymmetrisch in Bezug auf die ARs 416B_2 angeordnet. Der obere Abschnitt 850 von AR 816B_1 erstreckt sich nach oben und liegt innerhalb eines Bereichs R_4 eines obersten Rands 851 der oberen ARs 416B_2. Die Zellregion 804B ist ohne vollständige Projektion.
  • Die Halbleitervorrichtung 802B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 804B ein Beispiel für Zellregion 104. In einigen Ausführungsformen ist AR 816B_1 ein HL-Abschnitt, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 und 416B_3 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • Halbleitervorrichtungen 802B und 802BA der korrespondierenden 8A-8B sind Variationen der Halbleitervorrichtung 402B von 4A. Die Erörterung der 8A-8B ist auf die Unterschiede zwischen der Halbleitervorrichtung 402B und den Halbleitervorrichtungen 802B und 802BA der 8A-8B aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Die ARs 416B_2 und 816B_1 sind in einen Satz von ARs 846 gruppiert. Relativ zur Y-Achse erstreckt sich der obere Rand 850 von AR 816B_1 nach oben und liegt innerhalb eines Bereichs R_5 eines oberen Rands 851 der oberen ARs 416B_2. Der untere Rand 850A von AR 816B_1 erstreckt sich unter dem unteren Rand 851A der unteren ARs 416B_2 um eine Distanz R_6. Ferner, relativ zu der Y-Achse, befindet sich AR 816B_1 asymmetrisch zwischen den oberen ARs 416B_2 und den unteren ARs 416B_2. Die Zellregion 804B in ohne vollständige Projektion. Insbesondere ist jedes des konkaven ersten Profils und des konvexen zweiten Profils ein Ergebnis dessen, dass die entsprechenden ARs ohne vollständige Projektion sind. Die ersten und zweiten Profile weisen entsprechende Tiefen oder Versätze von R_5 und Versatz R_6 auf.
  • Relativ zu der Y-Achse: AR 816B_1 ist von oberen AR 416B_3 durch den Abstand S_4 getrennt; AR 816B_1 ist von unteren AR 416B_3 durch einen Abstand S_5 getrennt; die ARs 416B_2 und die ARs 416B_3 haben die Höhe H_1; und AR 816B_1 hat eine Höhe H_7. Zellregion 804B ist gemäß den Design-Regeln (21), (22), (23), (24) und (25) konfiguriert, um DRC zu erfüllen. 0 R _ 5 S _ 1 H _ 1
    Figure DE102022101653A1_0021
    0 R _ 6 S _ 1
    Figure DE102022101653A1_0022
    H _ 1 H _ 7 S 1 + 2 H _ 1 + R _ 6
    Figure DE102022101653A1_0023
    S _ 4 = S _ 1 + R _ 5
    Figure DE102022101653A1_0024
    S _ 5 = S _ 1 R _ 6
    Figure DE102022101653A1_0025
  • Der Abstand R_5 zwischen den oberen Rändern 850 und 851 ist größer als oder gleich Null und kleiner als oder gleich H_1 plus S_1. Der Abstand R_6 zwischen den unteren Rändern 850A und 851A ist größer als oder gleich Null und kleiner als oder gleich S_1. Die Höhe H_1 ist kleiner als oder gleich der Höhe H_7, und H_& ist kleiner als oder gleich S_1 plus zweimal H_1 plus R_6. In einigen Ausführungsformen sind relativ zu der X-Achse die ARs 416B_2 und 416B_3 in der Breite mindestens 3δ In einigen Ausführungsformen ist AR 816B_1 kleiner als 3δ. In einigen Ausführungsformen liegen andere geeignete Höhen und Breiten der ARs 416B_2, 416B_3 und 816B_1 innerhalb des vorgesehenen Umfangs der Offenbarung. In einigen Ausführungsformen ist der Abstand zwischen dem unteren AR 416B_3 und AR 816B_1 S_5 was einen minimalen Abstand darstellt, der für DRC erlaubt ist.
  • 8B ist eine Draufsicht einer Halbleitervorrichtung 802BA gemäß einigen Ausführungsformen.
  • Halbleitervorrichtung 802BA von 8B ist eine Variation der Halbleitervorrichtung 802B von 8A. Die Erörterung von 8B ist auf die Unterschiede zwischen der Halbleitervorrichtung 802B und der Halbleitervorrichtung 802BA aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • In 8B enthält Zellregion 804BA zwei Sätze 846 von ARs. 8B ist ähnlich zu 7B, z. B. enthält jede einen oberen I-Rahmen und einen unteren I-Rahmen. Der obere I-Rahmen in 8B ist ähnlich zu dem I-Rahmen in 8A. Relativ zu einer Symmetrieachse zwischen dem oberen I-Rahmen und dem unteren I-Rahmen von 8B ist der untere I-Rahmen spiegelsymmetrisch in Bezug auf den oberen I-Rahmen.
  • In 8B befindet sich relativ zu der Y-Achse das erste Profil des unteren I-Rahmens über dem zweiten Profil des unteren I-Rahmens. Insbesondere entspricht das erste/obere Profil des unteren I-Rahmens dem zweiten/unteren Profil des oberen I-Rahmens, und das zweite/untere Profil des unteren I-Rahmens entspricht dem ersten/oberen Profil des oberen I-Rahmens. Jedes des ersten/oberen Profils des oberen I-Rahmens und des zweiten/unteren Profils des unteren I-Rahmens ist konkav. Jedes des zweiten/unteren Profils des oberen I-Rahmens und des ersten/oberen Profils des unteren I-Rahmens ist konvex.
  • Relativ zu der Y-Achse sind die ARs 816B_1 durch den Abstand S_6 getrennt. Zellregion 804BA ist gemäß den Design-Regeln (21), (22), (23), (24) und (25) (siehe oben) und gemäß Design-Regel (26) konfiguriert, um DRC zu erfüllen. S 6 = S _ 1 2 R _ 6
    Figure DE102022101653A1_0026
  • Der Abstand S_6 ist gleich S_1 minus zweimal R_6, wobei R_6 wie folgt ist. Relativ zu der Y-Achse: Der untere Rand 850A des oberen AR 816B_1 erstreckt sich unter dem unteren Rand 851A der entsprechenden ARs 416B_2 des oberen I-Rahmens um die Distanz R_6; und der obere Rand 850 des unteren AR 816B_1 erstreckt sich über dem oberen Rand 851 der entsprechenden ARs 416B_2 des oberen I-Rahmens um die Distanz R_6.
  • 9A ist eine Draufsicht einer Halbleitervorrichtung 902B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 902B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 904B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 916B_1 und 916B_1 HL-Abschnitte, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • Halbleitervorrichtungen 902B und 902BA der korrespondierenden 9A und 9B sind Variationen der Halbleitervorrichtung 402BB von 4C. Die Erörterung der 9A-9B ist auf die Unterschiede zwischen der Halbleitervorrichtung 402BB von 4C und den Halbleitervorrichtungen 902B und 902BA der 9A-9B aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Zellregion 904B enthält einen Satz 947 von ARs und obere und untere Sätze 946 von korrespondierenden ARs. Satz 947 ist ähnlich zu jedem der Sätze 446 von 4B darin, dass z. B. Satz 947 einen I-Rahmen bildet. Jeder der Sätze 946 unterscheidet sich von den Sätzen 446 von 4B darin, dass z. B. jeder der Sätze 946 eine T-Form oder einen T-Rahmen bildet. Relativ zur Y-Achse weist der obere Satz 946 eine nach rechts oben gerichtete Ausrichtung oder den T-Rahmen auf, während der untere Satz 946 eine nach oben gerichtete Ausrichtung des T-Rahmens aufweist. In einigen Ausführungsformen ist relativ zur Y-Achse der obere Satz 946 ausreichend nahe am Satz 947, dass der obere Satz 946 als die oberen Instanzen von AR 416B_2 von Satz 947 teilend betrachtet wird, so dass der obere Satz 946 als einen I-Rahmen bildend betrachtet wird. In einigen Ausführungsformen ist relativ zur Y-Achse der untere Satz 946 ausreichend nahe am Satz 947, dass der untere Satz 946 als die unteren Instanzen von AR 416B_2 von Satz 947 teilend betrachtet wird, so dass der untere Satz 946 als einen I-Rahmen bildend betrachtet wird.
  • ARs 416B_2, AR 916B_1 und AR 916B_1. Relativ zur X-Achse sind ARs 916B_1 von entsprechenden ARs 416B_2 und 416B_1 durch entsprechende Isolations-Dummy-Gates 944B, die entsprechende dazwischenliegende Regionen 926B belegen, getrennt. Isolations-Dummy-Gates 944B erstrecken sich von den Referenzlinien 932BT bis 932BB. Aufgrund jedes der Isolations-Dummy-Gates 944B ist jeder der ARs 416B_2 und 916B_1 in 9A ohne vollständige Projektion.
  • Relativ zur Y-Achse und von einer zentralen Stelle in der Zellregion 902B aus gesehen, ist jedes des oberen Profils und des unteren Profils von Satz 947 konkav. Ein oberes Profil von oberem Satz 946, relativ zu einer zentralen Stelle in der Zellregion 902B, umfasst die äußeren Ränder 960 der entsprechenden obersten ARs 416B_2 und einen äußeren Rand 963 von oberem AR 916B_1. Das obere Profil von oberem Satz 946 ist linear. Ein unteres Profil von unterem Satz 946, relativ zu der zentralen Stelle in der Zellregion 902B, umfasst die äußeren Ränder 960 der entsprechenden untersten ARs 416B_2 und den äußeren Rand 963 von unterem AR 916B_1. Das untere Profil des unteren Satzes 946 ist linear.
  • Ein unteres Profil von oberem Satz 946, relativ zu der zentralen Stelle in der Zellregion 902B, umfasst die inneren Ränder 962 der obersten ARs 416B_2 und einen inneren Rand 961 von oberem AR 916B_1. Relativ zur Y-Achse und von der zentralen Stelle in der Zellregion 902B aus gesehen, ist das untere Profil von oberem Satz 946 konvex. Ein oberes Profil von unterem Satz 946, relativ zu der zentralen Stelle in der Zellregion 902B, umfasst die inneren Ränder 962 der untersten ARs 416B_2 und einen inneren Rand 961 von unterem AR 916B_1. Relativ zur Y-Achse und von der zentralen Stelle in der Zellregion 902B aus gesehen, ist das untere Profil von unterem Satz 946 konvex.
  • Relativ zu der Y-Achse: AR 916B_1 von Satz 947 ist von den ARs 916B_1 von entsprechenden oberen und unteren Sätzen 946 durch einen Abstand S_7 getrennt; die ARs 416B_2 sind durch den Abstand S_1 voneinander getrennt; die ARs 416B_2 haben die Höhe H_1; die ARs 916B_1 von oberen und unteren Sätzen 946 haben eine Höhe H_8; und AR 916B_1 von Satz 947 hat eine Höhe H_9. Zellregion 904B ist gemäß den Design-Regeln (27), (28), (29), (30) und (31) konfiguriert, um DRC zu erfüllen. 0 R _ 7 S _ 1
    Figure DE102022101653A1_0027
    H _ 8 = H _ 1 + R _ 7
    Figure DE102022101653A1_0028
    S _ 1 H _ 9 S 1 + 2 H _ 1
    Figure DE102022101653A1_0029
    S _ 1 S _ 7 S _ 1 + H _ 1
    Figure DE102022101653A1_0030
    S _ 1 S _ 8 S _ 1 + 2 H _ 1
    Figure DE102022101653A1_0031
  • Relativ zu der Y-Achse ist der Abstand R_7 zwischen den inneren Rändern 962 und 961 und ist größer als oder gleich Null aber kleiner als oder gleich S_1. Relativ zu der Y-Achse ist die Höhe H_8 der ARs 916B_1 im Satz 947 gleich R_7 plus H_1. Relativ zu der Y-Achse ist die Höhe H_9 des AR 916B_1 in jedem der Sätze 946 größer als oder gleich dem S_1, aber ist kleiner als oder gleich zweimal H_1 plus S_1. Relativ zu der Y-Achse ist der Abstand S_7 zwischen AR 916B_1 des Satzes 947 und jedem der ARs 916B_1 der Sätze 946 und ist größer als oder gleich S_1, aber ist kleiner als oder gleich H_1 plus S_1. In einigen Ausführungsformen ist, relativ zu der X-Achse, jeder der ARs 916B_1 kleiner als 3δ. In einigen Ausführungsformen sind, relativ zu der X-Achse, die ARs 416B_2 größer als 3δ. In einigen Ausführungsformen liegen andere geeignete Höhen und Breiten der ARs 416B_2, 916B_1 und 916C innerhalb des vorgesehenen Umfangs der Offenbarung.
  • 9B ist eine Draufsicht einer Halbleitervorrichtung 902BA gemäß einigen Ausführungsformen.
  • Die Zellregion 904BA der Halbleitervorrichtung 902BA von 9B ist wie die Zellregion 904B der Halbleitervorrichtung 902B von 9A, jedoch enthält die Zellregion 904BA einen zusätzlichen Satz 947 von ARs im Vergleich zu 9A. Relativ zu der Y-Achse sind die ARs 916B_1 des entsprechenden oberen und unteren Satzes 947 durch einen Abstand S_8 getrennt. Der Abstand S_8 ist größer als oder gleich S_1, aber ist kleiner als oder gleich S_1 plus zweimal H_1.
  • 10A ist eine Draufsicht einer Halbleitervorrichtung 1002B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 1002B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 1004B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 1016B_1 HL-Abschnitte, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • Halbleitervorrichtungen 1002B und 1002BA der korrespondierenden 10A und 10B sind Variationen der Halbleitervorrichtung 902B von 9A. Die Erörterung der 10A-10B ist auf die Unterschiede zwischen der Halbleitervorrichtung 902B und den Halbleitervorrichtungen 1002B und 1002BA der 10A-10B aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Zellregion 1004B enthält die ARs 416B_2 und die ARs 1016B_1. Die ARs 416B_2 sind von den ARs 1016B_1 durch korrespondierende Isolations-Dummy-Gates 1044B getrennt, die korrespondierende dazwischenliegende Regionen 1026B belegen. Isolations-Dummy-Gates 1044B erstrecken sich von den Referenzlinien 1032BT bis 1032BB. Aufgrund jedes der Isolations-Dummy-Gates 1044B ist jeder der ARs 416B_2 und 1016B_1 in 10A ohne vollständige Projektion.
  • In 10A enthält Zellregion 1004B einen Satz 1047 von ARs und obere und untere Sätze 1046 von korrespondierenden ARs. 10A ist ähnlich zu 9A. Jeder der Sätze 1046 ist ähnlich zu jedem der Sätze 946 von 9A darin, dass z. B. jeder der Sätze 1046 einen T-Rahmen bildet, oberer Satz 1046 von 10A ist ähnlich zu oberem Satz 946 von 9A angeordnet und unterer Satz 946 von 10A ist ähnlich zu dem unteren Satz 946 von 9A angeordnet. Relativ zu der Y-Achse erstreckt sich der untere Rand von AR 1016B_1 des oberen Satzes 1046 unter dem unteren Rand der ARs 416B_2 des oberen Satzes 1046 um eine Distanz R_8. Relativ zu der Y-Achse erstreckt sich der obere Rand von AR 1016B_1 des unteren Satzes 1046 über dem unteren Rand der ARs 416B_2 des unteren Satzes 1046 um die Distanz R_8.
  • In 10A ist Satz 1047 ähnlich zu Satz 947 von 9A darin, dass z. B. Satz 1047 einen I-Rahmen bildet. Nichtsdestotrotz unterscheidet sich die Anordnung von Satz 1047 von 10A von der Anordnung von Satz 947 von 9A. Während zum Beispiel der obere Rand, relativ zu der Y-Achse, der obere Rand von AR 916B_1 von Satz 947 von 9A zwischen dem oberen und unteren Rand der oberen ARs 416B_2 von Satz 947 ist, überlappt der obere Rand von AR 1016B_1 von Satz 1047 von 10A nicht, sondern bleibt unter den unteren Rändern der oberen ARs 416B_2 von Satz 1047 um eine Distanz R_9. Auch, zum Beispiel, während, relativ zu der Y-Achse, der untere Rand von AR 916B_1 von Satz 947 von 9A zwischen dem oberen und unteren Rand der unteren ARs 416B_2 von Satz 947 ist, überlappt der untere Rand von AR 1016B_1 von Satz 1047 von 10A nicht, sondern bleibt über den oberen Rändern der unteren ARs 416B_2 von Satz 1047 um eine Distanz R_9.
  • Relativ zu der Y-Achse: ARs 416B_2 sind voneinander durch den Abstand S_1 getrennt; AR 1016B_1 von Satz 1047 ist von den ARs 1016B_1 des entsprechenden oberen und unteren Satzes 1046 um eine Distanz S_9 getrennt; die ARs 416B_2 haben die Höhe H_1; die ARs 1016B_1 des oberen und unteren Satzes 1046 haben eine Höhe H_10; und AR 1016B_1 von Satz 1047 hat eine Höhe H_11. Zellregion 1004B ist gemäß den Design-Regeln (32), (33), (34), (35) und (36) konfiguriert, um DRC zu erfüllen. 0 R _ 8 S _ 1
    Figure DE102022101653A1_0032
    0 R _ 9 S _ 1 2
    Figure DE102022101653A1_0033
    H _ 10 = H _ 1 + R _ 8
    Figure DE102022101653A1_0034
    H _ 1 H _ 11 S _ 1
    Figure DE102022101653A1_0035
    S _ 9 = S _ 1 + H _ 1 + R _ 9
    Figure DE102022101653A1_0036
  • 10B ist eine Draufsicht einer Halbleitervorrichtung 1002BA gemäß einigen Ausführungsformen.
  • Zellregion 1004BA der Halbleitervorrichtung 1002BA ist ein Beispiel für Zellregion 904B der Halbleitervorrichtung 902B, jedoch enthält Zellregion 104BB einen zusätzlichen Satz 1047 von ARs im Vergleich zu 10A. ARs 1016B_1 von entsprechenden oberen und unteren Sätzen 1047 sind durch einen Abstand S_10 getrennt. Distance S_10 is equal to distance S_1 plus twice H_1 plus twice S_9. S _ 10 = S _ 1 + 2 H _ 1 + 2 R _ 9
    Figure DE102022101653A1_0037
  • 11A ist eine Draufsicht einer Halbleitervorrichtung 1102B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 1102B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 1104B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 1116B_1 HL-Abschnitte, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • Halbleitervorrichtungen 1102B und 1102BA der korrespondierenden 11A und 11B sind Variationen der Halbleitervorrichtungen 902B und 902BA der korrespondierenden 9A und 9B. Die Erörterung der 11A-11B ist auf die Unterschiede zwischen den Halbleitervorrichtungen 902B und 902BA der korrespondierenden Fig. und Halbleitervorrichtungen 1102B und 1102BA der korrespondierenden 11A-11B aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Zellregion 1104B enthält die ARs 416B_2 und die ARs 1116B_1. Die ARs 416B_2 sind von den ARs 1016B_1 durch korrespondierende Isolations-Dummy-Gates 1144B getrennt, die korrespondierende dazwischenliegende Regionen 1126B belegen. Isolations-Dummy-Gates 1144B erstrecken sich von den Referenzlinien 1132BT bis 1132BB. Aufgrund jedes der Isolations-Dummy-Gates 1144B ist jeder der ARs 416B_2 und 1116B_1 in 11A ohne vollständige Projektion.
  • Zellregion 1104B enthält einen Satz 1147 von ARs und obere und untere Sätze 1146 von korrespondierenden ARs. Satz 1147 von 11A ist ähnlich zu jedem der Sätze 946 von 9A darin, dass z. B. Satz 1147 einen I-Rahmen bildet. Beispiele von Unterschieden zwischen Satz 1147 und 947 enthalten: relativ zur Y-Achse erstreckt sich der obere Rand von AR 1116B_1 in Satz 1147 über dem oberen Rand der oberen ARs 416B_2 in Satz 1147, während sich der obere Rand von AR 916B_1 in Satz 947 zwischen dem oberen und unteren Rand der oberen ARs 416B_2 von Satz 947 erstreckt; und relativ zur Y-Achse erstreckt sich der untere Rand von AR 1116B_1 in Satz 1147 unter dem unteren Rand der unteren ARs 416B_2 in Satz 1147, während sich der untere Rand von AR 916B_1 in Satz 947 zwischen dem oberen und unteren Rand der unteren ARs 416B_2 von Satz 947 erstreckt.
  • In 11 sind obere und untere Sätze 1146 ähnlich zu korrespondierenden oberen und unteren Sätzen 946 von 9A. Beispiel-Unterschiede zwischen oberem Satz 1146 und oberem Satz 946 umfassen: oberer Satz 1146 bildet eine U-Form oder einen U-Rahmen, während oberer Satz 946 einen T-Rahmen bildet; relativ zur Y-Achse befindet sich der untere Rand von AR 1160B_1 von oberem Satz 1146 zwischen den oberen und unteren Rändern von ARs 416B_2 von oberem Satz 1146, während sich der untere Rand von AR 916B_1 von oberem Satz 946 von 9A unter den unteren Rand von ARs 416B_2 von oberem Satz 946 von 9A erstreckt; und von einer zentralen Stelle in der Zellregion 1102B/902B aus gesehen, und relativ zur Y-Achse ist das untere Profil von oberem Satz 1146 konkav, während das untere Profil von oberem Satz 946 konvex ist. Beispiel-Unterschiede zwischen dem unteren Satz 1146 und dem unteren Satz 946 umfassen: der untere Satz 1146 bildet einen U-Rahmen, während der untere Satz 946 einen T-Rahmen bildet; und relativ zu der Y-Achse befindet sich der obere Rand des AR 1160B_1 des unteren Satzes 1146 zwischen dem oberen und unteren Rand der ARs 416B_2 des unteren Satzes 1146, während sich der obere Rand des AR 916B_1 des unteren Satzes 946 von 9A über den oberen Rand der ARs 416B_2 des unteren Satzes 946 von 9A erstreckt; und wenn man von einer zentralen Stelle in der Zellregion 1102B /902B aus betrachtet, und relativ zu der Y-Achse ist das obere Profil des unteren Satzes 1146 konkav, während das obere Profil des unteren Satzes 946 konvex ist.
  • Relativ zu der Y-Achse ist der untere Rand des AR 1116B_1 im oberen Satz 1146 um eine Distanz R_10 über dem unteren Rand der ARs 416B_2 im oberen Satz 1146 vertieft. Relativ zu der Y-Achse ist der obere Rand des AR 1116B_1 im unteren Satz 1146 um die Distanz R_10 unter dem oberen Rand der ARs 416B_2 im unteren Satz 1146 vertieft. Relativ zu der Y-Achse erstreckt sich der obere Rand des AR 1116B_1 der Gruppe 1147 über dem oberen Rand der ARs 416B_2 des Satzes 1147 um eine Distanz R_11. Relativ zu der Y-Achse erstreckt sich der untere Rand des AR 1116B_1 der Gruppe 1147 unter dem unteren Rand der ARs 416B_2 des Satzes 1147 um die Distanz R_11.
  • Relativ zu der Y-Achse: AR 1116B_1 des Satzes 1147 ist von den ARs 1116B_1 des entsprechenden oberen und unteren Satzes 1146 um eine Distanz S_11 getrennt; die ARs 3416B_2 sind voneinander durch den Abstand S_1 getrennt; die ARs 416B_1 haben die Höhe H_1; die ARs 1116B_1 des oberen und unteren Satzes 1146 haben eine Höhe H_12; und der AR 1116B_1 des Satzes 1147 hat eine Höhe H_13. Zellregion 1104B ist gemäß den Design-Regeln (38), (39), (40), (41) und (42) konfiguriert, um DRC zu erfüllen. 0 R _ 10 H _ 1
    Figure DE102022101653A1_0038
    0 R _ 11 S _ 1
    Figure DE102022101653A1_0039
    H _ 12 = H _ 1 R _ 10
    Figure DE102022101653A1_0040
    H _ 13 = S _ 1 + 2 H _ 1 + 2 R _ 11
    Figure DE102022101653A1_0041
    S _ 11 = S _ 1 + R _ 10
    Figure DE102022101653A1_0042
  • 11B ist eine Draufsicht einer Halbleitervorrichtung 1102BA gemäß einigen Ausführungsformen.
  • Zellregion 1104BA der Halbleitervorrichtung 1102BA ist ein Beispiel für Zellregion 1104B der Halbleitervorrichtung 1102B, jedoch enthält Zellregion 1104BA einen zusätzlichen Satz 947 von ARs im Vergleich zu 11A. Relativ zu der Y-Achse sind die ARs 1116B_1 des entsprechenden oberen und unteren Satzes 1147 durch einen Abstand S_12 getrennt. Abstand S_12 ist gleich Abstand S_1 minus zweimal R_11. S _ 12 = S _ 1 2 R _ 11
    Figure DE102022101653A1_0043
  • 12A ist eine Draufsicht einer Halbleitervorrichtung 1202B gemäß einigen Ausführungsformen.
  • Zellregion 1204B enthält ARs 416B_2 und ARs 1216B_1. Die ARs 416B_2 sind von den ARs 1216B_1 durch korrespondierende Isolations-Dummy-Gates 1244B getrennt, die korrespondierende dazwischenliegende Regionen 1226B belegen. Isolations-Dummy-Gates 1244B erstrecken sich von den Referenzlinien 1232BT bis 1232BB. Aufgrund jedes der Isolations-Dummy-Gates 1244B ist jeder der ARs 416B_2 und 1216B_1 in 12A ohne vollständige Projektion.
  • Die Halbleitervorrichtung 1202B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 1204B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 1216B_1 HL-Abschnitte, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 LL-Abschnitte, z. B. LL-Abschnitte 416B_2 und 108.
  • Zellregion 1204B enthält einen Satz 1247 von ARs und obere und untere Sätze 1246 von korrespondierenden ARs. In 12A ist Zellregion 1204B ähnlich zu Zellregion 904B von 9A. Obere und untere Sätze 1246 von 12A sind ähnlich zu oberen und unteren Sätzen 946 von 9A. Satz 1247 von 12A ist ähnlich zu Satz 947 von 9A. Erörterung der 12A-12B ist auf die Unterschiede zwischen der Halbleitervorrichtung 902B und den Halbleitervorrichtungen 1202B und 1202BA der 12A-12B aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Zellregion 1204B enthält ARs 416B_2 und ARs 1216B_1. Relativ zur X-Achse sind ARs 1216B_2 von entsprechenden ARs 1216B_1 durch entsprechende Isolations-Dummy-Gates 1244B, die entsprechende dazwischenliegende Regionen 1226B belegen, getrennt. Isolations-Dummy-Gates 1244B erstrecken sich von den Referenzlinien 1232BT bis 1232BB. Aufgrund jedes der Isolations-Dummy-Gates 1144B ist jeder der ARs 416B_2 und 1216B_1 in 12A ohne vollständige Projektion.
  • Relativ zu der Y-Achse: AR 1216B_1 von Satz 1247 ist durch einen Abstand S_13 von den ARs 1216B_1 des entsprechenden oberen und unteren Satzes 1246 getrennt; ARs 416B_2 von Satz 1247 sind durch einen Abstand S_16 von entsprechenden ARs 416B_1 des oberen und unteren Satzes 1246 getrennt; ARs 416B_2 des oberen und unteren Satzes 1246 haben eine Höhe H_14; ARs 416B_2 von Satz 1247 haben eine Höhe H_15; ARs 1216B_1 des oberen und unteren Satzes 1246 haben eine Höhe H_16; und AR 1216B_1 von Satz 1247 hat eine Höhe H_17.
  • Relativ zu der Y-Achse und von einer zentralen Stelle in Zelle 1204B aus gesehen: der untere Rand von AR 1216B_1 von oberem Satz 1246 erstreckt sich unter dem unteren Rand der ARs 416B_1 von oberem Satz 1246; und der obere Rand von AR 1216B_1 von unterem Satz 1246 erstreckt sich über dem oberen Rand der ARs 416B_1 von unterem Satz 1246.
  • 12B ist eine Draufsicht einer Halbleitervorrichtung 1202BA gemäß einigen Ausführungsformen.
  • Zellregion 1204BA der Halbleitervorrichtung 1202BA von 12B ist wie Zellregion 1204B der Halbleitervorrichtung 1202B, jedoch enthält Zellregion 1204BA einen zusätzlichen Satz von ARs 1247 im Vergleich zu 12A. Relativ zu der Y-Achse sind die ARs 1216B_1 des entsprechenden oberen und unteren Satzes 1247 durch einen Abstand S_14 getrennt. Abstand S_14 ist größer als oder gleich S_16, aber ist kleiner als oder gleich S_16 plus zweimal H_15.
  • Zellregionen 1204B und 1204BA sind gemäß Design-Regeln konfiguriert, die sich auf ein erstes Szenario oder zweites Szenario oder drittes Szenario oder viertes Szenario beziehen, wie folgt:
  • Wenn unter einem ersten Szenario, in dem H_14 = H_15 und S_15 = S_16, dann: H _ 14 H _ 16 H _ 14 + S _ 15
    Figure DE102022101653A1_0044
    S _ 15 H _ 17 S _ 15 + 2 H _ 14
    Figure DE102022101653A1_0045
    S _ 15 S _ 13 S _ 15 + H _ 14
    Figure DE102022101653A1_0046
    S _ 15 S _ 14 S _ 15 + 2 H _ 14
    Figure DE102022101653A1_0047
  • Wenn unter einem zweiten Szenario, in dem H_14 ≠ H_15 und S_15 = S_16, dann: S _ 15 H _ 17 S _ 15 + 2 H _ 15
    Figure DE102022101653A1_0048
    S _ 15 S _ 13 S _ 15 + H _ 15
    Figure DE102022101653A1_0049
    S _ 15 S _ 14 S _ 15 + 2 H _ 15
    Figure DE102022101653A1_0050
  • Wenn unter einem dritten Szenario, in dem H_14 = H_15 und S_15 # S_16, dann: H _ 14 H _ 16 H _ 14 + S _ 16
    Figure DE102022101653A1_0051
    S _ 16 S _ 13 S _ 16 + H _ 14
    Figure DE102022101653A1_0052
    S _ 16 S _ 14 S _ 16 + 2 H _ 14
    Figure DE102022101653A1_0053
  • Wenn unter einem vierten Szenario, in dem H_14 ≠ H_15 und S_15 # S_16, dann: S _ 15 H _ 17 S _ 15 + 2 H _ 15
    Figure DE102022101653A1_0054
    S _ 16 S _ 13 S _ 16 + H _ 15
    Figure DE102022101653A1_0055
    S _ 16 S _ 14 S _ 16 + 2 H _ 15
    Figure DE102022101653A1_0056
  • 13 ist eine Draufsicht einer Halbleitervorrichtung 1302B gemäß einigen Ausführungsformen.
  • 13 ist ähnlich zu 12A, unterscheidet sich aber hauptsächlich in Bezug auf die Design-Regeln für 13 beziehen sich auf ein fünftes Szenario, wohingegen Design-Regeln für 12A und 12B sich auf die erwähnten ersten, zweiten, dritten und vierten Szenarien beziehen.
  • Zellregion 1304B enthält ARs 416B_2 und ARs 1316B_1. Die ARs 416B_2 sind von den ARs 1316B_1 durch korrespondierende Isolations-Dummy-Gates 1344B getrennt, die korrespondierende dazwischenliegende Regionen 1326B belegen. Isolations-Dummy-Gates 1344B erstrecken sich von den Referenzlinien 1332BT bis 1332BB. Aufgrund jedes der Isolations-Dummy-Gates 1344B ist jeder der ARs 416B_2 und 1316B_1 in 13 ohne vollständige Projektion.
  • Die Halbleitervorrichtung 1302B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 1304B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 1316B_1, 1316B_3 und 1316_D HL-Abschnitte, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 1316B_2 LL-Abschnitte, z. B. LL-Abschnitte 416B_2 und 108.
  • Zellregion 1304B enthält einen Satz 1347 von ARs und obere und untere Sätze 1346 von korrespondierenden ARs. In 13 ist Zellregion 1304B ähnlich zu Zellregion 904B von 9A. Obere und untere Sätze 1346 von 13 sind ähnlich zu oberen und unteren Sätzen 946 von 9A. Satz 1347 von 13 ist ähnlich zu Satz 947 von 9A. Erörterung von 13 ist auf die Unterschiede zwischen (1) Halbleitervorrichtungen 1202B und 1202BA der korrespondierenden 12A und 12B und (2) Halbleitervorrichtungen 1202B von 13 aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Zellregion 1304B enthält ARs 416B_2 und ARs 1316B_1. Relativ zur X-Achse sind ARs 1316B_1 von entsprechenden ARs 416B_2 durch entsprechendes Isolations-Dummy-Gate 1344B, die entsprechende dazwischenliegende Regionen 1326B belegen, getrennt. Isolations-Dummy-Gates 1344B erstrecken sich von den Referenzlinien 1332BT bis 1332BB.
  • Relativ zur Y-Achse: AR 1316B_1 von Satz 1347 ist von ARs 1316B_1 von entsprechenden oberen und unteren Sätzen 1346 durch einen Abstand S_22 getrennt; ARs 416B_2 von Satz 1347 sind voneinander durch einen Abstand S_19 getrennt; ARs 416B_2 von Satz 1347 sind von entsprechenden ARs 416B_2 von oberen und unteren Sätzen 1346 durch einen Abstand S_18 getrennt; ARs 416B_2 von oberen und unteren Sätzen 1346 haben eine Höhe H_18; ARs 416B_2 von Satz 1347 haben eine Höhe H_19; ARs 1316B_1 von oberen und unteren Sätzen 1346 haben eine Höhe H_22; und AR 1316B_1 von Satz 1347 hat eine Höhe H_23.
  • Relativ zur Y-Achse und von einer zentralen Stelle in Zelle 1304B aus gesehen: der untere Rand von AR 1316B_1 von oberem Satz 1346 erstreckt sich unter dem unteren Rand der ARs 416B_1 von oberem Satz 1346; und der obere Rand von AR 1316B_1 von unterem Satz 1346 erstreckt sich über dem oberen Rand der ARs 416B_1 von unterem Satz 1346.
  • Zellregion 1304B ist gemäß Design-Regeln relativ zu einem fünften Szenario konfiguriert, wohingegen Zellregionen 1204B und 1204BA von entsprechenden 12A und 12B gemäß den erwähnten ersten, zweiten, dritten und vierten Szenarien konfiguriert sind. Wenn unter dem fünften Szenario, d.h. wenn H_18 ≠ H_19 ≠ H_20 ≠ H_21 und S_17 ≠ S_18 ≠ S_19 ≠ S_20 ≠ S_21, dann: H _ 18 H _ 22 H _ 18 + S _ 18
    Figure DE102022101653A1_0057
    S _ 19 H _ 23 S _ 19 + H _ 19 + H _ 20
    Figure DE102022101653A1_0058
    H _ 21 H _ 24 H _ 21 + S _ 20
    Figure DE102022101653A1_0059
    S _ 18 S _ 22 S _ 18 + H _ 19
    Figure DE102022101653A1_0060
    S _ 20 S _ 23 S _ 20 + H _ 20
    Figure DE102022101653A1_0061
  • 14 ist eine Draufsicht einer Halbleitervorrichtung 1402B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 1402B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist Zellregion 1404B ein Beispiel für Zellregion 104. In einigen Ausführungsformen sind die ARs 1416B_1 HL-Abschnitte, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 LL-Abschnitte, z. B. LL-Abschnitte 416B_2 und 108.
  • Zellregion 1404B enthält einen oberen Satz 1447 von ARs, einen unteren Satz 1448 von ARs, einen oberen Satz 1446 von ARs und einen unteren Satz 1449 von ARs. In 14 ist Zellregion 1404B ähnlich zu Zellregion 904B von 9A. Oberer Satz 1446 von 14 ist ähnlich zu oberem Satz 946 von 9A. Unterer Satz 1449 von 14 sind ähnlich zu oberem unteren Satz 946 von 9A. Oberer Satz 1447 von 14 ist ähnlich zu oberem Satz 947 von 9A. Unterer Satz 1448 von 14 ist ähnlich zu unterem Satz 947 von 9A. Erörterung von 14 ist auf die Unterschiede zwischen Halbleitervorrichtung 902B von 9A und Halbleitervorrichtung 1402B von 14 aus Gründen der Kürze, Prägnanz und zum Reduzieren der Wiederholung beschränkt. Gleiche Elemente behalten ihre Bezugsnummer, während neue oder unterschiedliche Elemente mit unterschiedlichen Bezugsnummern herausgerufen werden.
  • Zellregion 1404B enthält ARs 416B_2 und ARs 1416B_1. Die ARs 416B_2 sind von den ARs korrespondierenden ARs 1416B_1 durch korrespondierende Isolations-Dummy-Gates 1444B getrennt, die korrespondierende dazwischenliegende Regionen 1426B belegen. Isolations-Dummy-Gates erstrecken sich von den Referenzlinien 1432BT bis 1432BB. Aufgrund jedes der Isolations-Dummy-Gates 1444B ist jeder der ARs 416B_2 und 1416B_1 in 14 ohne vollständige Projektion.
  • Relativ zu der Y-Achse: AR 1416B_1 des Satzes 1446 ist durch einen Abstand S_31 von AR 1416B-1 des Satzes 1447 getrennt; AR 1416B_1 des Satzes 1447 ist durch einen Abstand S_33 von AR 1416B_1 des Satzes 1448 getrennt; ARs 416B_2 des Satzes 1446 sind durch einen Abstand S_25 von entsprechenden oberen ARs 416B_2 des Satzes 1447 getrennt; ARs 416B_2 des Satzes 1447 sind durch einen Abstand S_26 voneinander getrennt; untere ARs 416B_2 des Satzes 1447 sind durch einen Abstand S_27 von entsprechenden oberen ARs 416B_2 des Satzes 1448 getrennt; ARs 416B_2 des Satzes 1448 sind durch einen Abstand S_28 voneinander getrennt; untere ARs 416B_2 des Satzes 1448 sind durch einen Abstand S_29 von entsprechenden oberen ARs 416B2 des Satzes 1449 getrennt; ARs 416B_2 des Satzes 1446 haben eine Höhe H_25; obere ARs 416B_2 des Satzes 1447 haben eine Höhe H_26; untere ARs 416B_2 des Satzes 1447 haben eine Höhe H_27; obere ARs 416B_2 des Satzes 1448 haben eine Höhe H_28; untere ARs 416B_2 des Satzes 1448 haben eine Höhe H_29; und ARs 416B_2 des Satzes 1449 haben eine Höhe H_30.
  • Wenn H_25 ≠ H_26 ≠ H_27 ≠ H_28 ≠ H_29 ≠ H_30 und S_24 ≠ S_25 ≠ S_26 ≠ S_27 ≠ S_28 ≠ S_29 ≠ S_30, dann: H _ 25 H _ 31 H _ 25 + S _ 25
    Figure DE102022101653A1_0062
    S _ 28 H _ 33 S _ 28 + H _ 28 + H _ 29
    Figure DE102022101653A1_0063
    S _ 28 H _ 33 S _ 28 + H _ 28 + H _ 29
    Figure DE102022101653A1_0064
    H _ 30 H _ 34 H _ 30 + S _ 29
    Figure DE102022101653A1_0065
    S _ 25 S _ 31 S _ 25 + H _ 26
    Figure DE102022101653A1_0066
    S _ 27 S _ 32 S _ 27 + H _ 27 + H _ 28
    Figure DE102022101653A1_0067
    S _ 29 S _ 33 S _ 29 + H _ 29
    Figure DE102022101653A1_0068
  • 15A ist eine Draufsicht einer Halbleitervorrichtung 1502B gemäß einigen Ausführungsformen.
  • Die Halbleitervorrichtung 1502B ist ein Beispiel der Halbleitervorrichtung 102 und ist so konfiguriert, dass sie innerhalb des IC 100 verwendet wird. In einigen Ausführungsformen ist die Halbleitervorrichtung 1502B eine Variation der Halbleitervorrichtung 302B. In einigen Ausführungsformen ist Zellregion 1504B ein Beispiel für Zellregion 104. In einigen Ausführungsformen ist AR 1516B_1 ein HL-Abschnitt, z. B. HL-Abschnitt 106. In einigen Ausführungsformen sind die ARs 416B_2 und 416B_3 LL-Abschnitte, z. B. LL-Abschnitt 108.
  • In 15A enthält Zellregion 1504B ARs 416B_2, 416B_3 und AR 1516B_1. Die ARs 416B_2 sind von den korrespondierenden ARs 1516B_1 durch korrespondierende Isolations-Dummy-Gates 1544B getrennt, die korrespondierende dazwischenliegende Regionen 1526B belegen. Die linken oberen und linken unteren ARs 1516B_1 teilen die linken Isolations-Dummy-Gates 1544B. Die linken oberen, linken unteren und rechten mittleren ARs 1516B_1 teilen die mittleren Isolations-Dummy-Gates 1544B.
  • Der rechte mittlere AR 1516B_1 ist mit den rechten Isolations-Dummy-Gates 1544B assoziiert, d.h. der rechte mittlere AR 1516B teilt das rechte Isolations-Dummy-Gate 1544B nicht mit einer anderen Instanz von AR 1516B_1.
  • Zellregion 1504B enthält einen obersten und untersten AR 416B_3, der sich von der imaginären Referenzlinie 418A zu der imaginären Linie 420A erstreckt. In einigen Ausführungsformen haben der oberste und unterste AR 416B_3 unterschiedliche Höhen (siehe 13). Jeder der ARs 416B_2 und 416B_3 hat eine Höhe von H_1, die kleiner als die Höhe von AR 1516B_1 ist, die H_3 ist. Jeder der ARs 416B_3 in 15A ist mit vollständiger Projektion gezeigt.
  • In einigen Ausführungsformen ist der Ort der ARs 1516B_1 unterschiedlich. In einigen Ausführungsformen überlappen relativ zu der X-Achse die ARs 1516B_1 nicht. In 15A, relativ zu der Y-Achse: sind die ARs 416B3 durch den Abstand S_1 von den entsprechenden ARs 416B_2 getrennt; sind die ARs 416B_2 durch den Abstand S_1 voneinander getrennt; sind die ARs 1516B_1 durch den Abstand S_2 von den entsprechenden ARs 416B_2 und 416B_3 getrennt; sind die ARs 1516B_1 durch den Abstand S_3 voneinander getrennt; haben die ARs 416B_1 eine Höhe H_1; haben die ARs 1016B_1 des oberen und unteren Satzes 1046 eine Höhe H_10; und hat der AR 1016B_1 des Satzes 1047 eine Höhe H_11. Zellregion 1504B ist gemäß den Design-Regeln (3), (4) und (5) konfiguriert, um DRC zu erfüllen.
  • 15B ist eine Draufsicht einer Halbleitervorrichtung 1502BA gemäß einigen Ausführungsformen.
  • Zellregion 1504BA von 15B ist ähnlich zu Zellregion 1503B von 15A. In 15B sind eine oder mehrere kürzere (relativ zu der Y-Achse) Instanzen von AR 1516B_1 zwischen zwei höheren (relativ zu der Y-Achse) Instanzen von AR 1516B_1 auf der linken und einer höheren Instanz von AR 1516B_1 auf der rechten Seite gebildet, so dass 15B eine zusätzliche Instanz von Isolations-Dummy-Gate 1544B im Vergleich zu 15A enthält, d.h. 15B enthält die weit linke, linke mittlere, rechte mittlere und weit rechte Isolations-Dummy-Gates 1544B, wobei das rechte mittlere Isolations-Dummy-Gate 1544B die zusätzliche Instanz von Isolations-Dummy-Gate 1544B im Vergleich zu 15A ist. Die kürzeren Instanzen von AR 1516B_1 haben eine Breite von N*δ, wobei N eine ganze Zahl ist und N ≥ 1.
  • 15C ist eine Draufsicht einer Halbleitervorrichtung 1502BB gemäß einigen Ausführungsformen.
  • Zellregion 1504BB von 15C ist ähnlich zu Zellregion 1504BA von 15B. Während 15B eine Instanz des Isolations-Dummy-Gates 1544B links von jedem der oberen linken und unteren linken ARs 1516B_1 enthält, enthält 15C M Instanzen des Isolations-Dummy-Gates 1544B links von jedem der oberen linken und unteren linken ARs 1516B_1, wobei M eine ganze Zahl ist und M ≥ 2. In 15C ist M = 4. Während 15B zwei Instanzen des Isolations-Dummy-Gates 1544B rechts von jedem der oberen linken und unteren linken ARs 1516B_1 und rechts vom rechten mittleren AR 1516B_1 enthält, enthält 15C N Instanzen des Isolations-Dummy-Gates 1544B rechts von jedem der oberen linken und unteren linken ARs 1516B_1 und links vom rechten mittleren AR 1516B_1, wobei N eine ganze Zahl ist und N ≥ 2. In 15C ist N = 4. Während 15B eine Instanz des Isolations-Dummy-Gates 1544B rechts vom rechten mittleren AR 1516B_1 enthält, enthält 15C Q Instanzen des Isolations-Dummy-Gates 1544B rechts vom rechten mittleren AR 1516B_1, wobei Q eine ganze Zahl ist und Q ≥ 2. In 15C ist Q = 4. Unter einigen Umständen bewirken Einschränkungen von Ätztechniken resultierend: ARs 1516B_1, 1516B_2 und 1516B_3 haben Formen (wie in der Explosionsansicht 1505C von 15C gezeigt), die eher bikonkaven (oder doppelt konkaven) Linsen als den in 15C gezeigten rechteckigen Formen ähneln; und ARs 416B_2 haben sechseckige Formen als die in 15C gezeigten rechteckigen Formen. Unter solchen Umständen (siehe Explosionsansicht 1505C von 15C) richten sich die spitzen Ecken der bikonkaven Instanzen der ARs 1516B_1, 1516B_2 und 1516B_3 mit spitzen Enden der sechseckigen Instanzen der ARs 416B_2 aus, was zu einem erhöhten Leckstrom führt. In einigen Ausführungsformen unter solchen Umständen (siehe Explosionsansicht 1505C von 15C) haben die zusätzlichen Instanzen des Isolations-Dummy-Gate 1544B einen Vorteil der Reduzierung des Leckstroms.
  • 16A ist ein Flussdiagramm eines Verfahrens 1600 zum Erzeugen eines Layoutdiagramms gemäß einigen Ausführungsformen.
  • Bei einem Block 1602 von 16 werden Strukturen aktiver Regionen erzeugt, die sich in einer ersten Richtung auf einem Substrat erstrecken. Ein Beispiel der ersten Richtung ist die X-Achse. Beispiele von Strukturen aktiver Regionen umfassen AR-Strukturen in den Gruppen 216A_1 und 216A_2 von AR-Strukturen von 2A, AR-Strukturen 316A_1, 316A_2 und 316A_1 von 3A oder dergleichen. Von Block 1602 geht der Ablauf zu Block 1606 über.
  • Bei Block 1604 ist eine erste Mehrheit der AR-Strukturen so angeordnet, dass erste Enden der ersten Mehrheit der AR-Strukturen mit einer imaginären ersten Referenzlinie ausgerichtet sind, die sich parallel zu der Y-Achse erstreckt. Die erste Referenzlinie ist parallel und proximal zu einer ersten Seite einer Zellregion. Beispiele einer solchen ersten Mehrheit von AR-Strukturen umfassen ARs in den Gruppen 216A_2 von 2A, die sich korrespondierend von einer imaginären zentralen Referenzlinie 219A von 2A zu einer ersten imaginären Referenzlinie 218A von 2A erstrecken, AR-Strukturen 316A_3 und ausgewählte AR-Strukturen 316A_2, die sich von einer imaginären zentralen Referenzlinie 319A von 3A zu einer ersten imaginären Referenzlinie 318A von 3A erstrecken, oder dergleichen. Fortfahrend mit dem Beispiel sind die ersten imaginären Linien 218A und 318A proximal und parallel zu korrespondierenden ersten Seiten 210AR und 310AR der korrespondierenden Zellregionen 204A und 304A. Von Block 1604 geht der Ablauf zu Block 1606 über.
  • Bei Block 1606 ist eine zweite Mehrheit der AR-Strukturen so angeordnet, dass zweite Enden der zweiten Mehrheit der AR-Strukturen mit einer imaginären zweiten Referenzlinie ausgerichtet sind, die sich parallel zu der Y-Achse erstreckt. Die zweite Referenzlinie ist parallel und proximal zu einer zweiten Seite der Zellregion. Beispiele einer solchen zweiten Mehrheit von AR-Strukturen umfassen ARs-Strukturen in den Gruppen 216A_1 von 2A, die sich korrespondierend von der imaginären zentralen Referenzlinie 219A von 2A zu einer zweiten imaginären Referenzlinie 220A in 2A erstrecken, und AR-Strukturen 316A_3 und ausgewählte AR-Strukturen 316A_2, die sich von der imaginären zentralen Referenzlinie 319A von 3A zu einer zweiten imaginären Referenzlinie 320A in 3A erstrecken, oder dergleichen. Fortfahrend mit dem Beispiel sind die zweiten imaginären Linien 220A und 320A proximal und parallel zu den zweiten Seiten 210AL und 310AL der korrespondierenden Zellregionen 204A und 304A. In einigen Ausführungsformen ist die zweite Mehrheit unterschiedlich zu der ersten Mehrheit. In einigen Ausführungsformen ist die zweite Mehrheit die gleiche wie die erste Mehrheit. Von Block 1606 geht der Ablauf zu einem Block 1608 über.
  • Bei Block 1608 werden Gate-Strukturen erzeugt. Die Gate-Strukturen sind im Wesentlichen parallel zueinander und erstrecken sich parallel zu der Y-Achse. Die Gate-Strukturen sind auf den Strukturen der aktiven Region überlagert. Beispiele solcher Gate-Strukturen sind Instanzen der Gate-Strukturen 222A und 322A der korrespondierenden 2A und 3A oder dergleichen. Von Block 1608 geht der Ablauf zu einem Block 1610 über.
  • Bei Block 1610 werden zukünftige Umwandlungs(FC)-Strukturen erzeugt. Beispiele solcher FC-Strukturen sind Instanzen der FC-Strukturen 224A und 324A der korrespondierenden 2A und 3A oder dergleichen. Von Block 1610 geht der Ablauf zu einem Block 1612 über.
  • Bei Block 1612 werden FC-Strukturen so angeordnet, dass sie Abschnitte einer oder mehrerer Gate-Strukturen und einer oder mehrerer AR-Strukturen an korrespondierenden dazwischenliegenden Regionen überlappen. Beispiele solcher dazwischenliegenden Regionen sind Instanzen der dazwischenliegenden Regionen 226A und 326A der korrespondierenden 2A und 3A.
  • 16B ist ein Flussdiagramm eines Verfahrens 1614 zum Herstellen einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
  • Das Verfahren 1614 ist zum Beispiel unter Verwendung des EDA-Systems 1800 (18, unten besprochen) und einer integrierten Schaltung (IC), des Herstellungssystems 1900 (19, unten besprochen) gemäß einigen Ausführungsformen implementierbar. Beispiele einer Halbleitervorrichtung, die gemäß dem Verfahren 1614 hergestellt werden kann, enthalten Halbleitervorrichtungen 202B, 302B, 402B, 502B, 602B, 702B, 802B, 902B, 1002B, 1102B, 1202B, 1302B, 1402B und 1502B der korrespondierenden 2B, 3B, 4A, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13, 14 und 15A, Halbleitervorrichtungen, die verschiedenen der hierin offenbarten Layoutdiagramme entsprechen, oder dergleichen.
  • In 16B umfasst das Verfahren 1614 die Blöcke 1616 -1618. Bei Block 1616 wird ein Layoutdiagramm erzeugt, das unter anderem eines oder mehrere der hierin offenbarten Layoutdiagramme enthält, z. B. ein Layoutdiagramm, das aus dem Verfahren 1600 von 16A resultiert, Layoutdiagramme, die den Halbleitervorrichtungen 202B, 302B, 402B, 502B, 602B, 702B, 802B, 902B, 1002B, 1102B, 1202B, 1302B, 1402B und 1502B der korrespondierenden 2B, 3B, 4A, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13, 14 und 15A oder dergleichen entsprechen. Der Block 1616 ist zum Beispiel unter Verwendung des EDA-Systems 1800 (18, unten besprochen) gemäß einigen Ausführungsformen implementierbar.
  • Insbesondere umfasst der Block 1616 das Erzeugen von Formen, die Strukturen in einem Halbleiterdiagramm entsprechen, die dargestellt werden sollen. Zum Beispiel: wenn das Layoutdiagramm, das erzeugt wird, der Zellregion 204A entspricht, umfasst der Block 1616 das Erzeugen von Formen, die den Strukturen entsprechen, die in der Zellregion 204B gezeigt sind; wenn das Layoutdiagramm, das erzeugt wird, der Zellregion 304A entspricht, umfasst der Block 1616 das Erzeugen von Formen, die den Strukturen entsprechen, die in der Zellregion 304B gezeigt sind; oder dergleichen. Von Block 1616 geht der Ablauf zu Block 1618 über.
  • Bei Block 1618 werden basierend auf dem Layoutdiagramm mindestens eines von (A) einer oder mehreren fotolithografischen Belichtungen vorgenommen oder (b) eine oder mehrere Halbleitermasken werden hergestellt oder (C) eine oder mehrere Komponenten in einer Schicht einer Halbleitervorrichtung werden hergestellt. Siehe Erörterung unten des IC-Herstellungssystems 1900 in 19 unten.
  • 17A-17B sind entsprechende Flussdiagramme eines Verfahrens 1700 zum Herstellen einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
  • Das Verfahren 1700 umfasst die Blöcke 1702 -1714. Bei Block 1702 werden aktive Regionen in einem Substrat gebildet, wobei sich die aktiven Regionen in einer ersten Richtung erstrecken. Ein Beispiel der ersten Richtung ist die X-Achse. Beispiele von AR-Strukturen in Layoutdiagrammen, die den aktiven Regionen im Substrat entsprechen, die sich in der ersten Richtung erstrecken, umfassen die AR-Strukturen der 2A und 3A oder dergleichen. Beispiele von aktiven Regionen, die den aktiven Regionen im Substrat entsprechen, die sich in der ersten Richtung erstrecken, umfassen die AR-Regionen der 2A und 3B oder dergleichen. Block 1702 umfasst die Blöcke 1704 und 1706.
  • Bei Block 1704 wird eine erste Mehrheit der aktiven Regionen mit ersten Enden gebildet, die mit einer imaginären ersten Referenzlinie ausgerichtet sind, die sich in einer zweiten Richtung senkrecht zu der ersten Richtung erstreckt. Ein Beispiel der zweiten Richtung ist die Y-Achse. Eine erste Seite einer Zellregion ist parallel und proximal zu der ersten Referenzlinie. Beispiele einer solchen ersten Mehrheit von aktiven Regionen umfassen ARs in den Gruppen 216B_2 von 2B, die sich korrespondierend von einer imaginären zentralen Referenzlinie 219B von 2B zu einer ersten imaginären Referenzlinie 218B von 2B erstrecken, aktive Regionen 316B_3 und ausgewählte aktive Regionen 316B_2, die sich von einer imaginären zentralen Referenzlinie 319B von 3B zu einer ersten imaginären Referenzlinie 318B von 3B erstrecken, oder dergleichen. Fortfahrend mit dem Beispiel sind die ersten imaginären Linien 218B und 318B proximal und parallel zu korrespondierenden ersten Seiten 210BR und 310BR der korrespondierenden Zellregionen 204B und 304B. Von Block 1704 geht der Ablauf zu Block 1706 über.
  • Bei Block 1706 wird eine zweite Mehrheit der aktiven Regionen mit zweiten Enden gebildet, die mit einer imaginären zweiten Referenzlinie ausgerichtet sind, die sich in der zweiten Richtung erstreckt. Eine zweite Seite einer Zellregion ist parallel und proximal zu der zweiten Referenzlinie. Beispiele einer solchen zweiten Mehrheit von aktiven Regionen umfassen ARs Strukturen in den Gruppen 216B_1 von 2B, die sich korrespondierend von der imaginären zentralen Referenzlinie 219B von 2B zu einer zweiten imaginären Referenzlinie 220B in 2B erstrecken, und aktive Regionen 316B_3 und ausgewählte aktive Regionen 316B_2, die sich von der imaginären zentralen Referenzlinie 319B von 3B zu einer zweiten imaginären Referenzlinie 320B in 3B erstrecken, oder dergleichen. Fortfahrend mit dem Beispiel sind die zweiten imaginären Linien 220B und 320B proximal und parallel zu den zweiten Seiten 210BL und 310BL der korrespondierenden Zellregionen 204B und 304B. In einigen Ausführungsformen ist die zweite Mehrheit unterschiedlich zu der ersten Mehrheit. In einigen Ausführungsformen ist die zweite Mehrheit die gleiche wie die erste Mehrheit. Von Block 1706 geht der Ablauf zu Block 1708 über.
  • Bei Block 1708 werden Gate-Strukturen auf ersten und zweiten der AR gebildet. Beispiele von Gate-Strukturen, die den Gate-Strukturen entsprechen, die den Gate-Strukturen 222A und 322A der korrespondierenden 2A und 3A oder dergleichen entsprechen. Beispiele von Gate-Strukturen Gate-Strukturen 222B und 322B der korrespondierenden 2A und 3A oder dergleichen. Von Block 1708 geht der Ablauf zu Block 1710 über.
  • Bei Block 1710 werden ausgewählte Gate-Strukturen in korrespondierende Isolations-Dummy-Gates umgewandelt, so dass keine der Gate-Strukturen eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen einnimmt. In einigen Ausführungsformen umfasst die gleichzeitige Ausbildung der Isolations-Dummy-Gates mehrere Schritte, umfassend: Opfern/Entfernen (z.B. Ätzen) jedes der Opferabschnitte der ausgewählten Gate-Strukturen, die die dazwischenliegende Region repräsentieren, um einen Graben zu bilden, der die Gate-Struktur und die AR unter der Gate-Struktur entfernt. Beispiele von FC-Strukturen (basierend darauf, welche Isolations-Dummy-Gates gebildet sind) und korrespondierende dazwischenliegende Regionen umfassen FC-Strukturen 224A und 324A, die korrespondierende dazwischenliegende Regionen 226A und 326A der korrespondierenden 2A und 3A oder dergleichen belegen. Beispiele von Isolations-Dummy-Gates und korrespondierenden dazwischenliegenden Regionen umfassen Isolations-Dummy-Gates 244B und 344B, die korrespondierende dazwischenliegende Regionen 226B und 326B der korrespondierenden 2B und 3B oder dergleichen belegen. Von Block 1710 geht der Ablauf zu Block 1712 über.
  • In 17B umfasst Block 1710 die Blöcke 1712 und 1714. Bei Block 1712 werden Opferabschnitte der ausgewählten Gate-Strukturen entfernt, was in korrespondierenden Leerräumen resultiert. Relativ zu der ersten Richtung überlappen Opferabschnitte ausgewählter der Gate-Strukturen korrespondierend erste und zweite aktive Regionen an korrespondierenden anliegenden Flächen, wo die ersten und zweiten aktiven Regionen an die dazwischenliegende Region angrenzen. Relativ zu einer dritten Richtung, z. B. der Z-Achse, senkrecht zu jeder der ersten und zweiten Richtungen weisen die Leerräume eine erste Tiefe auf. Das Entfernen von Abschnitten der ausgewählten Gate-Strukturen legt Opferabschnitte der ersten und zweiten aktiven Regionen frei, die korrespondierend unter den Opferabschnitten der ausgewählten Gate-Strukturen liegen. In einigen Ausführungsformen werden die Opferabschnitte der ersten und zweiten aktiven Regionen entfernt, wodurch die Leerräume korrespondierend zu einer zweiten Tiefe vertieft werden, die größer als die erste Tiefe ist. Beispiele solcher Leerräume umfassen die Leerräume AR-Schicht 394 in 3C-3D, die durch korrespondierende Isolations-Dummy-Gates 344B oder dergleichen gefüllt werden. Von Block 1712 geht der Ablauf zu Block 1714 über.
  • Bei Block 1714 werden die Leerräume mit isolierendem Material gefüllt. Das Füllen der Leerräume füllt die Leerräume zu der zweiten Tiefe mit dem isolierenden Material. Beispiele des isolierenden Materials umfassen das isolierende Material, das Isolations-Dummy-Gates 344B in 3C-3D oder dergleichen umfasst. Isolations-Dummy-Gates trennen eine oder mehrere aktive Regionen in einen ersten Abschnitt und einen zweiten Abschnitt. Der erste und der zweite Abschnitt sind durch die Isolations-Dummy-Gate-Struktur getrennt.
  • 18 ist ein Blockdiagramm eines Systems 1800 der elektronischen Designautomatisierung (EDA) gemäß einigen Ausführungsformen.
  • In einigen Ausführungsformen umfasst das EDA-System 1800 ein APR-System. Das Verfahren des Flussdiagramms 1700 von 17 ist zum Beispiel unter Verwendung des EDA-Systems 1800 gemäß einigen Ausführungsformen implementiert, um eine Instanz von nicht vollständig projizierten Zellstrukturen 204B, 304B, 404B, 504B, 604B, 704B, 804B, 904B, 1004B, 1104B, 1204B, 1304B, 1404B oder 1504B zu erzeugen, oder andere geeignete Strukturen liegen innerhalb des vorgesehenen Umfangs der Offenbarung.
  • In einigen Ausführungsformen ist das EDA-System 1800 eine Allzweck-Rechenvorrichtung, die einen Hardwareprozessor 1802 und ein nichtflüchtiges, computerlesbares Speichermedium 1804 umfasst. Das Speichermedium 1804 ist unter anderem mit Computerprogrammcode 1806, d.h. einem Satz von ausführbaren Anweisungen, codiert, d.h. dieser speichert. Die Ausführung der Anweisungen 1806 durch den Hardwareprozessor 1802 repräsentiert (zumindest teilweise) ein EDA-Werkzeug, das einen Teil oder alle von z.B. den Verfahren von 16A, 16B, 17A und 17B gemäß einer oder mehreren Ausführungsformen (im Folgenden die erwähnten Prozesse und/oder Verfahren) implementiert. Das Speichermedium 1804 speichert unter anderem das Layoutdiagramm 1816, das die Layoutdiagramme 202A und 302A enthält, und andere Layoutdiagramme von dergleichen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Prozessor 1802 ist über einen Bus 1808 elektrisch mit dem computerlesbaren Speichermedium 1804 gekoppelt. Der Prozessor 1802 ist ferner durch den Bus 1808 elektrisch mit einer E/A-Schnittstelle 1810 gekoppelt. Eine Netzwerkschnittstelle 1812 ist ferner über den Bus 1808 elektrisch mit dem Prozessor 1802 verbunden. Die Netzwerkschnittstelle 1812 ist mit einem Netzwerk 1814 verbunden, so dass der Prozessor 1802 und das computerlesbare Speichermedium 1804 in der Lage sind, sich über das Netzwerk 1814 mit externen Elementen zu verbinden. Der Prozessor 1802 ist konfiguriert, um Computerprogrammcode 1806 auszuführen, der im computerlesbaren Speichermedium 1804 codiert ist, um zu bewirken, dass das System 1800 zum Durchführen eines Teils oder aller der erwähnten Prozesse und/oder Verfahren verwendbar ist. In einer oder mehreren Ausführungsformen ist der Prozessor 1802 eine Zentraleinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einer oder mehreren Ausführungsformen ist das computerlesbare Speichermedium 1804 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder ein Halbleitersystem (oder eine Vorrichtung oder Vorrichtung). Zum Beispiel umfasst das computerlesbare Speichermedium 1804 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Nur-Lese-Speicher (ROM), eine starre Magnetplatte und/oder eine optische Platte. In einer oder mehreren Ausführungsformen, die optische Platten verwenden, umfasst das computerlesbare Speichermedium 1804 einen Compact Disk-Nur-Lese-Speicher (CD-ROM), einen Compact Disk-Lese/Schreib-Speicher (CD-R/W) und/oder eine digitale Videoplatte (DVD).
  • In einer oder mehreren Ausführungsformen speichert das Speichermedium 1804 Computerprogrammcode 1806, der konfiguriert ist, um zu bewirken, dass das System 1800 (wobei eine solche Ausführung (zumindest teilweise) das EDA-Werkzeug repräsentiert) zum Durchführen eines Teils oder aller der erwähnten Prozesse und/oder Verfahren verwendbar ist. In einer oder mehreren Ausführungsformen speichert das Speichermedium 1804 ferner Informationen, die das Durchführen eines Teils oder aller der erwähnten Prozesse und/oder Verfahren ermöglichen. In einer oder mehreren Ausführungsformen speichert das Speichermedium 1804 die Bibliothek 1820 von Standardzellen, die solche Standardzellen enthalten, wie hierin offenbart.
  • Das EDA-System 1800 umfasst die E/A-Schnittstelle 1810. Die E/A-Schnittstelle 1810 ist mit externen Schaltungen gekoppelt. In einer oder mehreren Ausführungsformen umfasst die E/A-Schnittstelle 1810 eine Tastatur, ein Tastenfeld, eine Maus, einen Trackball, ein Trackpad, einen Touchscreen und/oder Cursor-Richtung-Tasten zum Kommunizieren von Informationen und Befehlen an den Prozessor 1802.
  • Das EDA-System 1800 umfasst ferner die Netzwerkschnittstelle 1812, die mit dem Prozessor 1802 gekoppelt ist. Die Netzwerkschnittstelle 1812 ermöglicht es dem System 1800, mit dem Netzwerk 1814 zu kommunizieren, mit dem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 1812 umfasst drahtlose Netzwerkschnittstellen wie BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder drahtgebundene Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-1364. In einer oder mehreren Ausführungsformen ist ein Teil oder alle der erwähnten Prozesse und/oder Verfahren in zwei oder mehr Systemen 1800 implementiert.
  • Das System 1800 ist konfiguriert, um Informationen durch die E/A-Schnittstelle 1810 zu empfangen. Die durch die E/A-Schnittstelle 1810 empfangenen Informationen umfassen eine oder mehrere von Anweisungen, Daten, Design-Regeln, Bibliotheken von Standardzellen und/oder andere Parameter zur Verarbeitung durch den Prozessor 1802. Die Informationen werden über den Bus 1808 an den Prozessor 1802 übertragen. Das EDA-System 1800 ist konfiguriert, um Informationen, die sich auf eine UI beziehen, durch die E/A-Schnittstelle 1810 zu empfangen. Die Informationen werden im computerlesbaren Medium 1804 als Benutzerschnittstelle (UI) 1818 gespeichert.
  • In einigen Ausführungsformen ist ein Teil oder alle der erwähnten Prozesse und/oder Verfahren als eine eigenständige Softwareanwendung zur Ausführung durch einen Prozessor implementiert. In einigen Ausführungsformen ist ein Teil oder alle der erwähnten Prozesse und/oder Verfahren als eine Softwareanwendung implementiert, die ein Teil einer zusätzlichen Softwareanwendung ist. In einigen Ausführungsformen ist ein Teil oder alle der erwähnten Prozesse und/oder Verfahren als ein Stecker zu einer Softwareanwendung implementiert. In einigen Ausführungsformen ist mindestens einer der erwähnten Prozesse und/oder Verfahren als eine Softwareanwendung implementiert, die ein Teil eines EDA-Werkzeugs ist. In einigen Ausführungsformen ist ein Teil oder alle der erwähnten Prozesse und/oder Verfahren als eine Softwareanwendung implementiert, die vom EDA-System 1800 verwendet wird. In einigen Ausführungsformen wird ein Layout, das Standardzellen enthält, unter Verwendung eines Werkzeugs wie VIRTUOSO<sup>®, das von CADENCE DESIGN SYSTEMS, Inc. erhältlich ist, oder eines anderen geeigneten Layout-Erzeugungswerkzeugs erzeugt.
  • In einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms realisiert, das in einem nichtflüchtigen, computerlesbaren Aufzeichnungsmedium gespeichert ist. Beispiele eines nichtflüchtigen, computerlesbaren Aufzeichnungsmediums umfassen, sind aber nicht beschränkt auf, externe/entfernbare und/oder interne/eingebaute Speicher- oder Speichereinheit, z. B. eine oder mehrere einer optischen Platte, wie eine DVD, eine Magnetplatte, wie eine Festplatte, einen Halbleiterspeicher, wie einen ROM, einen RAM, eine Speicherkarte und dergleichen.
  • 19 ist ein Blockdiagramm eines Herstellungssystems 1900 einer integrierten Schaltung (IC) und eines damit verbundenen IC-Herstellungsablaufs gemäß einigen Ausführungsformen.
  • Nach Block 1616 von 16B wird basierend auf dem Layout mindestens eine von (A) einer oder mehreren Halbleitermasken oder (B) mindestens eine Komponente in einer Schicht einer integrierten Inchoathalbleiterschaltung unter Verwendung des Herstellungssystems 1900 hergestellt. In einigen Ausführungsformen wird nach Block 1702 von 17 basierend auf dem Layout mindestens eine von (A) einer oder mehreren Halbleitermasken oder (B) mindestens eine Komponente in einer Schicht einer integrierten Inchoathalbleiterschaltung hergestellt.
  • In 19 umfasst das IC-Herstellungssystem 1900 Entitäten, wie beispielsweise ein Designhaus 1920, ein Maskenhaus 1930 und einen IC-Hersteller/-Hersteller („fab“) 1940, die im Design, der Entwicklung und den Herstellungszyklen und/oder -diensten in Bezug auf die Herstellung einer IC-Vorrichtung 1960 miteinander interagieren. Die Entitäten im System 1900 sind durch ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl von verschiedenen Netzwerken, wie beispielsweise ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst drahtgebundene und/oder drahtlose Kommunikationskanäle. Jede Entität interagiert mit einer oder mehreren der anderen Entitäten und liefert Dienste an eine oder mehrere der anderen Entitäten und/oder empfängt Dienste von diesen. In einigen Ausführungsformen gehören zwei oder mehr von Designhaus 1920, Maskenhaus 1930 und IC-Fab 1940 einer einzelnen größeren Firma. In einigen Ausführungsformen koexistieren zwei oder mehr von Designhaus 1920, Maskenhaus 1930 und IC-Fab 1940 in einer gemeinsamen Einrichtung und verwenden gemeinsame Ressourcen.
  • Designhaus (oder Designteam) 1920 erzeugt ein IC-Designlayout 1922. IC-Designlayout 1922 enthält verschiedene geometrische Strukturen, die für eine IC-Vorrichtung 1960 entworfen wurden. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten der herzustellenden IC-Vorrichtung 1960 ausmachen. Die verschiedenen Schichten kombinieren, um verschiedene IC-Merkmale auszubilden. Zum Beispiel enthält ein Abschnitt von IC-Designlayout 1922 verschiedene IC-Merkmale, wie eine aktive Region, Gate-Elektrode, Source und Drain, Metallleitungen oder Durchkontaktierungen einer Zwischenschichtverbindung und Öffnungen für Bondpads, die in einem Halbleitersubstrat (wie einem Siliziumwafer) ausgebildet werden sollen, und verschiedene Materialschichten, die auf dem Halbleitersubstrat angeordnet sind. Designhaus 1920 implementiert ein ordnungsgemäßes Designverfahren, um IC-Designlayout 1922 auszubilden. Das Designverfahren enthält eines oder mehrere von Logikdesign, physischem Design oder Ort und Route. IC-Designlayout 1922 ist in einer oder mehreren Datendateien mit Informationen der geometrischen Strukturen dargestellt. Zum Beispiel ist IC-Designlayout 1922 in einem GDSII-Dateiformat oder DFII-Dateiformat ausgedrückt.
  • Maskenhaus 1930 umfasst Datenvorbereitung 1932 und Maskenherstellung 1934. Maskenhaus 1930 verwendet IC-Designlayout 1922, um eine oder mehrere Masken herzustellen, die zum Herstellen der verschiedenen Schichten von IC-Vorrichtung 1960 gemäß IC-Designlayout 1922 verwendet werden sollen. Das Maskenhaus 1930 führt Maskendatenvorbereitung 1932 durch, wobei IC-Designlayout 1922 in eine repräsentative Datendatei („RDF“) übersetzt wird. Die Maskendatenvorbereitung 1932 liefert die RDF an die Maskenherstellung 1934. Die Maskenherstellung 1934 umfasst einen Maskenschreiber. Ein Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat um, wie beispielsweise eine Maske (Retikel) oder einen Halbleiterwafer. Das Designlayout wird durch die Maskendatenvorbereitung 1932 manipuliert, um bestimmten Eigenschaften des Maskenschreibers und/oder Anforderungen der IC-Fab 1940 zu entsprechen. In 19 sind die Maskendatenvorbereitung 1932, die Maskenherstellung 1934 und die Maske 1945 als separate Elemente veranschaulicht. In einigen Ausführungsformen werden die Maskendatenvorbereitung 1932 und die Maskenherstellung 1934 gemeinsam als Maskendatenvorbereitung bezeichnet.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 1932 eine optische Nahbereichskorrektur (OPC), die lithografische Anreicherungstechniken verwendet, um Bildfehler zu kompensieren, wie beispielsweise diejenigen, die aus Beugung, Interferenz, anderen Prozesseffekten und dergleichen entstehen können. OPC passt IC-Designlayout 1922 an. In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 1932 weitere Techniken zur Anreicherung der Auflösung (RET), wie beispielsweise außeraxiale Beleuchtung, Subauflösungsunterstützungsmerkmale, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird ferner inverse Lithografietechnologie (ILT) verwendet, die OPC als ein inverses Abbildungsproblem behandelt.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 1932 einen Maskenregelprüfer (MRC), der das IC-Designlayout prüft, das Prozessen in OPC mit einem Satz von Maskenerzeugungsregeln unterzogen wurde, die bestimmte geometrische und/oder Konnektivitätseinschränkungen enthalten, um ausreichende Ränder sicherzustellen, um Variabilität in Halbleiterherstellungsprozessen und dergleichen zu berücksichtigen. In einigen Ausführungsformen modifiziert der MRC das IC-Designlayout, um Einschränkungen während der Maskenherstellung 1934 zu kompensieren, die einen Teil der durch OPC durchgeführten Modifikationen rückgängig machen können, um Maskenerzeugungsregeln zu erfüllen.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 1932 eine Lithografieprozessprüfung (LPC), die eine Verarbeitung simuliert, die durch die IC-Fab 1940 implementiert werden wird, um die IC-Vorrichtung 1960 herzustellen. LPC simuliert diese Verarbeitung basierend auf IC-Designlayout 1922, um eine simulierte hergestellte Vorrichtung, wie beispielsweise IC-Vorrichtung 1960, herzustellen. Die Verarbeitungsparameter in der LPC-Simulation können Parameter, die mit verschiedenen Prozessen des IC-Herstellungszyklus assoziiert sind, Parameter, die mit Werkzeugen assoziiert sind, die zur Herstellung der IC verwendet werden, und/oder andere Aspekte des Herstellungsprozesses umfassen. LPC berücksichtigt verschiedene Faktoren, wie beispielsweise Luftbildkontrast, Schärfentiefe („DOF“), Maskenfehleranreicherungsfaktor („MEEF“), andere geeignete Faktoren und dergleichen oder Kombinationen davon. In einigen Ausführungsformen werden, nachdem eine simulierte hergestellte Vorrichtung durch LPC hergestellt wurde, OPC und/oder MRC wiederholt, um das IC-Designlayout 1922 weiter zu verfeinern, wenn die simulierte Vorrichtung nicht nahe genug in der Form ist, um Design-Regeln zu erfüllen.
  • Es versteht sich, dass die obige Beschreibung der Maskendatenvorbereitung 1932 zum Zweck der Klarheit vereinfacht wurde. In einigen Ausführungsformen umfasst die Datenvorbereitung 1932 zusätzliche Merkmale, wie beispielsweise eine Logikoperation (LOP), um das IC-Designlayout gemäß Herstellungsregeln zu modifizieren. Zusätzlich können die während der Datenvorbereitung 1932 auf das IC-Designlayout 1922 angewendeten Prozesse in einer Vielzahl von verschiedenen Reihenfolgen ausgeführt werden.
  • Nach der Maskendatenvorbereitung 1932 und während der Maskenherstellung 1934 werden eine Maske 1945 oder eine Gruppe von Masken basierend auf dem modifizierten IC-Designlayout hergestellt. In einigen Ausführungsformen wird ein Elektronenstrahl (E-Strahl) oder ein Mechanismus von mehreren E-Strahlen verwendet, um eine Struktur auf einer Maske (Fotomaske oder Retikel) basierend auf dem modifizierten IC-Designlayout auszubilden. Die Masken werden in verschiedenen Technologien ausgebildet. In einigen Ausführungsformen wird die Maske unter Verwendung von Binärtechnologie ausgebildet. In einigen Ausführungsformen umfasst eine Maskenstruktur opake Regionen und transparente Regionen. Ein Strahlungsstrahl, wie beispielsweise ein Ultraviolett(UV)-Strahl, der verwendet wird, um die bildempfindliche Materialschicht (z. B. Fotolack), die auf einem Wafer beschichtet wurde, freizulegen, wird durch die opake Region blockiert und durchstrahlt die transparenten Regionen. In einem Beispiel umfasst eine binäre Maske ein transparentes Substrat (z. B. Quarzglas) und ein opakes Material (z. B. Chrom), das in den opaken Regionen der Maske beschichtet ist. In einem anderen Beispiel wird die Maske unter Verwendung einer Phasenverschiebungstechnologie ausgebildet. In der Phasenverschiebungsmaske (PSM) sind verschiedene Merkmale in der Struktur, die auf der Maske ausgebildet ist, so konfiguriert, dass sie eine ordnungsgemäße Phasendifferenz aufweisen, um die Auflösung und Abbildungsqualität zu verbessern. In verschiedenen Beispielen ist die Phasenverschiebungsmaske eine abgeschwächte PSM oder alternierende PSM. Die Maske(n), die durch Maskenherstellung 1934 erzeugt wird/werden, wird/werden in einer Vielzahl von Prozessen verwendet. Zum Beispiel wird/werden eine solche Maske(n) in einem Ionenimplantationsprozess verwendet, um verschiedene dotierte Regionen im Halbleiterwafer zu bilden, in einem Ätzprozess, um verschiedene Ätzregionen im Halbleiterwafer zu bilden, und/oder in anderen geeigneten Prozessen.
  • IC-Fab 1940 ist ein IC-Herstellungsgeschäft, das eine oder mehrere Herstellungseinrichtungen für die Herstellung einer Vielzahl von verschiedenen IC-Produkten umfasst. In einigen Ausführungsformen ist IC-Fab 1940 eine Halbleitergießerei. Zum Beispiel kann es eine Herstellungseinrichtung für die Front-End-Herstellung einer Mehrzahl von IC-Produkten (Front-End-of-Line (FEOL)-Herstellung) geben, während eine zweite Herstellungseinrichtung die Back-End-Herstellung für die Verbindung und das Packaging der IC-Produkte (Back-End-of-Line (BEOL)-Herstellung) liefern kann, und eine dritte Herstellungseinrichtung kann andere Dienste für das Gießereigeschäft liefern.
  • IC-Fab 1940 verwendet die Maske (oder Masken), die durch das Maskenhaus 1930 hergestellt wurde, um IC-Vorrichtung 1960 unter Verwendung von Herstellungswerkzeugen 1952 herzustellen. Somit verwendet IC-Fab 1940 zumindest indirekt IC-Designlayout 1922, um IC-Vorrichtung 1960 herzustellen. In einigen Ausführungsformen wird ein Halbleiterwafer 1942 durch IC-Fab 1940 unter Verwendung der Maske (oder Masken) hergestellt, um IC-Vorrichtung 1960 zu bilden. Halbleiterwafer 1942 umfasst ein Siliziumsubstrat oder ein anderes ordnungsgemäßes Substrat mit darauf ausgebildeten Materialschichten. Der Halbleiterwafer umfasst ferner eine oder mehrere von verschiedenen dotierten Regionen, dielektrischen Merkmalen, Mehrebenenverbindungen und dergleichen (die bei nachfolgenden Herstellungsschritten ausgebildet werden).
  • Details in Bezug auf ein Herstellungssystem einer integrierten Schaltung (IC) (z. B. System 1900 von 19) und einen damit verbundenen IC-Herstellungsablauf finden sich z. B. in US-Patent Nr. 9,256,709 , erteilt am 9. Februar 2016, US-Vor-Erteilung-Veröffentlichung Nr. 20150278429, veröffentlicht am 1. Oktober 2015, US-US-Vor-Erteilung-Veröffentlichung Nr. 20140040838, veröffentlicht am 6. Februar 2014, und US-Patent Nr. 7,260,442 , erteilt am 21. August 2007, deren Gesamtheit jeweils durch Bezugnahme hierin aufgenommen sind.
  • In einigen Ausführungsformen umfasst eine Halbleitervorrichtung ein Substrat; und eine Zellregion mit gegenüberliegenden ersten und zweiten Seiten relativ zu einer ersten Richtung, wobei die Zellregion aktive Regionen umfasst, die im Substrat gebildet sind, die sich in der ersten Richtung erstrecken; wobei relativ zu einer imaginären ersten Referenzlinie in einer zweiten Richtung senkrecht zu der ersten Richtung eine erste Mehrheit der aktiven Regionen erste Enden aufweist, die mit der ersten Referenzlinie ausgerichtet sind, wobei die erste Seite parallel und proximal zu der ersten Referenzlinie ist; relativ zu einer imaginären zweiten Referenzlinie in der zweiten Richtung eine zweite Mehrheit der aktiven Regionen zweite Enden aufweist, die mit der zweiten Referenzlinie ausgerichtet sind, wobei die zweite Seite parallel und proximal zu der zweiten Referenzlinie ist; und Gate-Strukturen entsprechend auf ersten und zweiten der aktiven Regionen; und wobei, relativ zu der zweiten Richtung, ein erstes Ende einer ausgewählten der Gate-Strukturen an eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen angrenzt.
  • In einigen Ausführungsformen umfasst die Halbleitervorrichtung ferner ein erstes Isolations-Dummy-Gate, das in der dazwischenliegenden Region gebildet ist. In einigen Ausführungsformen enthält die Halbleitervorrichtung ferner einen Abschnitt der Halbleitervorrichtung mit geringerem Leck, in dem jede darin enthaltene aktive Region eine erste Höhe relativ zu der zweiten Richtung aufweist; und einen Abschnitt der Halbleitervorrichtung mit höherem Leck, in dem jede darin enthaltene aktive Region eine zweite Höhe relativ zu der zweiten Richtung aufweist, wobei die zweite Höhe größer als die erste Höhe ist; und wobei die erste aktive Region im Abschnitt mit geringerem Leck enthalten ist; und jede aktive Region mit der ersten Höhe einen Leckstrom bei oder unter einem vorbestimmten Schwellenwert aufweist. In einigen Ausführungsformen enthält die Halbleitervorrichtung ferner einen Abschnitt der Halbleitervorrichtung mit höherem Leck, wobei sich die zweite aktive Region im Wesentlichen innerhalb des Abschnitts mit höherem Leck befindet und die zweite aktive Region mit einer Höhe konfiguriert ist, die größer als die Höhe der ersten aktiven Region ist und Schaltgeschwindigkeiten innerhalb eines vorbestimmten Schwellenwerts unterstützt. In einigen Ausführungsformen sind relativ zu der ersten Richtung die ersten und zweiten aktiven Regionen durch die dazwischenliegende Region getrennt. In einigen Ausführungsformen erstreckt sich eine lange Achse des ersten Isolations-Dummy-Gate in der zweiten Richtung. In einigen Ausführungsformen ist die erste aktive Region eine von einer Mehrzahl von ersten aktiven Regionen; und die Halbleitervorrichtung enthält ferner einen Abschnitt der Halbleitervorrichtung mit geringerem Leck, in dem jede darin enthaltene aktive Region eine erste Höhe relativ zu der zweiten Richtung aufweist; einen Abschnitt der Halbleitervorrichtung mit höherem Leck, in dem jede darin enthaltene aktive Region eine zweite Höhe relativ zu der zweiten Richtung aufweist, wobei die zweite Höhe größer als die erste Höhe ist; und der Abschnitt mit geringerem Leck enthält einen wesentlichen Teil der Mehrzahl von ersten aktiven Regionen; und Elemente der Mehrzahl von ersten aktiven Regionen sind durch entsprechende Lücken mit einer Größe S_1 voneinander getrennt.
  • In einigen Ausführungsformen ist die zweite aktive Region eine von einer Mehrzahl von zweiten aktiven Regionen; und relativ zu der ersten Richtung enthält ein Abschnitt mit höherem Leck einen wesentlichen Teil der Mehrzahl von zweiten aktiven Regionen; relativ zu der ersten Richtung weist die Mehrzahl von ersten aktiven Regionen eine erste Größe auf und die Mehrzahl von zweiten aktiven Regionen weist eine zweite Größe auf, wobei die zweite Größe größer als die erste Größe ist; und Elemente der Mehrzahl von zweiten aktiven Regionen sind durch entsprechende Lücken mit der Größe S_1 voneinander getrennt. In einigen Ausführungsformen trennt ein zweites Isolations-Dummy-Gate eine oder mehrere erste aktive Regionen einer Mehrzahl von ersten aktiven Regionen in einen ersten Teil und einen zweiten Teil der einen oder mehreren ersten aktiven Regionen, wobei der erste und der zweite Teil durch die zweite Isolations-Dummy-Gate-Struktur getrennt sind. In einigen Ausführungsformen enthält die Halbleitervorrichtung ferner ein drittes Isolations-Dummy-Gate, das eine oder mehrere zweite aktive Regionen einer Mehrzahl von zweiten aktiven Regionen in einen ersten Teil und einen zweiten Teil der einen oder mehreren zweiten aktiven Regionen trennt, wobei der erste und der zweite Teil durch die dritte Isolations-Dummy-Gate-Struktur getrennt sind.
  • In einigen Ausführungsformen umfasst eine Halbleitervorrichtung eine Zellregion, die aktive Regionen umfasst, die sich in einer ersten Richtung erstrecken; relativ zu einer imaginären zentralen Referenzlinie, die sich in einer zweiten Richtung senkrecht zu der ersten Richtung erstreckt, wobei die Zellregion so angeordnet ist, dass sich die zentrale Referenzlinie zentral innerhalb der Zellregion relativ zu der ersten Richtung befindet; relativ zu einer imaginären ersten Referenzlinie, die sich in der zweiten Richtung erstreckt, wobei sich erste Endabschnitte von zwei oder mehr der aktiven Regionen über eine maximale Distanz in der ersten Richtung von der zentralen Referenzlinie zu der ersten Referenzlinie erstrecken, wobei ein erster Rand der Zellregion parallel und proximal zu der ersten Referenzlinie ist; relativ zu einer imaginären zweiten Referenzlinie, die sich in der zweiten Richtung erstreckt, wobei sich zweite Endabschnitte von zwei oder mehr der aktiven Regionen über die maximale Distanz von der zentralen Referenzlinie zu der zweiten Referenzlinie erstrecken, wobei ein zweiter Rand der Zellregion parallel und proximal zu der zweiten Referenzlinie ist, wobei sich der zweite Rand auf einer gegenüberliegenden Seite der Zellregion relativ zu dem ersten Rand befindet; und erste und zweite der aktiven Regionen korrespondierende lange Achsen in der ersten Richtung aufweisen, die kollinear sind, wobei die ersten und zweiten aktiven Regionen durch eine erste dazwischenliegende Region getrennt sind.
  • In einigen Ausführungsformen umfasst die Halbleitervorrichtung ferner ein Isolations-Dummy-Gate in der ersten dazwischenliegenden Region. In einigen Ausführungsformen weist jede der ersten und zweiten aktiven Regionen eine erste Höhe in der zweiten Richtung auf; und die Zellregion umfasst ferner dritte und vierte der aktiven Regionen, die korrespondierende lange Achsen in der ersten Richtung aufweisen, die kollinear sind, wobei die dritten und vierten aktiven Regionen durch eine zweite dazwischenliegende Region getrennt sind, und jede der dritten und vierten aktiven Regionen die erste Höhe in der zweiten Richtung aufweist; und eine fünfte der aktiven Regionen, die zwischen den ersten und zweiten aktiven Regionen relativ zu jeder der ersten und zweiten Richtungen ist und zwischen den dritten und vierten aktiven Regionen relativ zu jeder der ersten und zweiten Richtungen ist. In einigen Ausführungsformen überlappt relativ zu der ersten Richtung die fünfte aktive Region eine der ersten, zweiten, dritten oder vierten aktiven Regionen nicht. In einigen Ausführungsformen umfasst die Halbleitervorrichtung ferner relativ zu der ersten Richtung ein erstes Isolations-Dummy-Gate zwischen der fünften aktiven Region und der ersten aktiven Region; oder relativ zu der ersten Richtung ein zweites Isolations-Dummy-Gate zwischen der fünften aktiven Region und der zweiten aktiven Region; oder relativ zu der ersten Richtung ein drittes Isolations-Dummy-Gate zwischen der fünften aktiven Region und der dritten aktiven Region; oder relativ zu der ersten Richtung ein viertes Isolations-Dummy-Gate zwischen der fünften aktiven Region und der vierten aktiven Region. In einigen Ausführungsformen überlappt relativ zu der zweiten Richtung die fünfte aktive Region jede der ersten und zweiten aktiven Regionen zumindest teilweise; oder relativ zu der zweiten Richtung überlappt die fünfte aktive Region jede der dritten und vierten aktiven Regionen zumindest teilweise. In einigen Ausführungsformen erstrecken sich erste Endteile von ersten und dritten aktiven Regionen um den maximalen Abstand in der ersten Richtung von der zentralen Referenzlinie zu der ersten Referenzlinie; oder zweite Endteile der dritten und vierten aktiven Regionen erstrecken sich um den maximalen Abstand von der zentralen Referenzlinie zu der zweiten Referenzlinie.
  • In einigen Ausführungsformen umfasst ein Verfahren zum Bilden einer Halbleitervorrichtung das Bilden aktiver Regionen in einem Substrat, wobei sich die aktiven Regionen korrespondierend in einer ersten Richtung erstrecken, wobei das Bilden aktiver Regionen umfasst; relativ zu einer imaginären ersten Referenzlinie in einer zweiten Richtung senkrecht zu der ersten Richtung, Bilden einer ersten Mehrheit der aktiven Regionen mit ersten Enden, die mit der ersten Referenzlinie ausgerichtet sind, wobei eine erste Seite einer Zellregion parallel und proximal zu der ersten Referenzlinie ist, wobei die Zellregion die aktiven Regionen umfasst; relativ zu einer imaginären zweiten Referenzlinie in der zweiten Richtung, Bilden einer zweiten Mehrheit der aktiven Regionen mit zweiten Enden, die mit der zweiten Referenzlinie ausgerichtet sind, wobei eine zweite Seite der Zellregion parallel und proximal zu der zweiten Referenzlinie ist; Bilden von Gate-Strukturen korrespondierend auf ersten und zweiten der aktiven Regionen; und Umwandeln ausgewählter der Gate-Strukturen in korrespondierende Isolations-Dummy-Gate-Strukturen, so dass keine der Gate-Strukturen eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen einnimmt.
  • In einigen Ausführungsformen überlappen relativ zu der ersten Richtung Opferabschnitte ausgewählter der Gate-Strukturen korrespondierend die ersten und zweiten aktiven Regionen an korrespondierenden anliegenden Flächen, wo die ersten und zweiten aktiven Regionen an die dazwischenliegende Region angrenzen; und das Umwandeln umfasst relativ zu der zweiten Richtung Entfernen der Opferabschnitte der ausgewählten Gate-Strukturen, was in korrespondierenden Leerräumen resultiert; und Füllen der Leerräume mit einem isolierenden Material. In einigen Ausführungsformen weisen relativ zu einer dritten Richtung senkrecht zu jeder der ersten und zweiten Richtung die Leerräume eine erste Tiefe auf; das Entfernen von Abschnitten der ausgewählten Gate-Strukturen legt Opferabschnitte der ersten und zweiten aktiven Regionen frei, die korrespondierend unter den Opferabschnitten der ausgewählten Gate-Strukturen liegen; das Umwandeln umfasst ferner Entfernen der Opferabschnitte der ersten und zweiten aktiven Regionen, wodurch die Leerräume korrespondierend zu einer zweiten Tiefe vertieft werden, die größer als die erste Tiefe ist; und das Füllen der Leerräume füllt die Leerräume zu der zweiten Tiefe mit dem isolierenden Material.
  • Es ist für einen Fachmann ohne weiteres ersichtlich, dass eine oder mehrere der offenbarten Ausführungsformen einen oder mehrere der oben dargelegten Vorteile erfüllen. Nach dem Lesen der vorangehenden Spezifikation ist ein Fachmann in der Lage, verschiedene Änderungen, Ersetzungen von Äquivalenten und verschiedene andere Ausführungsformen, wie sie hierin breit offenbart sind, zu beeinflussen. Es ist daher beabsichtigt, dass der hier gewährte Schutz nur durch die Definition, die in den beigefügten Ansprüchen und Äquivalenten davon enthalten ist, beschränkt ist.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63229766 [0001]
    • US 9256709 [0283]
    • US 7260442 [0283]

Claims (20)

  1. Halbleitervorrichtung, umfassend: ein Substrat; und eine Zellregion mit gegenüberliegenden ersten und zweiten Seiten relativ zu einer ersten Richtung, wobei die Zellregion aktive Regionen umfasst, die im Substrat ausgebildet sind und sich in der ersten Richtung erstrecken; wobei relativ zu einer imaginären ersten Referenzlinie in einer zweiten Richtung senkrecht zu der ersten Richtung eine erste Mehrheit der aktiven Regionen erste Enden aufweist, die mit der ersten Referenzlinie ausgerichtet sind, wobei die erste Seite parallel und proximal zu der ersten Referenzlinie ist; wobei relativ zu einer imaginären zweiten Referenzlinie in der zweiten Richtung eine zweite Mehrheit der aktiven Regionen zweite Enden aufweist, die mit der zweiten Referenzlinie ausgerichtet sind, wobei die zweite Seite parallel und proximal zu der zweiten Referenzlinie ist; und Gate-Strukturen entsprechend auf ersten und zweiten der aktiven Regionen; und wobei relativ zu der zweiten Richtung ein erstes Ende einer ausgewählten der Gate-Strukturen an eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen angrenzt.
  2. Halbleitervorrichtung nach Anspruch 1, ferner umfassend: ein erstes Isolations-Dummy-Gate, das in der dazwischenliegenden Region gebildet ist.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, ferner umfassend: einen Abschnitt der Halbleitervorrichtung mit geringerem Leck, in dem jede aktive Region eine erste Höhe relativ zu der zweiten Richtung aufweist; und einen Abschnitt der Halbleitervorrichtung mit höherem Leck, in dem jede aktive Region eine zweite Höhe relativ zu der zweiten Richtung aufweist, wobei die zweite Höhe größer als die erste Höhe ist; und wobei: die erste aktive Region in dem Abschnitt mit geringerem Leck enthalten ist; und jede aktive Region mit der ersten Höhe einen Leckstrom bei oder unter einem vorbestimmten Schwellenwert aufweist.
  4. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, ferner umfassend: einen Abschnitt der Halbleitervorrichtung mit höherem Leck, wobei sich die zweite aktive Region im Wesentlichen innerhalb des Abschnitts mit höherem Leck befindet und die zweite aktive Region mit einer Höhe konfiguriert ist, die größer als die Höhe der ersten aktiven Region ist und Schaltgeschwindigkeiten innerhalb eines vorbestimmten Schwellenwerts unterstützt.
  5. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei: relativ zu der ersten Richtung die ersten und zweiten aktiven Regionen durch die dazwischenliegende Region getrennt sind.
  6. Halbleitervorrichtung nach einem der Ansprüche 2 bis 5, wobei: sich eine lange Achse des ersten Isolations-Dummy-Gate in der zweiten Richtung erstreckt.
  7. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei: die erste aktive Region eine aus einer Mehrzahl von ersten aktiven Regionen ist; und die Halbleitervorrichtung ferner umfasst: einen Abschnitt der Halbleitervorrichtung mit geringerem Leck, in dem jede darin enthaltene aktive Region eine erste Höhe relativ zu der zweiten Richtung aufweist; einen Abschnitt der Halbleitervorrichtung mit höherem Leck, in dem jede darin enthaltene aktive Region eine zweite Höhe relativ zu der zweiten Richtung aufweist, wobei die zweite Höhe größer als die erste Höhe ist; und der Abschnitt mit geringerem Leck einen wesentlichen Teil der Mehrzahl von ersten aktiven Regionen enthält; und Elemente der Mehrzahl von ersten aktiven Regionen durch entsprechende Lücken mit einer Größe S_1 voneinander getrennt sind.
  8. Halbleitervorrichtung nach Anspruch 7, wobei: die zweite aktive Region eine aus einer Mehrzahl von zweiten aktiven Regionen ist; und relativ zu der ersten Richtung ein Abschnitt mit höherem Leck einen wesentlichen Teil der Mehrzahl von zweiten aktiven Regionen enthält; relativ zu der ersten Richtung die Mehrzahl von ersten aktiven Regionen eine erste Größe aufweist und die Mehrzahl von zweiten aktiven Regionen eine zweite Größe aufweist, wobei die zweite Größe größer als die erste Größe ist; und Elemente der Mehrzahl von zweiten aktiven Regionen durch entsprechende Lücken mit der Größe S_1 voneinander getrennt sind.
  9. Halbleitervorrichtung nach einem der Ansprüche 2 bis 8, wobei: ein zweites Isolations-Dummy-Gate eine oder mehrere erste aktive Regionen einer Mehrzahl von ersten aktiven Regionen in einen ersten Teil und einen zweiten Teil der einen oder mehreren ersten aktiven Regionen trennt, wobei der erste und der zweite Teil durch die zweite Isolations-Dummy-Gate-Struktur getrennt sind.
  10. Halbleitervorrichtung nach Anspruch 9, ferner umfassend: ein drittes Isolations-Dummy-Gate, das eine oder mehrere zweite aktive Regionen einer Mehrzahl von zweiten aktiven Regionen in einen ersten Teil und einen zweiten Teil der einen oder mehreren zweiten aktiven Regionen trennt, wobei der erste und der zweite Teil durch die dritte Isolations-Dummy-Gate-Struktur getrennt sind.
  11. Halbleitervorrichtung, umfassend: eine Zellregion, die aktive Regionen enthält, die sich in einer ersten Richtung erstrecken; wobei relativ zu einer imaginären zentralen Referenzlinie, die sich in einer zweiten Richtung senkrecht zu der ersten Richtung erstreckt, die Zellregion so angeordnet ist, dass sich die zentrale Referenzlinie zentral innerhalb der Zellregion relativ zu der ersten Richtung befindet; wobei relativ zu einer imaginären ersten Referenzlinie, die sich in der zweiten Richtung erstreckt, erste Endteile von zwei oder mehr der aktiven Regionen sich um einen maximalen Abstand in der ersten Richtung von der zentralen Referenzlinie zu der ersten Referenzlinie erstrecken, wobei ein erster Rand der Zellregion parallel und proximal zu der ersten Referenzlinie ist; wobei relativ zu einer imaginären zweiten Referenzlinie, die sich in der zweiten Richtung erstreckt, zweite Endteile von zwei oder mehr der aktiven Regionen sich um den maximalen Abstand von der zentralen Referenzlinie zu der zweiten Referenzlinie erstrecken, wobei ein zweiter Rand der Zellregion parallel und proximal zu der zweiten Referenzlinie ist, wobei der zweite Rand auf einer entgegengesetzten Seite der Zellregion relativ zu dem ersten Rand ist; und wobei erste und zweite der aktiven Regionen korrespondierende lange Achsen in der ersten Richtung aufweisen, die kollinear sind, wobei die ersten und zweiten aktiven Regionen durch eine erste dazwischenliegende Region getrennt sind.
  12. Halbleitervorrichtung nach Anspruch 11, ferner umfassend: ein Isolations-Dummy-Gate in der ersten dazwischenliegenden Region.
  13. Halbleitervorrichtung nach Anspruch 11 oder 12, wobei: jede der ersten und zweiten aktiven Regionen eine erste Höhe in der zweiten Richtung aufweist; und die Zellregion ferner enthält: dritte und vierte der aktiven Regionen, die korrespondierende lange Achsen in der ersten Richtung aufweisen, die kollinear sind, wobei die dritten und vierten aktiven Regionen durch eine zweite dazwischenliegende Region getrennt sind, und jede der dritten und vierten aktiven Regionen die erste Höhe in der zweiten Richtung aufweist; und eine fünfte der aktiven Regionen, die sich zwischen den ersten und zweiten aktiven Regionen relativ zu jeder der ersten und zweiten Richtungen befindet und sich zwischen den dritten und vierten aktiven Regionen relativ zu jeder der ersten und zweiten Richtungen befindet.
  14. Halbleitervorrichtung nach Anspruch 13, wobei: relativ zu der ersten Richtung die fünfte aktive Region eine der ersten, zweiten, dritten oder vierten aktiven Regionen nicht überlappt.
  15. Halbleitervorrichtung nach Anspruch 13 oder 14, ferner umfassend: relativ zu der ersten Richtung ein erstes Isolations-Dummy-Gate zwischen der fünften aktiven Region und der ersten aktiven Region; oder relativ zu der ersten Richtung ein zweites Isolations-Dummy-Gate zwischen der fünften aktiven Region und der zweiten aktiven Region; oder relativ zu der ersten Richtung ein drittes Isolations-Dummy-Gate zwischen der fünften aktiven Region und der dritten aktiven Region; oder relativ zu der ersten Richtung ein viertes Isolations-Dummy-Gate zwischen der fünften aktiven Region und der vierten aktiven Region.
  16. Halbleitervorrichtung nach einem der Ansprüche 13 bis 15, wobei: relativ zu der zweiten Richtung die fünfte aktive Region jede der ersten und zweiten aktiven Regionen zumindest teilweise überlappt; oder relativ zu der zweiten Richtung die fünfte aktive Region jede der dritten und vierten aktiven Regionen zumindest teilweise überlappt.
  17. Halbleitervorrichtung nach einem der Ansprüche 13 bis 16, wobei: erste Endteile von ersten und dritten aktiven Regionen sich um den maximalen Abstand in der ersten Richtung von der zentralen Referenzlinie zu der ersten Referenzlinie erstrecken; oder zweite Endteile der dritten und vierten aktiven Regionen sich um den maximalen Abstand von der zentralen Referenzlinie zu der zweiten Referenzlinie erstrecken.
  18. Verfahren zum Bilden einer Halbleitervorrichtung, wobei das Verfahren umfasst: Bilden aktiver Regionen in einem Substrat, wobei sich die aktiven Regionen entsprechend in einer ersten Richtung erstrecken, wobei das Bilden aktiver Regionen umfasst; relativ zu einer imaginären ersten Referenzlinie in einer zweiten Richtung senkrecht zu der ersten Richtung, Bilden einer ersten Mehrheit der aktiven Regionen mit ersten Enden, die mit der ersten Referenzlinie ausgerichtet sind, wobei eine erste Seite einer Zellregion parallel und proximal zu der ersten Referenzlinie ist, wobei die Zellregion die aktiven Regionen umfasst; relativ zu einer imaginären zweiten Referenzlinie in der zweiten Richtung, Bilden einer zweiten Mehrheit der aktiven Regionen mit zweiten Enden, die mit der zweiten Referenzlinie ausgerichtet sind, wobei eine zweite Seite der Zellregion parallel und proximal zu der zweiten Referenzlinie ist; Bilden von Gate-Strukturen korrespondierend auf ersten und zweiten der aktiven Regionen; und Umwandeln ausgewählter der Gate-Strukturen in korrespondierende Isolations-Dummy-Gate-Strukturen, so dass keine der Gate-Strukturen eine dazwischenliegende Region zwischen den ersten und zweiten aktiven Regionen einnimmt.
  19. Verfahren nach Anspruch 18, wobei: relativ zu der ersten Richtung Opferabschnitte ausgewählter der Gate-Strukturen korrespondierend die ersten und zweiten aktiven Regionen an korrespondierenden anliegenden Bereichen überlappen, wo die ersten und zweiten aktiven Regionen an die dazwischenliegende Region angrenzen; und das Umwandeln umfasst: relativ zu der zweiten Richtung Entfernen der Opferabschnitte der ausgewählten Gate-Strukturen, was in korrespondierenden Leerräumen resultiert; und Füllen der Leerräume mit einem isolierenden Material.
  20. Verfahren nach Anspruch 19, wobei: relativ zu einer dritten Richtung senkrecht zu jeder der ersten und zweiten Richtung die Leerräume eine erste Tiefe aufweisen; das Entfernen von Abschnitten der ausgewählten Gate-Strukturen Opferabschnitte der ersten und zweiten aktiven Regionen freilegt, die korrespondierend unter den Opferabschnitten der ausgewählten Gate-Strukturen liegen; das Umwandeln ferner umfasst: Entfernen der Opferabschnitte der ersten und zweiten aktiven Regionen, wodurch die Leerräume korrespondierend zu einer zweiten Tiefe vertieft werden, die größer als die erste Tiefe ist; und das Füllen der Leerräume füllt die Leerräume zu der zweiten Tiefe mit dem isolierenden Material.
DE102022101653.6A 2021-08-05 2022-01-25 Gebiete aktive gebiete für eine halbleitervorrichtung und verfahren zu deren herstellung Pending DE102022101653A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163229766P 2021-08-05 2021-08-05
US63/229,766 2021-08-05
US17/581,798 US20230042514A1 (en) 2021-08-05 2022-01-21 Variable-sized active regions for a semiconductor device and methods of making same
US17/581,798 2022-01-21

Publications (1)

Publication Number Publication Date
DE102022101653A1 true DE102022101653A1 (de) 2023-02-09

Family

ID=84241612

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022101653.6A Pending DE102022101653A1 (de) 2021-08-05 2022-01-25 Gebiete aktive gebiete für eine halbleitervorrichtung und verfahren zu deren herstellung

Country Status (5)

Country Link
US (1) US20230042514A1 (de)
KR (1) KR20230021563A (de)
CN (1) CN115440724A (de)
DE (1) DE102022101653A1 (de)
TW (1) TWI807714B (de)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112016004265T5 (de) * 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Also Published As

Publication number Publication date
TW202307974A (zh) 2023-02-16
TWI807714B (zh) 2023-07-01
US20230042514A1 (en) 2023-02-09
CN115440724A (zh) 2022-12-06
KR20230021563A (ko) 2023-02-14

Similar Documents

Publication Publication Date Title
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019128996A1 (de) Integriertes schaltungsfinnenbelegungsverfahren, system und struktur
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102019118040A1 (de) Halbleitervorrichtung, die eine zellregion umfasst, die ähnlichere zelldichten in zeilen verschiedener höhe aufweist, und verfahren und system zum erzeugen eines layoutdiagramms dafür
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019116744A1 (de) Verfahren zum erzeugen eines layoutdiagramms, das hervorstehendestiftzellengebiete aufweist, und eine darauf basierendehalbleitervorrichtung
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102020127462B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019121157B4 (de) Transfer-gate-struktur, layout, verfahren und system
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102019116733A1 (de) Verfahren, vorrichtung und system eines integrierten schaltungslayouts
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020110780B4 (de) Halbleiterbauelement mit vertiefter interconnect-struktur
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102020109326A1 (de) Ic-vorrichtung, verfahren, layout und system
DE102020109522A1 (de) Integrierter schaltkreis
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102022101653A1 (de) Gebiete aktive gebiete für eine halbleitervorrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027080000

Ipc: H01L0021822000

R016 Response to examination communication