DE102014223196A1 - Verfahren zur Herstellung von Gallium und Stickstoff tragenden Laser-Bauelementen mit verbesserter Verwendung von Substratmaterial - Google Patents

Verfahren zur Herstellung von Gallium und Stickstoff tragenden Laser-Bauelementen mit verbesserter Verwendung von Substratmaterial Download PDF

Info

Publication number
DE102014223196A1
DE102014223196A1 DE102014223196.5A DE102014223196A DE102014223196A1 DE 102014223196 A1 DE102014223196 A1 DE 102014223196A1 DE 102014223196 A DE102014223196 A DE 102014223196A DE 102014223196 A1 DE102014223196 A1 DE 102014223196A1
Authority
DE
Germany
Prior art keywords
die
region
carrier wafer
bonding
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102014223196.5A
Other languages
English (en)
Inventor
Alexander Sztein
Melvin McLaurin
Po Shan Hsu
James W. RARING
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kyocera Sld Laser Inc Nach Den Gesetzen Des Us
Original Assignee
Soraa Laser Diode Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soraa Laser Diode Inc filed Critical Soraa Laser Diode Inc
Publication of DE102014223196A1 publication Critical patent/DE102014223196A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0201Separation of the wafer into individual elements, e.g. by dicing, cleaving, etching or directly during growth
    • H01S5/0203Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0201Separation of the wafer into individual elements, e.g. by dicing, cleaving, etching or directly during growth
    • H01S5/0202Cleaving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0201Separation of the wafer into individual elements, e.g. by dicing, cleaving, etching or directly during growth
    • H01S5/0205Separation of the wafer into individual elements, e.g. by dicing, cleaving, etching or directly during growth during growth of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/0215Bonding to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/0217Removal of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0233Mounting configuration of laser chips
    • H01S5/0234Up-side down mountings, e.g. Flip-chip, epi-side down mountings or junction down mountings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/32Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures
    • H01S5/3202Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures grown on specifically orientated substrates, or using orientation dependent growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/32Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures
    • H01S5/3202Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures grown on specifically orientated substrates, or using orientation dependent growth
    • H01S5/320275Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures grown on specifically orientated substrates, or using orientation dependent growth semi-polar orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/34Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers
    • H01S5/343Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser
    • H01S5/34333Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser with a well layer based on Ga(In)N or Ga(In)P, e.g. blue laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/34Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers
    • H01S5/343Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser
    • H01S5/34346Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser characterised by the materials of the barrier layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/20Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers
    • H01S5/22Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers having a ridge or stripe structure
    • H01S5/2201Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers having a ridge or stripe structure in a specific crystallographic orientation

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Semiconductor Lasers (AREA)
  • Led Devices (AREA)
  • Dicing (AREA)

Abstract

In einem Beispiel stellt die vorliegende Erfindung ein Verfahren zur Herstellung eines Gallium und Stickstoffenthaltenden Laserdioden-Bauelements bereit.

Description

  • Hintergrund
  • 1960 wurde der Laser erstmals von Theodore H. Maiman in Hughes Research Laboratories in Malibu gezeigt.
  • Kurzdarstellung
  • Die Erfindung stellt ein Verfahren zur Fertigung von Halbleiter-Laserdioden bereit.
  • Kurzbeschreibung der Zeichnungen
  • 1 ist eine vereinfachte Veranschaulichung einer Laserdiode gemäß einem Beispiel der vorliegenden Erfindung.
  • 2 ist eine vereinfachte Veranschaulichung einer Die-expanded Laserdiode gemäß einem Beispiel der vorliegenden Erfindung.
  • 3 ist ein schematischer Querschnitt einer Steg-Laserdiode in einem Beispiel.
  • 4 ist eine Draufsicht eines selektiven Flächen-Bonding-Verfahrens in einem Beispiel.
  • 5 ist ein vereinfachter Verfahrensablauf zur epitaktischen Herstellung in einem Beispiel.
  • 6 ist eine vereinfachte Veranschaulichung einer Seitenansicht von selektivem Flächen-Bonding in einem Beispiel.
  • 7 ist ein vereinfachter Verfahrensablauf von epitaktischer Herstellung mit aktivem Regionsschutz in einem Beispiel.
  • 8 ist ein vereinfachter Verfahrensablauf von epitaktischer Herstellung mit aktivem Regionsschutz und mit Steg-Bildung vor dem Bonding in einem Beispiel.
  • 9 ist eine vereinfachte Veranschaulichung von verankerter PEC-Unterätzung (Draufsicht) in einem Beispiel.
  • 10 ist eine vereinfachte Veranschaulichung von verankerter PEC-Unterätzung (Seitenansicht) in einem Beispiel.
  • Beschreibung im Einzelnen
  • Bezugnehmend auf 1 stellt diese eine Seitenansicht einer GaN basierten Laserdiode des Standes der Technik nach Verarbeiten dar. Laserdioden werden auf dem ursprünglichen Gallium und Stickstoff enthaltenden epitaktischen Substrat 100, typischerweise mit epitaktischen n-GaN und n-Seiten-Mantelschichten 101, aktiver Region 102, p-GaN und p-Seiten-Mantel 103, Isolierschichten 104 und Kontakt/Pad-Schichten 105 hergestellt. Der Laser-Die-Abstand wird markiert. Alles Epitaxiematerial, das nicht direkt unter dem Laser-Steg ist, geht bei diesem Bauelementaufbau verloren. In einem Beispiel: Mantel vom n-Typ, der aus GaN, AlGaN oder InAlGaN bestehen kann.
  • Bezugnehmend nun auf 2 ist diese Veranschaulichung eine Seitenansicht des Gallium und Stickstoff enthaltenden epitaktischen Wafers 100 vor dem Die-Expansions-Verfahren und Träger-Wafer 106 nach dem Die-Expansions-Verfahren. Diese Figur zeigt eine etwa fünfmalige Expansion und somit fünf-malige Verbesserung in der Anzahl von Laserdioden, welche aus einem einzigen Gallium und Stickstoff enthaltenden Substrat hergestellt werden können und belegendem epitaktischem Material. Typische epitaktische und Verarbeitungsschichten sind für Beispielzwecke eingeschlossen und sind n-GaN und n-Seiten-Mantelschichten 101, aktive Region 102, p-GaN und p-Seiten-Mantel 103, Isolierschichten 104 und Kontakt/Pad-Schichten 105. Zusätzlich werden eine Opferregion 107 und Bondingmaterial 108 während des Die-Expansions-Verfahrens verwendet.
  • 3 ist ein schematischer Querschnitt einer Steg-Laserdiode in einem Beispiel und zeigt ein vereinfachtes schematisches Querschnittsdiagramm, das eine Laserdiodenstruktur des Standes der Technik veranschaulicht. Dieses Diagramm ist nur ein Beispiel, das den Umfang der Ansprüche hierin nicht unangemessen begrenzen sollte. Wie gezeigt, schließt das Laser-Bauelement Galliumnitrid-Substrat 203 ein, welches einen Basis-Metall-Rückseiten-Kontakt 201 vom n-Typ aufweist. In einer Ausführungsform ist der Metall-Rückseiten-Kontakt aus einem geeigneten Material wie jenen nachstehend angeführten und anderen hergestellt. In einer Ausführungsform hat das Bauelement auch eine belegende Galliumnitrid-Schicht vom n-Typ 205, welche alternativ AlGaN sein könnte, eine aktive Region 207 und eine belegende Galliumnitrid-Schicht vom p-Typ, strukturiert als eine Laserstreifenregion 211, welche alternativ AlGaN sein könnte. Zusätzlich schließt das Bauelement ebenfalls eine n-Seiten-getrennte-Einschluss-Hetereostruktur (Separate Confinement Heterostructure) (SCH) 206, p-Seiten-Leit-Schicht oder SCH 208, p-AlGaN EBL 209, unter anderen Merkmalen ein. In einer Ausführungsform weist das Bauelement ebenfalls ein Galliumnitrid-Material 213 vom p++ Typ auf, um eine Kontaktregion zu bilden.
  • 4 ist eine vereinfachte Ansicht einer Draufsicht von einem selektiven Flächen-Bonding-Verfahren und erläutert ein Die-Expansions-Verfahren über selektives Flächen-Bonding. Der ursprüngliche Gallium und Stickstoffenthaltende epitaktische Wafer 201 hat einen individuellen Die aus epitaktischem Material und Ablöseschichten, definiert durch die Verarbeitung. Dice aus individuellem epitaktischem Material werden markiert 202 und sind mit Abstand 1 beabstandet. Ein runder Träger-Wafer 200 mit strukturierten Bonding-Pads 203 wurde hergestellt. Diese Bonding-Pads sind mit Abstand 2 beabstandet, welcher ein geradzahliges Vielfaches von Abstand 1 ist, so dass ausgewählte Reihen von epitaktischem Die bei jedem Wiederholungsschritt des selektiven Flächen-Bonding-Verfahrens gebondet werden können. Die Wiederholungsschritte des selektiven Flächen-Bonding-Verfahrens werden fortgesetzt, bis alle epitaktischen Dice auf den Träger-Wafer 204 übertragen wurden. Das Gallium und Stickstoff enthaltende Epitaxie-Substrat 201 kann nun gegebenenfalls zur Wiederverwendung zubereitet werden.
  • In einem Beispiel ist 5 ein vereinfachtes Schema des Verfahrensablaufes zur epitaktischen Herstellung, einschließlich der Veranschaulichung einer Seitenansicht von einem Beispiel des Epitaxie-Herstellungs-Verfahrensablaufs für das Die-Expansions-Verfahren. Das Gallium und Stickstoffenthaltende Epitaxie-Substrat 100 und das belegende epitaktische Material werden in individuelle Dice definiert, Bondingmaterial 108 wird abgeschieden und Opferregionen 107 werden unterätzt. Typische epitaktische Schichten sind für Beispielzwecke eingeschlossen und sind n-GaN und n-Seiten-Mantelschichten, wie GaN oder AlGaN 101, aktive Region 102 und p-GaN und p-Seiten-Mantel, wie GaN oder AlGaN 103.
  • In einem Beispiel ist 6 eine vereinfachte Veranschaulichung einer Seitenansicht von einem selektiven Flächen-Bonding-Verfahren in einem Beispiel. Hergestellter Gallium und Stickstoff enthaltender epitaktischer Wafer 100 und hergestellter Träger-Wafer 106 sind die Ausgangs-Komponenten von diesem Verfahren. Der erste Wiederholungsschritt des selektiven Flächen-Bondings überträgt eine Fraktion der epitaktischen Dice, mit zusätzlichen Wiederholungsschritten, so oft wiederholt, wie nötig, um alle epitaktischen Dice zu übertragen. Ist das Die-Expansions-Verfahren einmal vollständig abgelaufen, kann Laser-Verarbeiten gemäß dem Stand der Technik auf dem Träger-Wafer fortgesetzt werden. Typische epitaktische und Verarbeitungsschichten sind für Beispielzwecke eingeschlossen und sind n-GaN und n-Seiten-Mantelschichten, wie GaN oder AlGaN 101, aktive Region 102, p-GaN und p-Seiten-Mantel, wie GaN oder AlGaN 103, Isolierschichten 104 und Kontakt/Pad-Schichten 105. Zusätzlich werden eine Opferregion 107 und Bondingmaterial 108 während des Die-Expansions-Verfahrens verwendet.
  • In einem Beispiel ist 7 ein vereinfachtes Diagramm von einem Epitaxie-Herstellungs-Verfahren mit aktivem Regionsschutz. Gezeigt wird eine Seitenansichtserläuterung von einem alternativen epitaktischen Wafer-Herstellungs-Verfahrensablauf, während dessen Seitenwand-Passivierung verwendet wird, um die aktive Region während jedes PEC-Unterätzschritts zu schützen. Dieser Verfahrensablauf ermöglicht eine breite Auswahl von Opferregionsmaterialien und Zusammensetzungen. Typische Substrat-, epitaktische und Verarbeitungsschichten sind für Beispielzwecke eingeschlossen und sind das Gallium und Stickstoff enthaltende Substrat 100, n-GaN und n-Seiten-Mantelschichten, wie GaN oder AlGaN 101, aktive Region 102, p-GaN und p-Seiten-Mantel, wie GaN oder AlGaN 103, Isolierschichten 104 und Kontakt/Pad-Schichten 105. Zusätzlich werden eine Opferregion 107 und Bondingmaterial 108 während des Die-Expansions-Verfahrens verwendet.
  • In einem Beispiel ist 8 ein vereinfachtes Diagramm des Epitaxie-Herstellungs-Verfahrensablaufs mit aktivem Regionsschutz und Steg-Bildung vor dem Bonding. Gezeigt wird eine Veranschaulichung der Seitenansicht von einem alternativen epitaktischen Wafer-Herstellungs-Verfahrensablauf, während dessen Seitenwand-Passivierung verwendet wird, um die aktive Region während jedes PEC-Unterätzschritts zu schützen und Laser-Stege werden auf dem dichteren epitaktischen Wafer vor der Übertragung definiert. Dieser Verfahrensablauf erlaubt potenziell Kosten-Einsparungen durch Ausführen zusätzlicher Verarbeitungsschritte auf dem dichteren epitaktischen Wafer. Typische Substrat-, epitaktische und Verarbeitungsschichten sind für Beispielzwecke eingeschlossen und sind das Gallium und Stickstoffenthaltende Substrat 100, n-GaN und n-Seiten-Mantelschichten 101, aktive Region 102, p-GaN und p-Seiten-Mantel 103, Isolierschichten 104 und Kontakt/Pad-Schichten 105. Zusätzlich werden eine Opferregion 107 und Bondingmaterial 108 während des Die-Expansions-Verfahrens verwendet.
  • 9 ist ein vereinfachtes Beispiel von verankerter PEC-Unterätzung (Draufsicht). Gezeigt wird eine Draufsicht von einem alternativen Ablöse-Verfahren während des selektiven Flächen-Bondings. In dieser Ausführungsform wird ein Top-Down-Ätzen verwendet, um die Fläche 300 wegzuätzen, gefolgt von der Abscheidung von Bondingmetall 303. Eine PEC-Ätzung wird dann verwendet, um die Region 301 zu unterätzen. Die Opferregion 302 bleibt intakt und dient als mechanischer Träger während des selektiven Flächen-Bonding-Verfahrens.
  • 10 ist eine vereinfachte Ansicht einer verankerten PEC-Unterätzung (Seitenansicht) in einem Beispiel. Gezeigt wird eine Seitenansichtserläuterung der verankerten PEC-Unterätzung. Pfosten einer Opferegion sind an jedem Ende von dem epitaktischen Die zur mechanischen Stützung enthalten, bis das Bonding-Verfahren vollständig ist. Nach dem Bonding wird das epitaktische Material an der ungetragenen Dünnfilmregion zwischen den Bondpads und intakten Opferregionen gespalten, was den selektiven Bonding-Vorgang ermöglicht. Typische epitaktische und Verarbeitungsschichten sind für Beispielzwecke eingeschlossen und sind n-GaN und n-Seiten-Mantelschichten, wie GaN oder AlGaN 101, aktive Region 102, p-GaN und p-Seiten-Mantel, wie GaN oder AlGaN 103, Isolierschichten 104 und Kontakt/Pad-Schichten 105. Zusätzlich werden eine Opferregion 107 und Bondingmaterial 108 während des Die-Expansions-Verfahrens verwendet. Epitaktisches Material wird von dem Gallium und Stickstoffenthaltenden epitaktischen Wafer 100 auf den Träger-Wafer 106 übertragen. Weitere Einzelheiten des vorliegenden Verfahrens und Strukturen können nachstehend genauer gefunden werden.
  • Als weiterer Hintergrund für den Leser: Galliumnitrid und verwandte Kristalle sind in Bulk-Form schwierig herzustellen. Wachstums-Technologien, die Einkristallkörper mit großer Fläche aus GaN herstellen können, sind noch in ihrer Anfangsphase und Kosten für alle Orientierungen sind wesentlich teurer als ähnliche Wafergrößen von anderen Halbleiter-Substraten, wie Si, GaAs und InP. Während frei stehende GaN-Substrate mit großer Fläche (z. B. mit Durchmessern von zwei Inch oder größer) kommerziell erhältlich sind, ist die Verfügbarkeit von nichtpolaren und semi-polaren GaN-Substraten mit großer Fläche sehr beschränkt. Typischerweise werden diese Orientierungen durch das Wachstum eines c-Ebenen orientierten Einkristallkörpers hergestellt, der dann in rechtwinklige Wafers bei etwas steilem Winkel, bezogen auf den c-Ebene, geschnitten wird. Die Breite von diesen Wafern ist durch die Dicke des c-Ebenen orientierten Einkristallkörpers begrenzt, der wiederum durch das Verfahren von Einkristallkörper-Herstellung (z. B. typischerweise Hydrid-Dampfphasen-Epitaxie (HVPE) auf einem Fremd-Substrat) beschränkt ist. Solche geringen Wafergrößen sind in vielerlei Hinsicht begrenzend. Die erste ist, dass epitaktisches Wachstum auf einem solchen kleinen Wafer ausgeführt werden muss, was diejenige Flächenfraktion des Wafers erhöht, die auf Grund der Ungleichförmigkeit im Wachstum nahe der Waferkante nicht anwendbar ist. Die zweite ist, dass nach epitaktischem Wachstum von optoelektronischen Bauelement-Schichten auf einem Substrat die gleiche Anzahl an Verarbeitungsschritten auf den kleinen Wafers erforderlich ist, um das fertige Bauelement herzustellen, als würde man es auf einem großen Flächen-Wafer anwenden. Beide von diesen Effekten treiben die Kosten zur Herstellung von Bauelementen auf solchen kleinen Wafern in die Höhe, da sich sowohl die Kosten pro hergestelltem Bauelement als auch die Fraktion an nicht anwendbarer Wafer-Fläche mit sinkender Wafergröße erhöhen. Die relative Unreife von Bulk-GaN-Wachstumstechniken begrenzt zusätzlich die Gesamtanzahl von Substraten, die unter potenziellem Begrenzen der Ausführbarkeit des Aufskalierens eines auf nicht-polarem oder semi-polarem GaN-Substrat basierten Bauelements hergestellt werden kann.
  • In Anbetracht der hohen Kosten von allen Orientierungen von GaN-Substraten, der Schwierigkeit beim Aufskalieren der Wafergröße, der dem Verarbeiten von kleinen Wafern innewohnenden Unwirtschaftlichkeit und möglichen Lieferungsbegrenzungen bezüglich semi-polarer und nichtpolarer Wafer ist es äußerst erwünscht, die Nutzung von Substraten und epitaktischem Material zu maximieren. Bei der Herstellung von Lateral-Cavity-Laserdioden ist es typischerweise der Fall, dass die Minimum-Die-Länge durch die Laserresonator-Länge bestimmt wird, jedoch die Minimum-Die-Breite durch andere Bauelement-Komponenten, wie Draht-Bonding-Pads oder Belange, wie die mechanische Fläche für die Handhabung bei den Die-Befestigungs-Verfahren, bestimmt wird. Das heißt, während die Laserresonator-Länge die Laser-Die-Länge begrenzt, ist die Laser-Die-Breite typischerweise viel größer als die Laserresonator-Breite. Da das GaN-Substrat und epitaktische Material nur in und nahe der Laserresonatorregion kritisch sind, gibt dies eine gute Gelegenheit neue Verfahren zu entwickeln, um nur die Laserresonatorregion aus diesen relativ teuren Materialien zu bilden und das Bondpad und die mechanische Struktur von dem Chip aus einem kostengünstigeren Material zu bilden. Typische Abmessungen für Laserresonator-Breiten sind 1–30 μm, während Draht-Bonding-Pads ~100 μm breit sind. Dies bedeutet, dass wenn die Draht-Bonding-Pad-Breitenbegrenzung und mechanische Handhabungs-Belange der GaN-Chipabmessung beseitigt wurden, könnten zwischen >3 und 100 mal mehr Laserdioden-Die aus einem einzigen epitaktischen Gallium und Stickstoff enthaltenden Wafer hergestellt werden. Dies beläuft sich auf eine >3 bis 100 malige Verminderung von Epitaxie- und Substrat-Kosten. In herkömmlichen Bauelementaufbauten werden die relativ großen Bonding-Pads mechanisch durch Die-Epitaxie-Wafer getragen, obwohl sie keinen Gebrauch der Materialeigenschaften des Halbleiters über den Strukturträger hinaus machen.
  • In einem Beispiel ist die vorliegende Erfindung ein Verfahren zum Maximieren der Anzahl von GaN Laser-Bauelementen, welche aus einer gegebenen epitaktischen Fläche auf einem Gallium und Stickstoffenthaltenden Substrat durch Ausbreitung des epitaktischen Materials auf einem Träger-Wafer hergestellt werden können, so dass die Draht-Bonding-Pads oder andere Strukturelemente mechanisch durch den relativ kostengünstigen Träger-Wafer getragen werden, während die Licht emittierenden Regionen weiter aus dem notwendigen epitaktischen Material hergestellt werden. Diese Erfindung wird die Chipkosten bei allen Gallium und Stickstoff basierten Laserdioden drastisch vermindern und insbesondere könnte kosteneffiziente nichtpolare und semipolare Laserdioden-Technologie ermöglicht werden.
  • Diese Bauelemente schließen ein Gallium und Stickstoff enthaltendes Substrat (z. B. GaN) ein, umfassend eine in entweder einer semipolaren oder nicht-polaren Konfiguration orientierte Oberflächenregion, können jedoch andere sein. Das Bauelement hat auch ein Gallium und Stickstoff enthaltendes Material, umfassend InGaN, das die Oberflächenregion belegt. In einer speziellen Ausführungsform kann das vorliegende Laser-Bauelement bei entweder einem semipolaren oder nicht-polaren Gallium enthaltenden Substrat, wie nachstehend beschrieben, angewendet werden. Wie hierin verwendet, kann der Begriff ”Substrat” das Bulk-Substrat bedeuten oder kann belegende Wachstumsstrukturen, wie eine Gallium und Stickstoff enthaltende epitaktische Region oder funktionelle Regionen, wie GaN vom n-Typ, Kombinationen und dergleichen einschließen. Wir haben auch epitaktische Wachstums- und Spalteigenschaften auf semipolaren Kristallebenen, orientiert zwischen der nichtpolaren m-Ebene und der polaren c-Ebene, untersucht. Insbesondere haben wir auf den {30-31} und {20-21} Familien von Kristallebenen wachsen lassen. Wir haben aussichtsreiche Epitaxie-Strukturen und Spaltungen erreicht, die einen Weg zu effizienten Laserdioden, betrieben bei Wellenlängen von etwa 400 nm bis grün, z. B. 500 nm bis 540 nm, erzeugen werden. Diese Ergebnisse schließen hellblaue Epitaxie in dem 450 nm Bereich, hellgrüne Epitaxie in dem 520 nm Bereich und glatte Spaltebenen orthogonal zur Projektion der c-Richtung ein.
  • In einer speziellen Ausführungsform ist das Galliumnitrid-Substrat-Bauteil ein GaN-Bulk-Substrat, gekennzeichnet durch Aufweisen einer semipolaren oder nicht-polaren kristallinen Oberflächenregion, kann jedoch anders sein. In einer speziellen Ausführungsform umfasst das GaN-Bulk-Nitrid-Substrat Stickstoff und hat eine Oberflächenversetzungsdichte zwischen etwa 10E5 cm–2 und etwa 10E7 cm–2 oder unter 10E5 cm–2. Der Nitridkristall oder Wafer kann AlxInyGa1-x-yN umfassen, worin 0 ≤ x, y, x + y ≤ 1. In einer speziellen Ausführungsform umfasst der Nitridkristall GaN. In einer oder mehreren Ausführungsformen hat das GaN-Substrat Threading-Versetzungen bei einer Konzentration zwischen etwa 10E5 cm–2 und etwa 10E8 cm–2 in einer Richtung, das heißt im Wesentlichen orthogonal oder schräge hinsichtlich der Oberfläche. Als eine Folge der orthogonalen oder schrägen Orientierung der Versetzungen ist die Oberflächenversetzungsdichte zwischen etwa 10E5 cm–2 und etwa 10E7 cm–2 oder unter etwa 10E5 cm–2. In einer speziellen Ausführungsform kann das Bauelement auf einem leichten Offcut von semipolarem Substrat hergestellt werden, wie in U.S. Serien-Nr. 12/749466 , eingereicht 03/29/2010, beschrieben, welche die Priorität zu U.S. Provisorischer Nr. 61/164409 , eingereicht 03/28/2009, gemeinsam übertragen, und hiermit durch Hinweis hierin einbezogen, beansprucht.
  • Das Substrat wird typischerweise mit einem oder mehreren der nachstehend genannten epitaktisch gewachsenen Elementen versehen, ist jedoch nicht darauf begrenzt:
    • – eine n-GaN Mantelregion mit einer Dicke von 50 nm bis etwa 6000 nm mit einem Si oder Sauerstoff-Dotierungs-Grad von etwa 5E16cm–3 bis 1E19cm–3
    • – eine InGaN-Region von einem hohen Indium-Gehalt und/oder dicken InGaN-Schicht(en) oder Super-SCH-Region;
    • – eine Verstreckungs-Steuerungs-Region mit höherer Bandlücke, die die InGaN-Region belegt;
    • – gegebenenfalls eine SCH-Region, die die InGaN-Region belegt;
    • – Mehrfach-Quantentopf-aktive Regions-Schichten aus drei bis fünf oder vier bis sechs 3,0–5,5,0 nm InGaN-Quantentöpfen, getrennt durch 1,5–10,0 nm GaN Barrieren;
    • – gegebenenfalls, eine p-Seiten-SCH-Schicht aus InGaN mit einer Mol-Fraktion von Indium zwischen 1% und 10% und einer Dicke von 15 nm bis 100 nm;
    • – eine Elektronenblockierungsschicht aus AlGaN mit einer Mol-Fraktion von Aluminium zwischen 5% und 20% und einer Dicke von 10 nm bis 15 nm und dotiert mit Mg;
    • – eine p-GaN-Mantelschicht mit einer Dicke von 400 nm bis 1000 nm mit Mg Dotierungshöhe von 5E17 cm–3 bis 1E19 cm–3;
    • – eine p++-GaN-Kontaktschicht mit einer Dicke von 20 nm bis 40 nm mit Mg Dotierungshöhe von 1E20 cm–3 bis 1E21 cm–3
  • Typischerweise wird jede von diesen Regionen unter Verwendung von mindestens einer epitaktischen Abscheidungstechnik von Metall-organischer chemischer Dampfabscheidung (MOCVD), Molekular-Strahl-Epitaxie (MBE) oder anderen für GaN-Wachstum geeigneten epitaktischen Wachstumstechniken gebildet. Die aktive Region kann eine bis zwanzig Quantentopfregionen gemäß einer oder mehreren Ausführungsformen einschließen. Als ein Beispiel wird nach Abscheidung der AluInvGa1-u-vN-Schicht vom n-Typ für einen vorbestimmten Zeitraum, um so eine vorbestimmte Dicke zu erreichen, eine aktive Schicht abgeschieden. Die aktive Schicht kann einen einzigen Quantentopf oder einen mehrfachen Quantentopf mit 2–10 Quantentöpfen umfassen. Die Quantentöpfe können InGaN-Töpfe und GaN-Barriereschichten umfassen. In anderen Ausführungsformen umfassen die Topf-Schichten und Barriereschichten AlwInxGa1-w-xN bzw. AlyInzGa1-y-zN, worin 0 ≤ w, x, y, z, w + x, y + z ≤ 1, wobei w < u, y und/oder x > v, z, so dass die Bandlücke der Topf-Schicht(en) weniger ist als jene der Barriereschicht(en) und der Schicht vom n-Typ. Die Topf-Schichten und Barriereschichten können jede eine Dicke zwischen etwa 1 nm und etwa 15 nm aufweisen. In einer anderen Ausführungsform umfasst die aktive Schicht eine doppelte Heterostruktur mit einer InGaN oder etwa 10 nm bis 100 nm dick, umgeben von GaN oder AlyInzGa1-y-z-Schichten, worin w < u, y und/oder x > v, z. Die Zusammensetzung und Struktur der aktiven Schicht werden ausgewählt, um Lichtemission bei einer vorausgewählten Wellenlänge bereitzustellen. Die aktive Schicht kann undotiert (oder ungewollt dotiert) bleiben oder kann n-Typ oder p-Typ dotiert sein.
  • Die aktive Region kann auch eine Elektronen blockierende Region und eine getrennte Einschluss-Heterostruktur einschließen. In einigen Ausführungsformen wird vorzugsweise eine Elektronenblockierungsschicht abgeschieden. Die Elektronen blockierende Schicht kann AlsIntGa1-s-tN, worin 0 ≤ s, t, s + t ≤ 1, mit einer höheren Bandlücke als die aktive Schicht umfassen, und kann p-Typ dotiert sein oder die Elektronenblockierungsschicht umfasst eine AlGaN/GaN-Super-Gitter-Struktur, umfassend alternierende Schichten von AlGaN und GaN. Alternativ mag es dort keine Elektronenblockierungsschicht geben. Wie angemerkt, wird die Galliumnitrid-Struktur vom p-Typ, oberhalb der Elektronenblockierungsschicht und aktive(n) Schicht(en) abgeschieden. Die Schicht vom p-Typ kann mit Mg zu einem Grad zwischen etwa 10E16 cm–3 und 10E22 cm–3 dotiert sein und kann eine Dicke zwischen etwa 5 nm und etwa 1000 nm aufweisen. Die äußersten 1–50 nm der Schicht vom p-Typ können stärker als der Rest der Schicht dotiert sein, um so einen verbesserten elektrischen Kontakt zu ermöglichen.
  • Die vorliegende Erfindung ist auf die Herstellung von optoelektronischen Bauelementen von Halbleiterwafern gerichtet. Insbesondere steigert die vorliegende Erfindung die Nutzung von Substratwafern und Epitaxiematerial durch ein selektives Flächen-Bonding-Verfahren, um individuelle Dice von Epitaxiematerial zu einem Träger-Wafer in einer derartigen Weise zu übertragen, dass der Die-Abstand auf dem Träger-Wafer, bezogen auf den ursprünglichen Epitaxiewafer, erhöht ist. Die Anordnung von Epitaxiematerial ermöglicht Bauelement-Komponenten, die nicht das Vorliegen von dem teuren Gallium und Stickstoffenthaltenden Substrat und belegendem Epitaxiematerial erfordern, das häufig auf einem Gallium und Stickstoff enthaltenden Substrat erzeugt wird, um auf dem kostengünstigen Träger-Wafer gefertigt zu werden, was eine effizientere Nutzung des Gallium und Stickstoff enthaltenden Substrats und des belegenden Epitaxiematerials ermöglicht.
  • In einer Ausführungsform werden Mesas von Gallium und Stickstoff enthaltendem Laserdioden-Epitaxiematerial in einer dichten Gruppierung auf einem Gallium und Stickstoff enthaltenden Substrat hergestellt. Dieser Strukturierungsabstand wird als der 'erste Abstand' bezeichnet. Der erste Abstand ist häufig eine Nennbreite, das heißt, geeignet zur Erzeugung jeder von den epitaktischen Regionen auf dem Substrat, obwohl nicht groß genug für vollständige Laser-Bauelemente, für die häufig größere nicht-aktive Regionen oder Regionen für Kontakte und dergleichen erwünscht sind. Zum Beispiel würden diese Mesas einen ersten Abstand im Bereich aus etwa 5 Mikrometern zu etwa 30 Mikrometern oder bis etwa 50 Mikrometern aufweisen. Jeder von diesen Mesas ist ein 'Die'.
  • In einem Beispiel wird dieser Die dann zu einem Träger-Wafer bei einem zweiten Abstand übertragen, so dass der zweite Abstand auf dem Träger-Wafer größer ist als der erste Abstand auf dem Gallium und Stickstoff enthaltenden Substrat. In einem Beispiel ist der zweite Abstand mit dem Die so konfiguriert, dass jeder Die mit einem Teil des Träger-Wafers ein Laser-Bauelement, einschließlich Kontakte und andere Komponenten, darstellen kann. Zum Beispiel würde der zweite Abstand etwa 100 Mikrometer bis etwa 200 Mikrometer oder bis etwa 300 Mikrometer betragen. Der zweite Die-Abstand ermöglicht die einfache mechanische Handhabung und Raum für Draht-Bonding-Pads, positioniert in den Regionen von Träger-Wafer zwischen Epitaxie-Mesas, was eine größere Anzahl von Laserdioden aus einem gegebenen Gallium und Stickstoff enthaltenden Substrat und belegendem Epitaxiematerial herzustellen ermöglicht. Die Seitenansicht-Schemata des Standes der Technik und Die-expanded Laserdioden werden in 1 und 2 gezeigt. Typische Abmessungen für Laser-Steg-Breiten und die notwendigen Breiten für mechanische und Draht-Bonding-Belange sind von 1 μm bis 30 μm bzw. von 100 μm bis 300 μm, was bei der Verwendungseffizienz Verbesserungen mit großem Ausmaß bei Gallium und Stickstoff enthaltendem Substrat und belegendem Epitaxiematerial bei der vorliegenden Erfindung erlaubt.
  • 3 ist ein vereinfachtes schematisches Querschnittsdiagramm, das eine Laserdiodenstruktur des Standes der Technik erläutert. Dieses Diagramm ist nur ein Beispiel, das den Umfang der Ansprüche hierin nicht unbotmäßig begrenzen sollte. Ein Durchschnitts-Fachmann würde andere Variationen, Modifizierungen und Alternativen erkennen. Wie gezeigt, schließt das Laser-Bauelement Galliumnitrid-Substrat 203 ein, das einen Basis-Metall-Rückseiten-Kontakt vom n-Typ 201 aufweist. In einer Ausführungsform wird der Metall-Rückseiten-Kontakt aus einem geeigneten Material wie jenen nachstehend angeführten und anderen hergestellt. Weitere Einzelheiten der Kontaktregion können durch die vorliegende Beschreibung und insbesondere nachstehend gefunden werden.
  • In einer Ausführungsform hat das Bauelement auch eine belegende Galliumnitrid-Schicht vom n-Typ 205, eine aktive Region 207 und eine belegende Galliumnitrid-Schicht vom p-Typ, strukturiert als eine Laserstreifenregion 211. Zusätzlich schließt das Bauelement auch eine n-Seiten-getrennte-Einschluss-Hetereostruktur (Separate Confinement Heterostructure) (SCH) 206, p-Seiten-Leit-Schicht oder SCH 208, p-AlGaN EBL 209, unter anderen Merkmalen ein. In einer Ausführungsform hat das Bauelement auch ein Galliumnitrid-Material vom p++ Typ 213, um eine Kontaktregion zu bilden. In einer Ausführungsform hat die Kontaktregion vom p++ Typ eine geeignete Dicke und kann im Bereich von etwa 10 nm 50 nm oder anderen Dicken liegen. In einer Ausführungsform kann der Dotierungsgrad höher als die Mantelregion vom p-Typ und/oder die Bulk-Region sein. In einer Ausführungsform hat die Region vom p++ Typ dotierende Konzentration im Bereich von etwa 1019 bis 1021 Mg/cm3 und anderen. Die Region vom p++ Typ veranlasst vorzugsweise Tunneleffekt zwischen der Halbleiterregion und der belegenden Metall-Kontaktregion. In einer Ausführungsform wird jede von dichten Regionen unter Verwendung mindestens einer epitaktischen Abscheidungstechnik von Metall-organischer chemischer Dampfabscheidung (MOCVD), Molekularstrahl-Epitaxie (MBE) oder anderen epitaktischen Wachstumstechniken, die für GaN Wachstum geeignet sind, gebildet. In einer Ausführungsform ist die epitaktische Schicht eine epitaktische Schicht mit hoher Qualität, die die Galliumnitrid-Schicht vom n-Typ belegt. In einigen Ausführungsformen ist die Schicht mit hoher Qualität dotiert, zum Beispiel mit Si oder O, um Material vom n-Typ zu bilden, mit einer Dopant-Konzentration zwischen etwa 1016 cm–3 und 1020 cm–3.
  • Das Bauelement hat eine Laserstreifenregion gebildet, die ein Teil des Offcuts der kristallinen Orientierungs-Oberflächenregion belegt.
  • In einer speziellen Ausführungsform schließt das Verfahren der Facettenbildung Unterziehen der Substrate einem Laser für Strukturierungsbildung ein. In einer bevorzugten Ausführungsform ist die Strukturierung für die Bildung von einem Paar von Facetten für einen oder mehrere Steg-Laser konfiguriert. In einer bevorzugten Ausführungsform zeigt das Paar von Facetten zueinander und sind in paralleler Ausrichtung bzw. Alignment miteinander. In einer bevorzugten Ausführungsform verwendet das Verfahren einen UV(355 nm)-Laser, um die Laserstreifen zu ritzen. In einer speziellen Ausführungsform ist der Laser auf einem System konfiguriert, das das genaue Ritzen von Linien, konfiguriert in einer oder mehreren unterschiedlichen Strukturierungen und Profilen, ermöglicht. In einer oder mehreren Ausführungsformen kann das Laser-Ritzen auf der Rückseite, Vorderseite oder beiden in Abhängigkeit von der Anwendung ausgeführt werden. Natürlich kann es andere Variationen, Modifizierungen und Alternativen geben.
  • In einer speziellen Ausführungsform verwendet das Verfahren Laser-Ritzen der Rückseite oder dergleichen. Bei dem Laser-Ritzen der Rückseite bildet das Verfahren vorzugsweise eine Laser-Ritzung als kontinuierliche Linie, die rechtwinklig zu dem Laserstreifen auf der Rückseite von dem GaN-Substrat verläuft. In einer speziellen Ausführungsform ist die Laser-Ritzung im Allgemeinen 15–20 μm tief oder von anderer geeigneter Tiefe. Vorzugsweise kann Rückseiten-Ritzung vorteilhaft sein. Das heißt, das Laser-Ritzungs-Verfahren hängt nicht von dem Abstand der Laserstreifen oder anderen ähnlichen Strukturierungen ab. Folglich kann ein Laser zum Ritzen der Rückseite zu einer höheren Dichte von Laserstreifen auf jedem Substrat gemäß einer bevorzugten Ausführungsform führen. In einer speziellen Ausführungsform kann jedoch Laser-Ritzung der Rückseite zu einem Rückstand von dem Band auf einer oder mehreren der Facetten führen. In einer speziellen Ausführungsform erfordert Laser-Ritzung der Rückseiten häufig, dass die Substratseite auf dem Band herunter zeigt. Bei Laser-Ritzung der Vorderseite ist die Rückseite des Substrats in Kontakt mit dem Band. Natürlich kann es hier andere Variationen, Modifizierungen und Alternativen geben.
  • Laser-Ritzungs-Strukturierung: Der Abstand von der Lasermaske ist etwa 200 μm, kann jedoch anders sein. Das Verfahren verwendet ein 170 μm Ritzen mit einem 30 μm Strich für den 200 μm Abstand. In einer bevorzugten Ausführungsform ist die Ritzungslänge maximiert oder erhöht sich, während die Wärme beeinflusste Zone von dem Laser weg von dem Laser-Steg gehalten wird, der wärmeempfindlich ist.
  • Laser-Ritzungs-Profile: Ein Sägezahnprofil erzeugt im Allgemeinen minimale Facettenrauhigkeit. Es wird angenommen, dass die Sägezahnprofilform eine sehr hohe Spannungs-Konzentration in dem Material erzeugt, welche verursacht, dass das Spalten bzw. Abspalten viel leichter und/oder effizienter fortschreitet.
  • In einer speziellen Ausführungsform schließt das Verfahren der Facetten-Bildung Unterziehen der Substrate mechanischem Ritzen zur Strukturierungsbildung ein. In einer bevorzugten Ausführungsform ist die Strukturierung für die Bildung von einem Paar von Facetten für einen oder mehrere Steg-Laser konfiguriert. In einer bevorzugten Ausführungsform zeigt das Paar von Facetten zueinander und sind in paralleler Ausrichtung miteinander. In einer bevorzugten Ausführungsform verwendet das Verfahren ein Diamant-Spitzen-Ritzen zum physikalischen Ritzen der Laserstreifen, obwohl wie dem Fachmann klar wäre, dass Ritzen mit einer Spitze aus einem beliebigen Material, das härter als GaN ist, hinreichend wäre. In einer speziellen Ausführungsform ist der Laser auf ein System konfiguriert, welches für genaues Ritzen von Linien in einer oder mehreren unterschiedlichen Strukturierungen und Profilen konfiguriert ist. In einer oder mehreren Ausführungsformen kann das mechanische Ritzen auf der Rückseite, Vorderseite oder beiden in Abhängigkeit von der Anwendung ausgeführt werden. Natürlich kann es andere Variationen, Modifizierungen und Alternativen geben.
  • In einer speziellen Ausführungsform verwendet das Verfahren Rückseiten-Ritzen oder dergleichen. Bei mechanischem Ritzen der Rückseite bildet das Verfahren vorzugsweise eine kontinuierliche Linien-Ritzung, die rechtwinklig auf den Laserstreifen auf der Rückseite von dem GaN-Substrat vorliegt. In einer speziellen Ausführungsform ist das Laser-Ritzen im Allgemeinen 15–20 μm tief oder hat eine andere geeignete Tiefe. Vorzugsweise kann Rückseiten-Ritzen vorteilhaft sein. Das heißt, das mechanische Ritzungs-Verfahren hängt nicht von dem Abstand der Laserstreifen oder anderen ähnlichen Strukturierungen ab. Folglich kann gemäß einer bevorzugten Ausführungsform Rückseiten-Ritzen zu einer höheren Dichte von Laserstreifen auf jedem Substrat führen. In einer speziellen Ausführungsform kann jedoch mechanisches Rückseiten-Ritzen zu einem Rückstand von dem Band auf einer oder mehreren der Facetten führen. In einer speziellen Ausführungsform erfordert mechanisches Rückseiten-Ritzen häufig, dass die Substrate auf dem Band nach unten weisen. Bei mechanischem Vorderseiten-Ritzen ist die Rückseite des Substrats in Kontakt mit dem Band. Natürlich kann es andere Variationen, Modifizierungen und Alternativen geben.
  • Es ist gut bekannt, dass Ätztechniken, wie chemisch unterstütztes Ionenstrahlätzen (CAIBE), induktiv gekoppeltes Plasma (ICP)-Ätzen oder reaktives Ionen-Ätzen (RIE), glatte und vertikal geätzte Seitewandregionen ergeben können, welche als Facetten in geätzten Facetten-Laserdioden dienen könnten. Bei dem Verfahren für geätzte Facetten wird auf der Oberfläche des Wafers eine Maskierungsschicht abgeschieden und strukturiert. Die Ätzmaskenschicht könnte aus Dielektrika, wie Siliziumdioxid (SiO2), Siliziumnitrid (SixNy), einer Kombination davon oder anderen dielektrischen Materialien, bestehen. Weiterhin könnte die Maskenschicht aus Metallschichten, wie Ni oder Cr, bestehen, könnte jedoch aus Metall-Kombinations-Stapeln bestehen oder Stapeln, die Metall und Dielektrika umfassen. In einem anderen Ansatz können Photoresistmasken entweder einzeln oder in Kombination mit Dielektrika und/oder Metallen verwendet werden. Die Ätzmaskenschicht wird unter Verwendung herkömmlicher Photolithographie und Ätzschritten strukturiert. Die Alignment-Lithographie könnte mit einem Kontakt-Aligner oder Stepper-Aligner vorgebildet werden. Solche lithographisch definierten Spiegel liefern ein hohes Maß an Kontrolle für den Konstrukteur. Nachdem die Strukturierung der Photoresistmaske auf dem Oberen der Ätzmaske vollständig ist, werden die Strukturierungen dann auf die Ätzmaske übertragen unter Verwendung einer Nass-Ätz- oder Trocken-Ätztechnik. Schließlich wird dann die Facetten-Strukturierung unter Verwendung einer Trocken-Ätztechnik, ausgewählt aus CAIBE, ICP, RIE und/oder anderen Techniken, in den Wafer geätzt. Die geätzten Facettenoberflächen müssen stark vertikal zwischen etwa 87 und 93 Grad oder zwischen etwa 89 und 91 Grad der Oberflächenebene des Wafers sein. Die geätzte Facetten-Oberflächenregion muss sehr glatt mit einem quadratischen Mittelrandwert von weniger als 50 nm, 20 nm, 5 nm oder 1 nm sein. CAIBE ist bekannt dafür, sehr glatte und wenig geschädigte Seitenwände auf Grund der chemischen Beschaffenheit des Ätzens bereitzustellen, während es stark vertikale Ätzungen auf Grund der Fähigkeit, die Waferbühne zu kippen, zum Kompensieren von jedem inhärenten Winkel beim Ätzen, bereitstellen kann.
  • Der Laserstreifen ist durch eine Länge und Breite gekennzeichnet. Die Länge liegt im Bereich von etwa 50 Mikrometern bis etwa 3000 Mikrometern, ist jedoch vorzugsweise zwischen 10 Mikrometern und 400 Mikrometern, zwischen etwa 400 Mikrometern und 800 Mikrometern oder etwa 800 Mikrometern und 1600 Mikrometern, könnte jedoch anders sein. Der Streifen hat auch eine Breite im Bereich von etwa 0,5 Mikrometern bis etwa 50 Mikrometern, liegt jedoch vorzugsweise zwischen 0,8 Mikrometern und 2,5 Mikrometern für den Single-Lateral-Modus-Betrieb oder zwischen 2,5 um und 35 μm für Multi-Lateral-Modus-Betrieb, können jedoch andere Abmessungen haben. In einer speziellen Ausführungsform hat das vorliegende Bauelement eine Breite im Bereich von etwa 0,5 Mikrometern bis etwa 1,5 Mikrometern, eine Breite im Bereich von etwa 1,5 Mikrometern bis etwa 3,0 Mikrometern, eine Breite im Bereich von 3,0 Mikrometern bis etwa 35 Mikrometern und anderen. In einer speziellen Ausführungsform ist die Breite in der Abmessung im Wesentlichen konstant, obwohl es leichte Variationen geben kann. Die Breite und Länge werden häufig unter Verwendung eines Maskierungs- und Ätzverfahrens gebildet, die auf dem Fachgebiet üblich sind.
  • Der Laserstreifen wird durch ein Ätzverfahren, ausgewählt aus Trocken-Ätzen oder Nass-Ätzen, in entweder den Mantelschichten vom p-Typ oder n-Typ bereitgestellt. Das Bauelement hat ebenfalls eine belegende dielektrische Region, die eine Kontaktregion vom p-Typ oder n-Typ exponiert. Über der Kontaktregion liegt ein Kontaktmaterial, das Metall oder ein leitfähiges Oxid oder eine Kombination davon sein kann. Die elektrischen Kontakte vom p-Typ und n-Typ können durch Wärmeverdampfung, Elektronenstrahlverdampfung, Elektroplattieren, Sputtering oder eine andere geeignete Technik abgeschieden werden.
  • In Anbetracht der hohen Kosten für Gallium und Stickstoff enthaltendes Substrat und der Schwierigkeit beim Aufskalieren der Gallium und Stickstoffenthaltenden Substratgröße, der Unwirtschaftlichkeit und potenziellen Lieferbegrenzungen auf polare, semi-polare und nichtpolare Gallium und Stickstoffenthaltenden Wafer ist es äußerst erwünscht, die Nutzung von verfügbarem Gallium und Stickstoff enthaltendem Substrat und dem belegenden epitaktischen Material zu maximieren. Bei der Herstellung von Lateral-Cavity-Laserdioden ist es typischerweise der Fall, dass die minimale Die-Größe durch Bauelement-Komponenten, wie die Draht-Bonding-Pads, oder mechanische Handhabungs-Belange, anstatt durch Laserresonator-Breiten bestimmt wird. Das Minimieren der Die-Größe ist kritisch für das Vermindern der Herstellungskosten, da kleinere Die-Größen eine größere Anzahl von Bauelementen auf einem einzigen Wafer in einem einzigen Verarbeitungsdurchgang zu erzeugen ermöglichen. Die vorliegende Erfindung ist ein Verfahren zum Maximieren der Anzahl von Bauelementen, welche aus einem gegebenen Gallium und Stickstoff enthaltenden Substrat und dem belegenden epitaktischen Material durch Ausbreiten des epitaktischen Materials auf einen Träger-Wafer über ein Die-Expansions-Verfahren hergestellt werden können.
  • Eine Draufsicht von unten auf eine bevorzugte Ausführungsform des Die-Expansions-Verfahrens wird in 4 angegeben. Die Ausgangsmaterialien sind strukturierte Epitaxie- und Träger-Wafer. Hierin wird der 'Epitaxie-Wafer' oder 'epitaktische Wafer' als der ursprüngliche Gallium und Stickstoff enthaltenden Wafer definiert, auf dem das epitaktische Material, das die aktive Region ausmacht, gewachsen war, während der 'Träger-Wafer' als ein Wafer definiert wird, auf den epitaktische Schichten zum besseren Verarbeiten übertragen werden. Der Träger-Wafer kann, basierend auf einer Vielzahl von Kriterien, ausgewählt werden, einschließlich Kosten, Wärmeleitfähigkeit, Wärmeausdehnungskoeffizienten, Größe, elektrische Leitfähigkeit, optische Eigenschaften und Verarbeitungskompatibilität, jedoch nicht darauf begrenzt. Der strukturierte Epitaxie-Wafer wird in einer derartigen Weise hergestellt, dass anschließendes selektives Ablösen von gebondeten Epitaxieregionen möglich wird. Der strukturierte Träger-Wafer wird so hergestellt, dass Bondpads angeordnet werden, um das selektive Flächen-Bonding-Verfahren zu ermöglichen. Diese Wafer können durch eine Vielzahl von Verfahrensabläufen hergestellt werden, wobei einige Ausführungsformen davon nachstehend beschrieben werden. In dem ersten selektiven Flächenbond-Schritt wird der Epitaxie-Wafer mit den vor-strukturierten Bonding-Pads auf dem Träger-Wafer verbunden und eine Kombination von Druck, Wärme und/oder Ultrabeschallung wird verwendet, um die Mesas auf den Bonding-Pads zu bonden. Das Bondingmaterial kann eine Vielzahl von Medien sein, einschließlich Metalle, Polymere, Wachse und Oxide, jedoch nicht darauf begrenzt. Nur epitaktischer Die, der mit einem Bondpad auf dem Träger-Wafer in Kontakt ist, wird bonden. Sub-Mikrometer-Alignment-Toleranzen sind an kommerziellen Die-Bondern möglich. Der Epitaxie-Wafer wird dann weggezogen, unter Brechen des Epitaxiematerials an einer geschwächten epitaktischen Ablöse-Schicht, so dass die gewünschten epitaktischen Schichten auf dem Träger-Wafer verbleiben. Hierin wird ein 'selektiver Flächen-Bonding-Schritt' als ein einziger Wiederholungsschritt von diesem Verfahren definiert. In dem in 4 angeführten Beispiel werden ein Viertel des epitaktischen Die in diesem ersten selektiven Bond-Schritt übertragen, unter Hinterlassen von drei Vierteln auf dem Epitaxie-Wafer. Der selektive Flächen-Bonding-Schritt wird dann wiederholt, um das zweite Viertel, dritte Viertel und vierte Viertel von dem epitaktischen Die auf den strukturierten Träger-Wafer zu übertragen. Dieser selektive Flächenbond kann beliebig oft wiederholt werden und ist nicht auf die vier in 4 angeführten Schritte begrenzt. Das Ergebnis ist eine Gruppierung von epitaktischem Die auf dem Träger-Wafer mit einem breiteren Die-Abstand als der ursprüngliche Die-Abstand auf dem Epitaxie-Wafer. Der Die-Abstand auf dem epitaktischen Wafer wird als Abstand 1 bezeichnet und der Die-Abstand auf dem Träger-Wafer wird als Abstand 2 bezeichnet, wobei Abstand 2 größer als Abstand 1 ist. An diesem Punkt können Standard-Laserdioden-Verfahren auf dem Träger-Wafer ausgeführt werden. Seiten-Profil-Ansichten von Bauelementen, hergestellt mit Verfahren des Standes der Technik und den in der vorliegenden Erfindung beschriebenen Verfahren, werden in 1 bzw. 2 angeführt. Die durch die vorliegende Erfindung ermöglichte Bauelement-Struktur enthält das relativ teure Epitaxiematerial nur dort, wo die optische Kavität es erfordert, und hat relativ große Bonding-Pads und/oder andere Bauelement-Komponenten, die auf einem Träger-Wafer ruhen. Typische Abmessungen für Laser-Steg-Breiten und Bonding-Pads sind < 30 μm bzw. > 100 μm, was drei oder mehrmalig verbesserte Epitaxie-Verwendungs-Effizienz mit der vorliegenden Erfindung erlaubt.
  • Es gibt viele Verfahren, durch die der erweiterte Die-Abstand erreicht werden kann. Eine Ausführungsform für die Herstellung von GaN-basierten Laserdioden wird in 5 und 6 angegeben. Diese Ausführungsform verwendet ein Bandlücken-selektives photoelektrisches chemisches (PEC) Ätzen, um eine Gruppierung von Mesas, geätzt in die epitaktischen Schichten, zu unterätzen, gefolgt von einem selektiven Flächen-Bonding-Verfahren auf einem strukturierten Träger-Wafer. Die Herstellung des Epitaxie-Wafers wird in 5 gezeigt und das selektive Flächen-Bonding-Verfahren wird in 6 gezeigt. Dieses Verfahren erfordert den Einschluss einer verdeckten Opferregion, die selektiv durch die Bandlücke PEC-geätzt werden kann. Für GaN-basierte optoelektronische Bauelemente haben sich InGaN-Quantentöpfe als eine effektive Opferregion während des PEC-Ätzen erwiesen.1,2 Der erste in 5 angeführte Schritt ist ein Top-Down-Ätzen, um die Opferschichten zu exponieren, gefolgt von einer Bondingmetall-Abscheidung, wie in 5 gezeigt. Bei der exponierten Opferregion wird eine Bandlücken-selektive PEC-Ätzung verwendet, um die Mesas zu unterätzen. Die Bandlücken der Opferregion und alle anderen Schichten sind so ausgewählt, dass während der PEC-Ätzung nur die Opferregion Licht absorbieren und deshalb ätzen wird. Bei geeigneter Kontrolle der Ätzraten kann ein dünner Streifen an Material belassen werden, um die Mesas auf dem Epitaxie-Substrat schwach zu verbinden. Dieser Wafer wird dann ausgerichtet und an einen strukturierten Träger-Wafer gebondet, wie in 6 gezeigt. Gold-Gold-metallisches Bonding wird als ein Beispiel in dieser Arbeit verwendet, obwohl eine große Vielzahl von Oxid-Bonds, Polymer-Bonds, Wachs-Bonds usw. potenziell geeignet sind. Submikrometer-Alignment-Toleranzen sind unter Verwendung einer kommerziell erhältlichen Die-Bonding-Anlage möglich. Der Träger-Wafer ist in einer derartigen Weise strukturiert, dass nur ausgewählte Mesas mit den metallischen Bondpads auf dem Träger-Wafer in Kontakt kommen. Wenn das Epitaxie-Substrat weggezogen wird, brechen die gebondeten Mesas an der geschwächten Opferregion weg, während die nicht gebondeten Mesas auf dem Epitaxie-Substrat befestigt bleiben. Dieses selektive Flächen-Bonding-Verfahren kann dann wiederholt werden, um die verbleibenden Mesas in die gewünschte Konfiguration zu übertragen. Dieses Verfahren kann durch eine Vielzahl von Wiederholungsschatten wiederholt werden und ist nicht auf die zwei in 7 angeführten Wiederholungsschritte begrenzt. Der Träger-Wafer kann von beliebiger Größe sein, einschließlich 2 Inch, 3 Inch, 4 Inch, 6 Inch, 8 Inch und 12 Inch, jedoch nicht darauf begrenzt. Nachdem alle erwünschten Mesas übertragen wurden, kann gegebenenfalls eine zweite Bandlücken-selektive PEC-Ätzung verwendet werden, um jegliche verbleibende Opferregionsmaterialien zu entfernen, damit glatte Oberflächen erhalten werden. An diesem Punkt können Standard-Laserdioden-Verfahren auf dem Träger-Wafer ausgeführt werden.
  • Eine andere Ausführungsform der Erfindung verwendet eine Opferregion mit einer höheren Bandlücke als die aktive Region, so dass beide Schichten während des Bandlücken-PEC-Ätzverfahrens absorbierend sind. In dieser Ausführungsform kann die aktive Region am Ätzen während der Bandlücken-selektiven PEC-Ätzung gehindert werden, unter Verwendung einer isolierenden Schutzschicht auf der Seitenwand, wie in 7 gezeigt. Der erste in 7 angeführte Schritt ist ein Ätzen, um die aktive Region des Bauelements zu exponieren. Diesem Schritt folgt die Abscheidung einer schützenden Isolierschicht auf den Mesa-Seitenwänden, was dem Blockieren des PEC-Ätzens der aktive Region während des späteren Unterätzungs-PEC-Ätzschritts der Opferregion dient. Ein zweites Top-Down-Ätzen wird dann ausgeführt, um die Opferschichten zu exponieren und Bondingmetall wird, wie in 7 gezeigt, abgeschieden. Bei der exponierten Opferregion wird eine Bandlücken-selektive PEC-Ätzung verwendet, um die Mesas zu unterätzen. An diesem Punkt wird das in 6 gezeigte selektive Flächen-Bonding-Verfahren verwendet, um die Erzeugung von Bauelementen fortzusetzen.
  • Eine andere Ausführungsform der Erfindung beinhaltet die Herstellung von Bauelement-Komponenten auf den dichten Epitaxie-Wafern vor den selektiven Flächen-Bonding-Schritten. In der in 8 angeführten Ausführungsform werden der Laser-Steg, Seitenwand-Passivierung und Kontaktmetall auf dem ursprünglichen epitaktischen Wafer vor dem Die-Expansions-Verfahren hergestellt. Dieser Verfahrensablauf wird nur für Beispielzwecke angegeben und ist nicht zur Begrenzung vorgesehen, wobei die Bauelement-Komponenten vor dem Die-Expansions-Verfahren verarbeitet werden können. Dieser Arbeitsablauf hat potenzielle Kostenvorteile, da zusätzliche Schritte auf dem höher dichten epitaktischen Wafer vor dem Die-Expansions-Verfahren ausgeführt werden. Ein detailliertes Schema von diesem Verfahrensablauf wird in 8 angegeben.
  • In einer anderen bevorzugten Ausführungsform der Erfindung wird das epitaktische Gallium- und Stickstoff-Material auf einem Gallium und Stickstoff enthaltenden Substratmaterial von einer der nachstehenden Orientierungen wachsen lassen: m-Ebene, {50-51}, {30-31}, {20-21}, {30-32}, {50-5-1}, {30-3-1}, {20-2-1}, {30-3-2} oder Offcuts von diesen Ebenen in +/–5 Grad gegen a-Ebene und/oder c-Ebene.
  • In einer anderen Ausführungsform der Erfindung werden individuelle PEC-Unterätzungsätzungen nach jedem selektiven Bonding-Schritt zum Wegätzen der geopferten Ablöse-Schicht von nur gebondeten Messas verwendet. Welcher epitaktische Die unterätzt wird, wird nur durch Herunter-Ätzen gesteuert, um die geopferte Schicht von Mesas zu exponieren, welche bei dem aktuellen selektiven Bonding-Schritt entfernt werden sollen. Der Vorteil von dieser Ausführungsform besteht darin, dass nur eine sehr grobe Kontrolle von PEC-Ätzungsraten erforderlich ist. Dies geht auf Kosten von zusätzlichen Verarbeitungsschritten und Geometriebeschränkungen.
  • In einer anderen Ausführungsform der Erfindung können die Bonding-Schichten eine Vielzahl von Bonding-Paaren sein, einschließlich Metall-Metall, Oxid-Oxid, Lötlegierungen, Photoresists, Polymere, Wachs, usw.
  • In einer anderen Ausführungsform der Erfindung wird die Opferregion durch PEC-Ätzen vollständig entfernt und die Mesa bleibt am Ort durch beliebige verbleibende defekte Säulen verankert. PEC-Ätzen ist dafür bekannt, intaktes Material um Defekte herum zu hinterlassen, welche als Rekombinationszentren wirken.2,3 Zusätzliche Mechanismen, durch die eine Mesa nach einem vollständigen Opferätzen am Ort verbleiben könnte, schließen statische Kräfte oder Van-der-Waalsche Kräfte ein.
  • In einer anderen Ausführungsform der Erfindung wird eine Mesa mit exponierter geformter Opferregion geätzt, um größere Regionen nahe der Enden von jedem Epitaxie-Die zu hinterlassen. Bondingmetall wird nur auf den Regionen von Epitaxie angeordnet, die übertragen werden sollen. Eine PEC-Ätzung wird dann so ausgeführt, dass der zu übertragende Epitaxie-Die vollständig unterätzt wird, während die größeren Regionen nahe dem Ende nur teilweise unterätzt sind. Die intakten Opferregionen an den Enden des Die liefern mechanische Stabilität durch den selektiven Flächen-Bonding-Schritt. Da nur einige Nanometer Dicke unterätzt werden, sollte diese Geometrie mit Standard-Bonding-Verfahren kompatibel sein. Nach dem selektiven Flächen-Bonding-Schritt werden die Epitaxie- und Träger-Wafer mechanisch getrennt, unter Spalten an den Schwachpunkten zwischen dem Bondmetall und intakten Opferregionen. Beispiel-Schemata von diesem Verfahren werden in 9 und 10 gezeigt. Nachdem die gewünschte Anzahl von Wiederholungsschritten erfolgt ist, können die Laserdioden-Herstellungsverfahren des Standes der Technik auf den erweiterten bzw. expandierten Träger-Wafer angewendet werden.
  • In einer anderen Ausführungsform der Erfindung wird das Ablösen der epitaktischen Schichten mit Hilfe von anderem als PEC-Ätzen, wie Laser-Lift-off, ausgeführt.
  • In einer anderen Ausführungsform der Erfindung ist der Träger-Wafer ein anderes Halbleitermaterial, ein metallisches Material oder ein keramisches Material. Einige potenzielle Kandidaten schließen Silizium, Galliumarsenid, Saphir, Siliziumcarbid, Diamant, Galliumnitrid, AlN, polykristallines AlN, Indiumphosphid, Germanium, Quarz, Kupfer, Gold, Silber, Aluminium, rostfreien Stahl oder Stahl ein.
  • In einer anderen Ausführungsform der Erfindung werden die Laser-Facetten durch Spaltungsverfahren hergestellt. Wenn ein geeigneter Träger-Wafer ausgewählt wird, ist es möglich, den Träger-Wafer zu verwenden, um Spalt-Ebenen in dem Epitaxiematerial zu definieren.
  • Dies könnte die Ausbeute, Qualität, Leichtigkeit und/oder Genauigkeit der Spaltungen verbessern.
  • In einer anderen Ausführungsform der Erfindung werden die Laser-Facetten durch geätzte Facetten-Verfahren hergestellt. In der Ausführungsform der geätzten Facette wird eine lithographisch definierte Spiegel-Strukturierung in Gallium und Stickstoff geätzt, um Facetten zu bilden. Das Ätz-Verfahren könnte ein Trocken-Ätz-Verfahren, ausgewählt aus induktiv gekoppeltem Plasmaätzen (ICP), chemisch unterstütztem Ionenstrahlätzen (CAIBE) oder reaktivem Ionen-Ätzen (RIE) sein. Das geätzte Facetten-Verfahren kann in Kombination mit dem Die-Expansions-Verfahren verwendet werden, um Facetten-Bildung zu vermeiden, durch Spalten, potenziell verbesserte Ausbeute und Facettenqualität.
  • In einer anderen Ausführungsform der Erfindung wird das Vereinzeln durch Spaltungsverfahren erreicht, die durch die Auswahl von Träger-Wafer unterstützt werden. Wenn zum Beispiel Silizium- oder GaAs-Träger-Wafer ausgewählt wird, wird es ein System von üblichen kubischen Spaltebenen geben, die für die Vereinzelung durch Spaltung verfügbar sind. Bei dieser Ausführungsform gibt es keinen Bedarf, die Spaltungen auf das Epitaxiematerial zu übertragen, da das Vereinzeln nur in den Träger-Wafer-Material-Regionen stattfinden wird.
  • In einer anderen Ausführungsform der Erfindung kann jeder der vorstehend genannten Verfahrensabläufe in Kombination mit dem Wafer-Verlegen verwendet werden. Als ein Beispiel können 7,5 mm mal 18 mm Substrate auf einem 2 Inch-Träger-Wafer verlegt werden, was die Ausführung von Oberseiten-Verarbeiten und selektives Flächen-Bonding auf mehrfachen Epitaxie-Substraten parallel zu weiteren Kosten-Einsparungen erlaubt.
  • In einer anderen Ausführungsform der Erfindung wird der Substrat-Wafer nach den selektiven Flächenbond-Schritten durch eine Re-planarisierung und Oberflächen-Herstellungsverfahren aufgearbeitet. Der Epitaxie-Wafer kann beliebig oft wiederverwendet werden.6
  • In einem Beispiel stellt die vorliegende Erfindung ein Verfahren zur Erhöhung der Anzahl von Gallium und Stickstoff enthaltenden Laserdioden-Bauelementen bereit, welche aus einer gegebenen epitaktischen Oberfläche hergestellt werden können; wobei die Gallium und Stickstoff enthaltenden epitaktischen Schichten über Gallium und Stickstoff enthaltenden Substraten lagern. Das epitaktische Material umfasst mindestens die nachstehenden Schichten: eine Opferregion, die selektiv unter Verwendung einer Bandlücken-selektiven PEC-Ätzung geätzt werden kann, eine Mantelregion vom n-Typ, eine aktive Region, umfassend mindestens eine aktive Schicht, die die Mantelregion vom n-Typ belegt, und eine Mantelregion vom p-Typ, die die aktive Schichtregion belegt. Das Gallium und Stickstoff enthaltende epitaktische Material ist in Die strukturiert mit einem ersten Die-Abstand; wobei der Die des Gallium und Stickstoff enthaltenden epitaktischen Materials mit einem ersten Abstand auf einen Träger-Wafer übertragen wird, um einen zweiten Die-Abstand auf dem Träger-Wafer zu bilden; wobei der zweite Die-Abstand größer als der erste die Abstand ist.
  • Während das Vorstehende eine vollständige Beschreibung der speziellen Ausführungsformen ist, können verschiedene Modifizierungen, alternative Konstruktionen und Äquivalente verwendet werden. Als ein Beispiel kann das gepackte bzw. fertig konfektionierte Bauelement jede Kombination von vorstehend sowie außerhalb der vorliegenden Beschreibung beschriebenen Elementen einschließen. Wenn hierin verwendet, kann der Begriff ”Substrat” das Bulk-Substrat bedeuten oder kann die belegenden Wachstumsstrukturen, wie eine Gallium und Stickstoff enthaltende epitaktische Region oder funktionelle Regionen, wie GaN vom n-Typ, Kombinationen und dergleichen, einschließen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 12/749466 [0027]
    • US 61/164409 [0027]

Claims (19)

  1. Verfahren zur Herstellung eines Gallium und Stickstoff enthaltenden Laserdioden-Bauelements, wobei das Verfahren umfasst: Bereitstellen eines Gallium und Stickstoff enthaltenden Substrats mit einer Oberflächenregion; Bilden von epitaktischem Material, das die Oberflächenregion belegt, wobei das epitaktische Material umfasst eine Mantelregion vom n-Typ, eine aktive Region, die mindestens eine aktive Schicht umfasst, die die Mantelregion vom n-Typ belegt, und eine Mantelregion vom p-Typ, die die aktive Schichtregion belegt; Strukturierung des epitaktischen Materials, um eine Vielzahl von Dice zu bilden, wobei jeder von den Dice mindestens einem Laser-Bauelement entspricht, gekennzeichnet durch einen ersten Abstand zwischen einem Paar von Dice, wobei der erste Abstand weniger als eine Nennbreite ist; Übertragen von jedem von der Vielzahl von Dice auf einen Träger-Wafer, so dass jedes Paar Dice mit einem zweiten Abstand zwischen jedem Paar Dice konfiguriert ist, wobei der zweite Abstand größer als der erste Abstand entsprechend der Nennbreite ist.
  2. Verfahren nach Anspruch 1, wobei jeder Die als eine Mesa geformt ist, und jedes Die-Paar den ersten Abstand im Bereich zwischen 1 μm und 10 μm oder zwischen 10 Mikrometer und 50 Mikrometer Breite oder zwischen 50 und 3000 μm Länge aufweist; und die Strukturierung ein Ätzverfahren umfasst; wobei der zweite Abstand auf dem Träger-Wafer zwischen 100 Mikrometer und 200 Mikrometer oder zwischen 200 Mikrometer und 300 Mikrometer ist.
  3. Verfahren nach Anspruch 1, weiterhin umfassend Verarbeiten von jedem der Die, um mindestens ein Laser-Bauelement auf jedem Die nach dem Übertragen zu bilden oder weiterhin umfassend Bilden von einer oder mehreren Laserdioden-Kavitäten auf jedem Die von epitaktischem Material.
  4. Verfahren nach Anspruch 1, wobei jedes Paar Dice, das den Träger-Wafer belegt, durch den zweiten Abstand definiert ist; und weiterhin umfassend Bilden von einer oder mehreren Komponenten, die eine Beabstandung belegen, die durch den zweiten Abstand definiert wird, wobei die eine oder mehrere Komponenten aus einer Kontaktregion oder einem Bonding-Pad ausgewählt sind.
  5. Verfahren nach Anspruch 1, wobei der Träger-Wafer durch ein leitfähiges Material für eine Kontaktregion oder Kontaktregionen gekennzeichnet ist; wobei jedes der Laser-Bauelemente durch eine Wellenlänge im Bereich zwischen 200 und 2000 nm gekennzeichnet ist; und wobei jedes Laser-Bauelement ein Paar von Facetten umfasst, konfiguriert aus einem Spaltungsverfahren oder einem Ätzverfahren, wobei das Ätzverfahren aus induktiv gekoppeltem Plasma-Ätzen, chemisch unterstütztem Ionenstrahl-Ätzen oder reaktivem Ionenstrahl-Ätzen ausgewählt ist.
  6. Verfahren nach Anspruch 1, weiterhin umfassend Vereinzeln von jedem der Die durch Trennen jedes Die-Paars bei einer Beabstandung, die durch den zweiten Abstand definiert wird; wobei das epitaktische Material GaN, AlN, InN, InGaN, AlGaN, InAlN und/oder InAlGaN enthält.
  7. Verfahren nach Anspruch 1, wobei das Gallium und Stickstoff enthaltende Material auf einer polaren, nicht-polaren oder semi-polaren Ebene gewachsen ist; wobei der Träger-Wafer mindestens eines von Silizium, Galliumarsenid, Saphir, Siliziumcarbid, Diamant, Galliumnitrid, AlN, Indiumphosphid oder Metall umfasst.
  8. Verfahren nach Anspruch 1, wobei das Übertragen selektives Bonding eines oder mehrerer Die auf den Träger-Wafer umfasst, wobei jeder Die zu einem Bonding-Pad auf dem Träger-Wafer konfiguriert ist; wobei das Übertragen selektives Bonding eines oder mehrerer Die auf den Träger-Wafer umfasst, und Wiederholen des selektiven Bondings N mal für einen oder mehrere andere Die auf dem Träger-Wafer, wobei N eine ganze Zahl zwischen 1 und 50 ist.
  9. Verfahren nach Anspruch 1, wobei das Übertragen selektives Bonding eines oder mehrerer Die auf den Träger-Wafer umfasst, und Wiederholen des selektives Bondings N mal für einen oder mehrere andere Die auf dem Träger-Wafer, wobei N eine ganze Zahl zwischen 1 und 50 ist, um jeden auf den Träger-Wafer zu bondenden Die zu entfernen; woraufhin der Träger-Wafer einen größeren Durchmesser als einen Durchmesser des Gallium und Stickstoff enthaltenden Substrats aufweist; woraufhin jeder der Bonds mindestens eines von Metall-Metall-Paaren, Oxid-Oxid-Paaren, Spin-on-Glass, Lötlegierungen, Polymeren, Photoresists und/oder Wachs ist.
  10. Verfahren nach Anspruch 1, wobei das Übertragen selektives Bonding einen oder mehrerer Die auf den Träger-Wafer umfasst, und Wiederholen des selektives Bondings N mal für einen oder mehrere andere Die auf dem Träger-Wafer, wobei N eine ganze Zahl zwischen 1 und 50 ist, um jeden auf den Träger-Wafer zu bondenden Die zu entfernen; woraufhin der Träger-Wafer einen größeren Durchmesser als einen Durchmesser des Gallium und Stickstoff enthaltenden Substrats aufweist; woraufhin jeder der Bonds mindestens eines von Metall-Metall-Paaren, Oxid-Oxid-Paaren, Spin-on-Glass, Lötlegierungen, Polymeren, Photoresists und/oder Wachs ist; und weiterhin umfassend Ablösen von jedem der Die während jedem selektiven Bonding durch Trennen einer mit jedem Die verbundenen Ablöseregion, während ein Teil des epitaktischen Materials intakt bleibt.
  11. Verfahren nach Anspruch 1, wobei das Übertragen selektives Bonding eines oder mehrerer Die auf den Träger-Wafer umfasst, und Wiederholen des selektiven Bondings N mal für einen oder mehrere andere Die auf dem Träger-Wafer, wobei N eine ganze Zahl zwischen 1 und 50 ist, um jeden auf den Träger-Wafer zu bondenden Die zu entfernen; woraufhin der Träger-Wafer einen größeren Durchmesser als einen Durchmesser des Gallium und Stickstoff enthaltenden Substrats aufweist; woraufhin jeder der Bonds mindestens eines von Metall-Metall-Paaren, Oxid-Oxid-Paaren, Spin-on-Glass, Lötlegierungen, Polymeren, Photoresists und/oder Wachs ist; und weiterhin umfassend Ablösen von jedem der Die während jedem selektiven Bonding durch Trennen einer mit jedem Die verbundenen Ablöseregion während ein Teil des epitaktischen Materials intakt bleibt; wobei das Trennen selektives Ätzen unter Verwendung eines Bandlücken-selektiven photo-elektro-chemischen (PEC) Ätzens der einen Teil von epitaktischem Material umfassenden Ablöseregion umfasst.
  12. Verfahren nach Anspruch 11, wobei die Ablöseregion aus einem Material mit einer kleineren Bandlücke als eine benachbarte epitaktische Schicht besteht; wobei die Ablöseregion aus InGaN, InN, InAlN oder InAlGaN besteht.
  13. Verfahren nach Anspruch 11, wobei das PEC-Ätzen im Wesentlichen alles selektiv von der Ablöseregion entfernt unter Hinterlassen eines intakten Teils zum Bereitstellen einer Struktur vor selektivem Bonding des einen oder mehreren Die und konfiguriert, um nach Bonding des einen oder mehrerer Die zu trennen.
  14. Verfahren nach Anspruch 11, wobei das PEC-Ätzen selektiv die Ablöseregion entfernt unter Hinterlassen einer intakten Ankerregion, um den Die vor dem selektiven Bonding des einen oder mehreren Die zu tragen, und die Ankerregion sich nach dem selektiven Bonding des einen oder mehrerer Die trennt.
  15. Verfahren nach Anspruch 11, wobei das PEC-Ätzen selektiv die Ablöseregion entfernt, während eine Defekt-Säule, eine statische Kraft oder eine Van der Waals-Kraft Strukturstütze für den einen oder mehrere Die bereitstellt.
  16. Verfahren nach Anspruch 11, weiterhin umfassend ein zusätzliches PEC-Ätzverfahren, um den einen oder mehrere Die, während er auf den Träger-Wafer gebondet wird, vollständig zu entfernen.
  17. Verfahren nach Anspruch 11, weiterhin umfassend Bilden eines Metallmaterials, das den einen oder mehrere Die vor dem Übertragen belegt, unter Hinterlassen einer oder mehrerer freiliegender Ankerregionen, die konfiguriert sind, um selektiv zu brechen und von jedem der Die nach selektivem Bonding abzutrennen.
  18. Verfahren nach Anspruch 11, weiterhin umfassend ein Ätzverfahren, um eine unterätzte Region in der Nachbarschaft von jedem Die zu bilden, um selektives Ablösen von jedem von den Die zu veranlassen; wobei jeder der Die eine Passivierungsregion zum Schutz von PEC-Ätzen umfasst.
  19. Verfahren nach Anspruch 1, wobei jeder der Die eine oder mehrere Komponenten umfasst, wobei die eine oder mehrere Komponenten aus mindestens einem von einem elektrischen Kontakt, einer Stromausbreitungsregion, einer optischen Mantelregion, einem Laser-Steg, einer Laser-Steg-Passivierung oder einem Facetten-Paar, entweder einzeln oder in beliebiger Kombination ausgewählt sind.
DE102014223196.5A 2014-02-10 2014-11-13 Verfahren zur Herstellung von Gallium und Stickstoff tragenden Laser-Bauelementen mit verbesserter Verwendung von Substratmaterial Pending DE102014223196A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/176,403 US9362715B2 (en) 2014-02-10 2014-02-10 Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US14/176,403 2014-02-10

Publications (1)

Publication Number Publication Date
DE102014223196A1 true DE102014223196A1 (de) 2015-08-13

Family

ID=53676934

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014223196.5A Pending DE102014223196A1 (de) 2014-02-10 2014-11-13 Verfahren zur Herstellung von Gallium und Stickstoff tragenden Laser-Bauelementen mit verbesserter Verwendung von Substratmaterial

Country Status (4)

Country Link
US (6) US9362715B2 (de)
JP (1) JP6651287B2 (de)
CN (1) CN104836117B (de)
DE (1) DE102014223196A1 (de)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9762032B1 (en) 2014-02-07 2017-09-12 Soraa Laser Diode, Inc. Semiconductor laser diode on tiled gallium containing material
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9882353B2 (en) 2013-10-18 2018-01-30 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US10141714B2 (en) 2014-02-10 2018-11-27 Soraa Laser Diode, Inc. Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US10439364B2 (en) 2013-10-18 2019-10-08 Soraa Laser Diode, Inc. Manufacturable laser diode formed on c-plane gallium and nitrogen material
US10566767B2 (en) 2014-02-10 2020-02-18 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
WO2017124332A1 (en) * 2016-01-20 2017-07-27 Goertek.Inc Micro-led transfer method and manufacturing method
WO2018102961A1 (en) * 2016-12-05 2018-06-14 Goertek.Inc Micro laser diode transfer method and manufacturing method
US20190019448A1 (en) * 2017-07-12 2019-01-17 Oculus Vr, Llc Redundant microleds of multiple rows for compensation of defective microled
US11508620B2 (en) 2017-09-15 2022-11-22 The Regents Of The University Of California Method of removing a substrate with a cleaving technique
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
CN108376730A (zh) * 2018-02-07 2018-08-07 赛富乐斯股份有限公司 发光装置及其制造方法
CN108376731A (zh) * 2018-02-07 2018-08-07 赛富乐斯股份有限公司 发光装置及其制造方法
KR102563570B1 (ko) 2018-10-24 2023-08-04 삼성전자주식회사 반도체 레이저 장치
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
WO2021030724A1 (en) * 2019-08-15 2021-02-18 The University Of Chicago Heterogeneous rare-earth doped systems
CN111948235B (zh) * 2020-08-07 2022-09-20 广西大学 测量半极性面ⅲ族氮化物薄膜缺陷密度的方法及其应用
CN112436380B (zh) * 2020-11-19 2022-02-18 清华大学 基于范德华外延的垂直腔面发射激光器及其制作方法
CN117613663B (zh) * 2024-01-19 2024-05-10 武汉云岭光电股份有限公司 激光器及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8422525B1 (en) 2009-03-28 2013-04-16 Soraa, Inc. Optical device structure using miscut GaN substrates for laser applications

Family Cites Families (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
FR2596070A1 (fr) 1986-03-21 1987-09-25 Labo Electronique Physique Dispositif comprenant un suscepteur plan tournant parallelement a un plan de reference autour d'un axe perpendiculaire a ce plan
US4911102A (en) 1987-01-31 1990-03-27 Toyoda Gosei Co., Ltd. Process of vapor growth of gallium nitride and its apparatus
US5334277A (en) 1990-10-25 1994-08-02 Nichia Kagaky Kogyo K.K. Method of vapor-growing semiconductor crystal and apparatus for vapor-growing the same
US5157466A (en) 1991-03-19 1992-10-20 Conductus, Inc. Grain boundary junctions in high temperature superconductor films
JP3148004B2 (ja) 1992-07-06 2001-03-19 株式会社東芝 光cvd装置及びこれを用いた半導体装置の製造方法
US5474021A (en) 1992-09-24 1995-12-12 Sumitomo Electric Industries, Ltd. Epitaxial growth of diamond from vapor phase
US5578839A (en) 1992-11-20 1996-11-26 Nichia Chemical Industries, Ltd. Light-emitting gallium nitride-based compound semiconductor device
US5331654A (en) 1993-03-05 1994-07-19 Photonics Research Incorporated Polarized surface-emitting laser
JPH06267846A (ja) 1993-03-10 1994-09-22 Canon Inc ダイヤモンド電子装置およびその製造法
WO1995004652A1 (en) 1993-08-06 1995-02-16 Minnesota Mining And Manufacturing Company Multilayered tubing
JP3623001B2 (ja) 1994-02-25 2005-02-23 住友電気工業株式会社 単結晶性薄膜の形成方法
JPH07254732A (ja) 1994-03-15 1995-10-03 Toshiba Corp 半導体発光装置
JP3235933B2 (ja) 1994-04-30 2001-12-04 キヤノン株式会社 情報記録媒体搬送装置
US5821555A (en) 1995-03-27 1998-10-13 Kabushiki Kaisha Toshiba Semicoductor device having a hetero interface with a lowered barrier
US5985687A (en) 1996-04-12 1999-11-16 The Regents Of The University Of California Method for making cleaved facets for lasers fabricated with gallium nitride and other noncubic materials
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5951923A (en) 1996-05-23 1999-09-14 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US5760484A (en) 1997-02-11 1998-06-02 Mosel Vitelic Inc. Alignment mark pattern for semiconductor process
US6377597B1 (en) 1997-03-07 2002-04-23 Sharp Kabushiki Kaisha Gallium nitride semiconductor light emitting element with active layer having multiplex quantum well structure and semiconductor laser light source device
US6069394A (en) 1997-04-09 2000-05-30 Matsushita Electronics Corporation Semiconductor substrate, semiconductor device and method of manufacturing the same
CN1292458C (zh) 1997-04-11 2006-12-27 日亚化学工业株式会社 氮化物半导体的生长方法、氮化物半导体衬底及器件
US5926493A (en) 1997-05-20 1999-07-20 Sdl, Inc. Optical semiconductor device with diffraction grating structure
JPH11135891A (ja) 1997-10-31 1999-05-21 Matsushita Electric Ind Co Ltd 半導体発光素子およびその製造方法、発光素子付き光ファイバ、光通信モジュールならびに光通信システム
JP3653169B2 (ja) 1998-01-26 2005-05-25 シャープ株式会社 窒化ガリウム系半導体レーザ素子
US20030135414A1 (en) 1998-02-19 2003-07-17 Tai Roland D. Promotion processor and management system
US6147953A (en) 1998-03-25 2000-11-14 Duncan Technologies, Inc. Optical signal transmission apparatus
US6108937A (en) 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6785447B2 (en) 1998-10-09 2004-08-31 Fujitsu Limited Single and multilayer waveguides and fabrication process
JP2000138168A (ja) 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
JP2000209705A (ja) 1999-01-13 2000-07-28 Shinko Electric Co Ltd 無人搬送車システム
JP3659621B2 (ja) 1999-02-08 2005-06-15 株式会社東芝 窒化物系半導体レーザ装置の製造方法
ATE452445T1 (de) 1999-03-04 2010-01-15 Nichia Corp Nitridhalbleiterlaserelement
US6239454B1 (en) 1999-05-10 2001-05-29 Lucent Technologies Inc. Net strain reduction in integrated laser-modulator
DE60033829T2 (de) 1999-09-07 2007-10-11 Sixon Inc. SiC-HALBLEITERSCHEIBE, SiC-HALBLEITERBAUELEMENT SOWIE HERSTELLUNGSVERFAHREN FÜR EINE SiC-HALBLEITERSCHEIBE
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
WO2001064591A1 (en) 2000-03-01 2001-09-07 Heraeus Amersil, Inc. Method, apparatus, and article of manufacture for determining an amount of energy needed to bring a quartz workpiece to a fusion weldable condition
CA2411445C (en) 2000-06-08 2011-08-16 Nichia Corporation Semiconductor laser device, and method of manufacturing the same
JP4638000B2 (ja) 2000-06-27 2011-02-23 京セラ株式会社 半導体基板の製造方法
US6586762B2 (en) 2000-07-07 2003-07-01 Nichia Corporation Nitride semiconductor device with improved lifetime and high output power
US6680959B2 (en) 2000-07-18 2004-01-20 Rohm Co., Ltd. Semiconductor light emitting device and semiconductor laser
US7053413B2 (en) 2000-10-23 2006-05-30 General Electric Company Homoepitaxial gallium-nitride-based light emitting device and method for producing
US6489636B1 (en) 2001-03-29 2002-12-03 Lumileds Lighting U.S., Llc Indium gallium nitride smoothing structures for III-nitride devices
US6635904B2 (en) 2001-03-29 2003-10-21 Lumileds Lighting U.S., Llc Indium gallium nitride smoothing structures for III-nitride devices
KR100853410B1 (ko) 2001-04-11 2008-08-21 소니 가부시키가이샤 소자의 전사방법 및 이를 이용한 소자의 배열방법,화상표시장치의 제조방법
US6939730B2 (en) 2001-04-24 2005-09-06 Sony Corporation Nitride semiconductor, semiconductor device, and method of manufacturing the same
US6734530B2 (en) 2001-06-06 2004-05-11 Matsushita Electric Industries Co., Ltd. GaN-based compound semiconductor EPI-wafer and semiconductor element using the same
JP3639807B2 (ja) 2001-06-27 2005-04-20 キヤノン株式会社 光学素子及び製造方法
US6379985B1 (en) 2001-08-01 2002-04-30 Xerox Corporation Methods for cleaving facets in III-V nitrides grown on c-face sapphire substrates
JP3785970B2 (ja) 2001-09-03 2006-06-14 日本電気株式会社 Iii族窒化物半導体素子の製造方法
JP3749243B2 (ja) 2001-09-03 2006-02-22 松下電器産業株式会社 半導体発光デバイス,発光装置及び半導体発光デバイスの製造方法
US7303630B2 (en) 2003-11-05 2007-12-04 Sumitomo Electric Industries, Ltd. Method of growing GaN crystal, method of producing single crystal GaN substrate, and single crystal GaN substrate
JP2005528777A (ja) 2001-09-29 2005-09-22 クリー インコーポレイテッド 反転型cvdのための装置
US6833564B2 (en) 2001-11-02 2004-12-21 Lumileds Lighting U.S., Llc Indium gallium nitride separate confinement heterostructure light emitting devices
JPWO2003058726A1 (ja) 2001-12-28 2005-05-19 サンケン電気株式会社 半導体発光素子、発光表示体、半導体発光素子の製造方法及び発光表示体の製造方法
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
JP2003218034A (ja) 2002-01-17 2003-07-31 Sony Corp 選択成長方法、半導体発光素子及びその製造方法
JP3898537B2 (ja) 2002-03-19 2007-03-28 日本電信電話株式会社 窒化物半導体の薄膜形成方法および窒化物半導体発光素子
US7063741B2 (en) 2002-03-27 2006-06-20 General Electric Company High pressure high temperature growth of crystalline group III metal nitrides
JP4250904B2 (ja) * 2002-04-08 2009-04-08 パナソニック株式会社 半導体の製造方法
CN100439561C (zh) 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US6927382B2 (en) 2002-05-22 2005-08-09 Agilent Technologies Optical excitation/detection device and method for making same using fluidic self-assembly techniques
US6995032B2 (en) 2002-07-19 2006-02-07 Cree, Inc. Trench cut light emitting diodes and methods of fabricating same
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
TW560120B (en) 2002-09-20 2003-11-01 Chung Shan Inst Of Science Nitride based semiconductor laser diode device including a selective growth mask
US6809781B2 (en) 2002-09-24 2004-10-26 General Electric Company Phosphor blends and backlight sources for liquid crystal displays
US7009199B2 (en) 2002-10-22 2006-03-07 Cree, Inc. Electronic devices having a header and antiparallel connected light emitting diodes for producing light from AC current
TWI233154B (en) 2002-12-06 2005-05-21 Soitec Silicon On Insulator Method for recycling a substrate
JP2004241570A (ja) 2003-02-05 2004-08-26 Fujitsu Ltd 半導体レーザ
US7068905B2 (en) 2003-03-12 2006-06-27 Daryoosh Vakhshoori Extended optical bandwidth semiconductor source
KR100678407B1 (ko) 2003-03-18 2007-02-02 크리스탈 포토닉스, 인코포레이티드 Ⅲ족 질화물 장치를 제조하는 방법과 이 방법으로 제조된장치
JP2004304111A (ja) 2003-04-01 2004-10-28 Sharp Corp 多波長レーザ装置
US7187185B2 (en) 2004-09-29 2007-03-06 Loadstar Sensors Inc Area-change sensing through capacitive techniques
US7118781B1 (en) 2003-04-16 2006-10-10 Cree, Inc. Methods for controlling formation of deposits in a deposition system and deposition methods including the same
JPWO2004111297A1 (ja) 2003-06-10 2006-07-20 東京エレクトロン株式会社 処理ガス供給機構、成膜装置および成膜方法
US6913985B2 (en) 2003-06-20 2005-07-05 Oki Data Corporation Method of manufacturing a semiconductor device
JP4229005B2 (ja) 2003-06-26 2009-02-25 住友電気工業株式会社 GaN基板及びその製造方法、並びに窒化物半導体素子
JP4011569B2 (ja) 2003-08-20 2007-11-21 株式会社東芝 半導体発光素子
US7009215B2 (en) 2003-10-24 2006-03-07 General Electric Company Group III-nitride based resonant cavity light emitting devices fabricated on single crystal gallium nitride substrates
US7128849B2 (en) 2003-10-31 2006-10-31 General Electric Company Phosphors containing boron and metals of Group IIIA and IIIB
US7384481B2 (en) 2003-12-29 2008-06-10 Translucent Photonics, Inc. Method of forming a rare-earth dielectric layer
JP4279698B2 (ja) 2004-01-30 2009-06-17 シャープ株式会社 Led素子の駆動方法及び駆動装置、照明装置並びに表示装置
US20050285128A1 (en) 2004-02-10 2005-12-29 California Institute Of Technology Surface plasmon light emitter structure and method of manufacture
JP4830315B2 (ja) 2004-03-05 2011-12-07 日亜化学工業株式会社 半導体レーザ素子
US7408201B2 (en) 2004-03-19 2008-08-05 Philips Lumileds Lighting Company, Llc Polarized semiconductor light emitting device
WO2005089521A2 (en) 2004-03-19 2005-09-29 Arizona Board Of Regents High power vcsels with transverse mode control
JP4671617B2 (ja) 2004-03-30 2011-04-20 三洋電機株式会社 集積型半導体レーザ素子
US8035113B2 (en) 2004-04-15 2011-10-11 The Trustees Of Boston University Optical devices featuring textured semiconductor layers
DE102004021233A1 (de) 2004-04-30 2005-12-01 Osram Opto Semiconductors Gmbh Leuchtdiodenanordnung
US7846757B2 (en) 2005-06-01 2010-12-07 The Regents Of The University Of California Technique for the growth and fabrication of semipolar (Ga,A1,In,B)N thin films, heterostructures, and devices
EP1598681A3 (de) 2004-05-17 2006-03-01 Carl Zeiss SMT AG Optische Komponente mit gekrümmter Oberfläche und Mehrlagenbeschichtung
US8227820B2 (en) 2005-02-09 2012-07-24 The Regents Of The University Of California Semiconductor light-emitting device
US20080149949A1 (en) 2006-12-11 2008-06-26 The Regents Of The University Of California Lead frame for transparent and mirrorless light emitting diodes
US7361938B2 (en) 2004-06-03 2008-04-22 Philips Lumileds Lighting Company Llc Luminescent ceramic for a light emitting device
WO2005124859A2 (en) 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US7288679B2 (en) 2004-08-06 2007-10-30 Agfa-Gevaert Device provided with a dedicated dye compound
TWI241036B (en) 2004-08-18 2005-10-01 Formosa Epitaxy Inc GaN LED structure with enhanced light emitting luminance
JP2006108435A (ja) 2004-10-06 2006-04-20 Sumitomo Electric Ind Ltd 窒化物半導体ウエハ
US7550395B2 (en) 2004-11-02 2009-06-23 The Regents Of The University Of California Control of photoelectrochemical (PEC) etching by modification of the local electrochemical potential of the semiconductor structure relative to the electrolyte
US7858408B2 (en) 2004-11-15 2010-12-28 Koninklijke Philips Electronics N.V. LED with phosphor tile and overmolded phosphor in lens
US7751455B2 (en) 2004-12-14 2010-07-06 Palo Alto Research Center Incorporated Blue and green laser diodes with gallium nitride or indium gallium nitride cladding laser structure
US7358542B2 (en) 2005-02-02 2008-04-15 Lumination Llc Red emitting phosphor materials for use in LED and LCD applications
US7932111B2 (en) 2005-02-23 2011-04-26 Cree, Inc. Substrate removal process for high light extraction LEDs
JP2006270028A (ja) 2005-02-25 2006-10-05 Mitsubishi Electric Corp 半導体発光素子
KR101145755B1 (ko) 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
WO2006099211A2 (en) 2005-03-11 2006-09-21 Ponce Fernando A Solid state light emitting device
US7483466B2 (en) 2005-04-28 2009-01-27 Canon Kabushiki Kaisha Vertical cavity surface emitting laser device
US7574791B2 (en) 2005-05-10 2009-08-18 Hitachi Global Storage Technologies Netherlands B.V. Method to fabricate side shields for a magnetic sensor
US7358543B2 (en) 2005-05-27 2008-04-15 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Light emitting device having a layer of photonic crystals and a region of diffusing material and method for fabricating the device
TWI377602B (en) 2005-05-31 2012-11-21 Japan Science & Tech Agency Growth of planar non-polar {1-100} m-plane gallium nitride with metalorganic chemical vapor deposition (mocvd)
US20060288928A1 (en) 2005-06-10 2006-12-28 Chang-Beom Eom Perovskite-based thin film structures on miscut semiconductor substrates
US8148713B2 (en) 2008-04-04 2012-04-03 The Regents Of The University Of California Method for fabrication of semipolar (Al, In, Ga, B)N based light emitting diodes
DE102005061828B4 (de) 2005-06-23 2017-05-24 Osram Opto Semiconductors Gmbh Wellenlängenkonvertierendes Konvertermaterial, lichtabstrahlendes optisches Bauelement und Verfahren zu dessen Herstellung
US20070081857A1 (en) 2005-10-07 2007-04-12 Yoon Jung H Four parts manhole enabling an easy install and height adjustment
US20070086916A1 (en) 2005-10-14 2007-04-19 General Electric Company Faceted structure, article, sensor device, and method
US7508466B2 (en) 2005-11-14 2009-03-24 Cree, Inc. Laser diode backlighting of LC display with at least one diode generating light beam having divergence angle and with display panel having beam spreader to increase divergence
JP4879563B2 (ja) 2005-11-16 2012-02-22 パナソニック株式会社 Iii族窒化物半導体発光装置
JP4954536B2 (ja) 2005-11-29 2012-06-20 ローム株式会社 窒化物半導体発光素子
US8435879B2 (en) 2005-12-12 2013-05-07 Kyma Technologies, Inc. Method for making group III nitride articles
JP5191650B2 (ja) 2005-12-16 2013-05-08 シャープ株式会社 窒化物半導体発光素子および窒化物半導体発光素子の製造方法
JP4534978B2 (ja) 2005-12-21 2010-09-01 トヨタ自動車株式会社 半導体薄膜製造装置
DE602006004834D1 (de) 2005-12-22 2009-03-05 Freiberger Compound Mat Gmbh Verfahren zum selektiven Maskieren von III-N-Schichten und zur Herstellung von selbsttragenden III-N-Schichten oder Bauelementen
US8044412B2 (en) 2006-01-20 2011-10-25 Taiwan Semiconductor Manufacturing Company, Ltd Package for a light emitting element
JP5896442B2 (ja) 2006-01-20 2016-03-30 国立研究開発法人科学技術振興機構 Iii族窒化物膜の成長方法
JP4804930B2 (ja) 2006-01-23 2011-11-02 ローム株式会社 窒化物半導体素子の製造方法
EP1984545A4 (de) 2006-02-17 2013-05-15 Univ California Verfahren für das wachstum von semipolaren (al,in,ga,b)n optoelektronikbauelementen
JP4660400B2 (ja) 2006-03-14 2011-03-30 シャープ株式会社 窒化物半導体レーザ素子の製造方法
WO2007133766A2 (en) 2006-05-15 2007-11-22 The Regents Of The University Of California Electrically-pumped (ga,in, ai) n vertical-cavity surface-emitting laser
JP4819577B2 (ja) 2006-05-31 2011-11-24 キヤノン株式会社 パターン転写方法およびパターン転写装置
US20090273005A1 (en) 2006-07-24 2009-11-05 Hung-Yi Lin Opto-electronic package structure having silicon-substrate and method of forming the same
US7374960B1 (en) 2006-08-23 2008-05-20 Applied Materials, Inc. Stress measurement and stress balance in films
JP2008109066A (ja) 2006-09-29 2008-05-08 Rohm Co Ltd 発光素子
CN103184519A (zh) 2006-10-08 2013-07-03 迈图高新材料公司 用于形成氮化物晶体的方法
US20100104495A1 (en) 2006-10-16 2010-04-29 Mitsubishi Chemical Corporation Method for producing nitride semiconductor, crystal growth rate increasing agent, single crystal nitride, wafer and device
KR100837404B1 (ko) 2006-10-18 2008-06-12 삼성전자주식회사 반도체 광전 소자
JP4827698B2 (ja) 2006-10-27 2011-11-30 キヤノン株式会社 発光素子の形成方法
US7598104B2 (en) 2006-11-24 2009-10-06 Agency For Science, Technology And Research Method of forming a metal contact and passivation of a semiconductor feature
JP2008141118A (ja) 2006-12-05 2008-06-19 Rohm Co Ltd 半導体白色発光装置
TWI460881B (zh) 2006-12-11 2014-11-11 Univ California 透明發光二極體
WO2009002365A1 (en) 2006-12-15 2008-12-31 University Of South Carolina Pulsed selective area lateral epitaxy for growth of iii-nitride materials over non-polar and semi-polar substrates
US20080217745A1 (en) 2006-12-19 2008-09-11 Sumitomo Electric Industries, Ltd. Nitride Semiconductor Wafer
CA2673660C (en) 2006-12-28 2012-07-24 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101464227B1 (ko) 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
US7839903B2 (en) 2007-02-12 2010-11-23 The Regents Of The University Of California Optimization of laser bar orientation for nonpolar and semipolar (Ga,Al,In,B)N diode lasers
WO2008100502A1 (en) 2007-02-12 2008-08-21 The Regents Of The University Of California Al(x)ga(1-x)n-cladding-free nonpolar iii-nitride based laser diodes and light emitting diodes
WO2008100504A1 (en) 2007-02-12 2008-08-21 The Regents Of The University Of California Cleaved facet (ga,al,in)n edge-emitting laser diodes grown on semipolar {11-2n} bulk gallium nitride substrates
JP2008252069A (ja) * 2007-03-06 2008-10-16 Sanyo Electric Co Ltd 半導体レーザ素子の製造方法および半導体レーザ素子
US8085825B2 (en) * 2007-03-06 2011-12-27 Sanyo Electric Co., Ltd. Method of fabricating semiconductor laser diode apparatus and semiconductor laser diode apparatus
JP5162926B2 (ja) 2007-03-07 2013-03-13 三菱電機株式会社 半導体レーザ装置の製造方法
JP2008235802A (ja) 2007-03-23 2008-10-02 Rohm Co Ltd 発光装置
US7929587B2 (en) 2007-04-27 2011-04-19 Sanyo Electric Co., Ltd. Semiconductor laser diode element and method of manufacturing the same
JP2008311640A (ja) 2007-05-16 2008-12-25 Rohm Co Ltd 半導体レーザダイオード
US20080303033A1 (en) 2007-06-05 2008-12-11 Cree, Inc. Formation of nitride-based optoelectronic and electronic device structures on lattice-matched substrates
JP5118392B2 (ja) 2007-06-08 2013-01-16 ローム株式会社 半導体発光素子およびその製造方法
EP2003230A2 (de) 2007-06-14 2008-12-17 Sumitomo Electric Industries, Ltd. GaN-Substrat, Substrat mit Epitaxialschicht, Halbleiterbauelement sowie Verfahren zur Herstellung eines GaN-Substrats
KR101459752B1 (ko) 2007-06-22 2014-11-13 엘지이노텍 주식회사 반도체 발광소자 및 그 제조방법
JP4714712B2 (ja) 2007-07-04 2011-06-29 昭和電工株式会社 Iii族窒化物半導体発光素子及びその製造方法、並びにランプ
US7733571B1 (en) 2007-07-24 2010-06-08 Rockwell Collins, Inc. Phosphor screen and displays systems
JP5041902B2 (ja) 2007-07-24 2012-10-03 三洋電機株式会社 半導体レーザ素子
JP5212686B2 (ja) 2007-08-22 2013-06-19 ソニー株式会社 半導体レーザアレイの製造方法
JP4584293B2 (ja) 2007-08-31 2010-11-17 富士通株式会社 窒化物半導体装置、ドハティ増幅器、ドレイン電圧制御増幅器
JP2009065048A (ja) 2007-09-07 2009-03-26 Rohm Co Ltd 半導体発光素子およびその製造方法
WO2009035648A1 (en) 2007-09-14 2009-03-19 Kyma Technologies, Inc. Non-polar and semi-polar gan substrates, devices, and methods for making them
JP4809308B2 (ja) 2007-09-21 2011-11-09 新光電気工業株式会社 基板の製造方法
US8750688B2 (en) 2007-09-21 2014-06-10 Echostar Technologies L.L.C. Systems and methods for selectively recording at least part of a program based on an occurrence of a video or audio characteristic in the program
JP2009141340A (ja) 2007-11-12 2009-06-25 Rohm Co Ltd 窒化物半導体レーザ素子
JP2009123939A (ja) 2007-11-15 2009-06-04 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
JP5003527B2 (ja) 2008-02-22 2012-08-15 住友電気工業株式会社 Iii族窒化物発光素子、及びiii族窒化物系半導体発光素子を作製する方法
US8144743B2 (en) 2008-03-05 2012-03-27 Rohm Co., Ltd. Nitride based semiconductor device and fabrication method for the same
US20090238227A1 (en) 2008-03-05 2009-09-24 Rohm Co., Ltd. Semiconductor light emitting device
JP5053893B2 (ja) 2008-03-07 2012-10-24 住友電気工業株式会社 窒化物半導体レーザを作製する方法
JP4640427B2 (ja) 2008-03-14 2011-03-02 ソニー株式会社 GaN系半導体発光素子、発光素子組立体、発光装置、GaN系半導体発光素子の製造方法、GaN系半導体発光素子の駆動方法、及び、画像表示装置
JP2009283912A (ja) 2008-04-25 2009-12-03 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
KR101428719B1 (ko) 2008-05-22 2014-08-12 삼성전자 주식회사 발광 소자 및 발광 장치의 제조 방법, 상기 방법을이용하여 제조한 발광 소자 및 발광 장치
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP2009286652A (ja) 2008-05-28 2009-12-10 Sumitomo Electric Ind Ltd Iii族窒化物結晶、iii族窒化物結晶基板および半導体デバイスの製造方法
US20090301388A1 (en) 2008-06-05 2009-12-10 Soraa Inc. Capsule for high pressure processing and method of use for supercritical fluids
US8097081B2 (en) 2008-06-05 2012-01-17 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
WO2010065163A2 (en) 2008-06-05 2010-06-10 Soraa, Inc. Highly polarized white light source by combining blue led on semipolar or nonpolar gan with yellow led on semipolar or nonpolar gan
US20090309127A1 (en) 2008-06-13 2009-12-17 Soraa, Inc. Selective area epitaxy growth method and structure
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8303710B2 (en) 2008-06-18 2012-11-06 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US20100006873A1 (en) 2008-06-25 2010-01-14 Soraa, Inc. HIGHLY POLARIZED WHITE LIGHT SOURCE BY COMBINING BLUE LED ON SEMIPOLAR OR NONPOLAR GaN WITH YELLOW LED ON SEMIPOLAR OR NONPOLAR GaN
CN101621101A (zh) 2008-06-30 2010-01-06 展晶科技(深圳)有限公司 发光二极管及其制造方法
WO2010005914A1 (en) 2008-07-07 2010-01-14 Soraa, Inc. High quality large area bulk non-polar or semipolar gallium based substrates and methods
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
JP2010027935A (ja) 2008-07-23 2010-02-04 Sony Corp 半導体レーザ、光ディスク装置および光ピックアップ
EP2319086A4 (de) 2008-08-04 2014-08-27 Soraa Inc Weisslichtvorrichtungen mit nicht-polarem oder semipolarem galliumhaltigem material und leuchtstoffen
WO2010017316A1 (en) 2008-08-05 2010-02-11 The Regents Of The University Of California Linearly polarized backlight source in conjunction with polarized phosphor emission screens for use in liquid crystal displays
US8979999B2 (en) 2008-08-07 2015-03-17 Soraa, Inc. Process for large-scale ammonothermal manufacturing of gallium nitride boules
JP2010067858A (ja) 2008-09-11 2010-03-25 Sanyo Electric Co Ltd 窒化物系半導体素子およびその製造方法
EP2323180A1 (de) 2008-09-11 2011-05-18 Sumitomo Electric Industries, Ltd. Optische nitridhalbleitervorrichtung, epitaxialwafer für eine optische nitridhalbleitervorrichtung sowie verfahren zur herstellung der nitridhalbleitervorrichtung
US8461071B2 (en) 2008-12-12 2013-06-11 Soraa, Inc. Polycrystalline group III metal nitride with getter and method of making
US7923741B1 (en) 2009-01-05 2011-04-12 Lednovation, Inc. Semiconductor lighting device with reflective remote wavelength conversion
JP2010177651A (ja) 2009-02-02 2010-08-12 Rohm Co Ltd 半導体レーザ素子
US8252662B1 (en) 2009-03-28 2012-08-28 Soraa, Inc. Method and structure for manufacture of light emitting diode devices using bulk GaN
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
WO2010120819A1 (en) 2009-04-13 2010-10-21 Kaai, Inc. Optical device structure using gan substrates for laser applications
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8126024B1 (en) 2009-04-17 2012-02-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications of emissions of 500 nm and greater
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
JP2010267871A (ja) 2009-05-15 2010-11-25 Sony Corp 半導体レーザおよびその製造方法
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US20100309943A1 (en) 2009-06-05 2010-12-09 The Regents Of The University Of California LONG WAVELENGTH NONPOLAR AND SEMIPOLAR (Al,Ga,In)N BASED LASER DIODES
US7933303B2 (en) 2009-06-17 2011-04-26 Sumitomo Electric Industries, Ltd. Group-III nitride semiconductor laser device, and method for fabricating group-III nitride semiconductor laser device
JP5397042B2 (ja) 2009-06-26 2014-01-22 富士通株式会社 半導体装置の製造方法
US8409888B2 (en) 2009-06-30 2013-04-02 Joseph John Rumpler Highly integrable edge emitting active optical device and a process for manufacture of the same
US20110001126A1 (en) 2009-07-02 2011-01-06 Sharp Kabushiki Kaisha Nitride semiconductor chip, method of fabrication thereof, and semiconductor device
US8449128B2 (en) 2009-08-20 2013-05-28 Illumitex, Inc. System and method for a lens and phosphor layer
US20110056429A1 (en) 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US20110186887A1 (en) 2009-09-21 2011-08-04 Soraa, Inc. Reflection Mode Wavelength Conversion Material for Optical Devices Using Non-Polar or Semipolar Gallium Containing Materials
JP5387302B2 (ja) 2009-09-30 2014-01-15 住友電気工業株式会社 Iii族窒化物半導体レーザ素子、及びiii族窒化物半導体レーザ素子を作製する方法
WO2011056675A1 (en) 2009-11-03 2011-05-12 The Regents Of The University Of California Superluminescent diodes by crystallographic etching
EP2497168A1 (de) 2009-11-05 2012-09-12 The Regents of the University of California Semipolare {20-21}-gruppe-iii-nitrid-laserdioden mit eingeätzten spiegeln
FR2953492B1 (fr) 2009-12-09 2012-04-06 Airbus Operations Sas Nacelle d'aeronef incorporant un dispositif de rapprochement de capots independant du mecanisme de verrouillage
US20110182056A1 (en) 2010-06-23 2011-07-28 Soraa, Inc. Quantum Dot Wavelength Conversion for Optical Devices Using Nonpolar or Semipolar Gallium Containing Materials
US20110186874A1 (en) 2010-02-03 2011-08-04 Soraa, Inc. White Light Apparatus and Method
US20110204376A1 (en) 2010-02-23 2011-08-25 Applied Materials, Inc. Growth of multi-junction led film stacks with multi-chambered epitaxy system
JP5972798B2 (ja) 2010-03-04 2016-08-17 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア C方向において+/−15度より少ないミスカットを有するm面基板上の半極性iii族窒化物光電子デバイス
JP2011199221A (ja) 2010-03-24 2011-10-06 Hitachi Cable Ltd 発光ダイオード
JP2011204983A (ja) 2010-03-26 2011-10-13 Sanyo Electric Co Ltd 集積型半導体レーザ装置の製造方法
US20110247556A1 (en) 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US8786053B2 (en) 2011-01-24 2014-07-22 Soraa, Inc. Gallium-nitride-on-handle substrate materials and devices and method of manufacture
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
JP5803457B2 (ja) 2011-09-08 2015-11-04 三菱電機株式会社 レーザダイオード素子の製造方法
WO2013123241A1 (en) 2012-02-17 2013-08-22 The Regents Of The University Of California Method for the reuse of gallium nitride epitaxial substrates
DE202013012940U1 (de) 2012-05-04 2023-01-19 Soraa, Inc. LED-Lampen mit verbesserter Lichtqualität
US9136673B2 (en) 2012-07-20 2015-09-15 The Regents Of The University Of California Structure and method for the fabrication of a gallium nitride vertical cavity surface emitting laser
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8422525B1 (en) 2009-03-28 2013-04-16 Soraa, Inc. Optical device structure using miscut GaN substrates for laser applications

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10439364B2 (en) 2013-10-18 2019-10-08 Soraa Laser Diode, Inc. Manufacturable laser diode formed on c-plane gallium and nitrogen material
US11569637B2 (en) 2013-10-18 2023-01-31 Kyocera Sld Laser, Inc. Manufacturable laser diode formed on c-plane gallium and nitrogen material
US9882353B2 (en) 2013-10-18 2018-01-30 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US10903625B2 (en) 2013-10-18 2021-01-26 Soraa Laser Diode, Inc. Manufacturable laser diode formed on c-plane gallium and nitrogen material
US11342727B1 (en) 2014-02-07 2022-05-24 Kyocera Sld Laser, Inc. Semiconductor laser diode on tiled gallium containing material
US10693279B1 (en) 2014-02-07 2020-06-23 Soraa Laser Diode, Inc. Semiconductor laser diode on tiled gallium containing material
US9762032B1 (en) 2014-02-07 2017-09-12 Soraa Laser Diode, Inc. Semiconductor laser diode on tiled gallium containing material
US10044170B1 (en) 2014-02-07 2018-08-07 Soraa Laser Diode, Inc. Semiconductor laser diode on tiled gallium containing material
US10431958B1 (en) 2014-02-07 2019-10-01 Soraa Laser Diode, Inc. Semiconductor laser diode on tiled gallium containing material
US10658810B2 (en) 2014-02-10 2020-05-19 Soraa Laser Diode, Inc. Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US10749315B2 (en) 2014-02-10 2020-08-18 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US10566767B2 (en) 2014-02-10 2020-02-18 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US11011889B2 (en) 2014-02-10 2021-05-18 Kyocera Sld Laser, Inc. Manufacturable multi-emitter laser diode
US11088505B2 (en) 2014-02-10 2021-08-10 Kyocera Sld Laser, Inc. Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US11139637B2 (en) 2014-02-10 2021-10-05 Kyocera Sld Laser, Inc. Manufacturable RGB laser diode source and system
US10141714B2 (en) 2014-02-10 2018-11-27 Soraa Laser Diode, Inc. Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US11658456B2 (en) 2014-02-10 2023-05-23 Kyocera Sld Laser, Inc. Manufacturable multi-emitter laser diode
US11705689B2 (en) 2014-02-10 2023-07-18 Kyocera Sld Laser, Inc. Gallium and nitrogen bearing dies with improved usage of substrate material
US11710944B2 (en) 2014-02-10 2023-07-25 Kyocera Sld Laser, Inc. Manufacturable RGB laser diode source and system

Also Published As

Publication number Publication date
JP6651287B2 (ja) 2020-02-19
US10141714B2 (en) 2018-11-27
JP2015154074A (ja) 2015-08-24
CN104836117A (zh) 2015-08-12
US20160359294A1 (en) 2016-12-08
US20190109432A1 (en) 2019-04-11
US20150229100A1 (en) 2015-08-13
US9362715B2 (en) 2016-06-07
US20200350740A1 (en) 2020-11-05
US20170365975A1 (en) 2017-12-21
CN104836117B (zh) 2019-10-15
US11705689B2 (en) 2023-07-18
US20220006256A1 (en) 2022-01-06
US11088505B2 (en) 2021-08-10
US10658810B2 (en) 2020-05-19
US9755398B2 (en) 2017-09-05

Similar Documents

Publication Publication Date Title
DE102014223196A1 (de) Verfahren zur Herstellung von Gallium und Stickstoff tragenden Laser-Bauelementen mit verbesserter Verwendung von Substratmaterial
EP0903792B1 (de) Verfahren zum Herstellen einer Mehrzahl von Halbleiterlasern
US7528055B2 (en) Method of producing a nitride semiconductor device and nitride semiconductor device
EP1920469B1 (de) Verfahren zum lateralen zertrennen eines halbleiterwafers und optoelektronisches bauelement
US9520472B2 (en) Growth of cubic crystalline phase strucure on silicon substrates and devices comprising the cubic crystalline phase structure
DE112010001615T5 (de) Stuktur eines optischen Elements unter Verwendung von GaN-Substraten für Laseranwendungen
DE112004002809B4 (de) Verfahren zum Herstellen eines strahlungsemittierenden Halbleiterchips und durch dieses Verfahren hergestellter Halbleiterchip
EP1636836A1 (de) Verfahren zum herstellen von halbleiterchips
DE10000088A1 (de) Mittels Substratentfernung hergestellte optische In¶x¶Al¶y¶Ga¶z¶N-Emitter
DE102005052357A1 (de) Verfahren zum lateralen Zertrennen eines Halbleiterwafers und optoelektronisches Bauelement
DE112013006065B4 (de) Verfahren zur Herstellung von Halbleiter-Laserelementen und Halbleiter-Laserelement
DE112008001614T5 (de) Halbleiterlichtemissionsvorrichtung und Verfahren zu ihrer Herstellung
DE10312214A1 (de) Verfahren zum Herstellen von mindestens einer Mesa- oder Stegstruktur oder von mindestens einem elektrisch gepumpten Bereich in einer Schicht oder Schichtenfolge
JP2003051590A (ja) 半導体素子の製造方法及び半導体素子
DE102012106663A1 (de) Verfahren zum Herstellen einer lichtemittierenden Halbleitervorrichtung
CN1333501C (zh) 半导体器件及其制造方法
DE19838810B4 (de) Verfahren zum Herstellen einer Mehrzahl von Ga(In,Al)N-Leuchtdiodenchips
DE102011077542B4 (de) Optoelektronischer halbleiterkörper und verfahren zur herstellung eines optoelektronischen halbleiterkörpers
JP2011097065A (ja) 窒化物半導体デバイス
US8581283B2 (en) Photoelectric device having group III nitride semiconductor
JP2000196186A (ja) Iii族窒化物レ―ザダイオ―ドおよびその製造方法
DE10327612B4 (de) Verfahren zur Herstellung einer Mehrzahl von Halbleiterchips
JP2002026438A (ja) 窒化物系半導体素子およびその製造方法
DE102021131794A1 (de) Verfahren zur herstellung einer halbleiterstruktur und halbleiterstruktur
WO2023078912A1 (de) Oberflächenemittierender halbleiterlaser und verfahren zur herstellung eines oberflächenemittierenden halbleiterlasers

Legal Events

Date Code Title Description
R082 Change of representative

Representative=s name: MAIWALD GMBH, DE

Representative=s name: MAIWALD PATENTANWALTS- UND RECHTSANWALTSGESELL, DE

R081 Change of applicant/patentee

Owner name: KYOCERA SLD LASER, INC. (NACH DEN GESETZEN DES, US

Free format text: FORMER OWNER: SORAA LASER DIODE, INC., GOLETA, CALIF., US

R082 Change of representative

Representative=s name: MAIWALD PATENTANWALTS- UND RECHTSANWALTSGESELL, DE

R012 Request for examination validly filed
R016 Response to examination communication