DE102012025824B4 - FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung - Google Patents

FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung Download PDF

Info

Publication number
DE102012025824B4
DE102012025824B4 DE102012025824.0A DE102012025824A DE102012025824B4 DE 102012025824 B4 DE102012025824 B4 DE 102012025824B4 DE 102012025824 A DE102012025824 A DE 102012025824A DE 102012025824 B4 DE102012025824 B4 DE 102012025824B4
Authority
DE
Germany
Prior art keywords
ridges
dummy
group
active
finfet device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102012025824.0A
Other languages
English (en)
Other versions
DE102012025824A1 (de
Inventor
Joanna Chaw Yane Yin
Chi-Hsi Wu
Kuo-Chiang Ting
Kuang-Hsin Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102012025824A1 publication Critical patent/DE102012025824A1/de
Application granted granted Critical
Publication of DE102012025824B4 publication Critical patent/DE102012025824B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

FinFET-Vorrichtung umfassend:ein Halbleitersubstrat (210);eine Gratstruktur (235), die Dummy-Grate (240) einer ersten und einer zweiten Gruppe und aktive Grate (250) aufweist, wobei die aktiven Grate zwischen den Dummy-Graten angeordnet sind und wobei die Gratstruktur an allen Orten eine ähnliche lokale Merkmalsdichte aufweist;wobei zwischen den aktiven Graten und den Dummy-Graten der zweiten Gruppe ein Raum gebildet ist, in dem Dummy-Grate der ersten Gruppe angeordnet sind, wobei die Dummy-Grate der ersten Gruppe nur teilweise entfernt sind, so dass sie eine geringere Höhe aufweisen als die Dummy-Grate der zweiten Gruppe und als die aktiven Grate,und wobei in dem Raum ein STI-Bereich (290) gebildet ist, der die Dummy-Grate der zweiten Gruppe bedeckt.

Description

  • HINTERGRUND
  • Die Halbleiterindustrie für integrierte Schaltkreise (integrated circuit (IC)) hat ein exponentielles Wachstum erfahren. Technische Fortschritte bei IC-Materialien und Designs haben Generationen von ICs produziert, wobei jede Generation kleinere und komplexere Schaltkreise als die vorangehende Generation aufweist. Im Verlauf der IC-Evolution ist die funktionelle Dichte (d. h. die Anzahl verschalteter Vorrichtungen je Chipfläche) allgemein angestiegen, während die Geometriegröße (d. h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsprozesses erstellt werden kann) abnahm. Dieser Abwärtskalierungsprozess bietet allgemein Vorteile durch Steigern von Produktionseffizienz und Senken von damit verbundenen Kosten.
  • Solches Abwärtsskalieren hat außerdem die Komplexität des Verarbeitens und Herstellens von ICs gesteigert, und ähnliche Entwicklungen werden beim Verarbeiten und Herstellen von ICs benötigt, um diese Vorteile umzusetzen. Beispielsweise wurde ein dreidimensionaler Transistor, wie etwa ein gratähnlicher Feldeffekttransistor (fin-like field-effect transistor (FinFET)) eingeführt, um einen planaren Transistor zu ersetzen. Der Grattransistor oder Fin-Transistor weist einen Kanal auf (hier als ein Gratkanal bezeichnet), der mit einer Oberseite und einander gegenüberliegenden Seitenwänden assoziiert ist. Der Gratkanal weist eine Gesamtkanalbreite auf, die durch die Oberseite und die einander gegenüberliegenden Seitenwände definiert wird. Obwohl existierende FinFET-Vorrichtungen und Verfahren zum Herstellen von FinFET-Vorrichtungen für ihre Zielsetzungen im allgemeinen geeignet sind, sind sie nicht in jeder Hinsicht vollständig zufriedenstellend. Beispielsweise stellt eine Variation von Gratbreite und -profil, insbesondere an einem Ende des Grates, Herausforderungen an eine FinFET-Prozessentwicklung. Es ist wünschenswert, auf diesem Gebiet Verbesserungen zu erzielen.
  • Die US 2009/0057781 A1 beschreibt ein eine Halbleiterstruktur, die aktive FinFET Strukturen und Füll-FinFET Strukturen umfasst, wobei die aktiven Strukturen und die Füllstrukturen jeweils gleich gestaltete und dimensionierte Finnen und Gate-Strukturen aufweisen.
  • Die US 2007/0 001 237 A1 beschreibt eine MOSFET Struktur, wobei auf einem gerippten Substrat aktive Bereiche getrennt werden, indem die Rippen, die außerhalb der aktiven Bereiche liegen, entfernt werden und die entsprechenden Teile des Substrats mit Isolationsmaterial gefüllt werden.
  • Die US 2008/0029821 A1 beschreibt eine FinFET-Vorrichtung mit einem Halbleitersubstrat; einer Gratstruktur, aktive Grate und Gratstummel aufweist, wobei zwischen den aktiven Graten ein Raum gebildet ist, in dem die Gratstummel liegen, und wobei in dem Raum ein STI-Bereich gebildet ist.
  • US 2009/0057781 A1 beschreibt das Vorsehen von Dummy-Graten zur Verbesserung der Prozessgleichmäßigkeit.
  • Figurenliste
  • Die Erfindung ist in den Ansprüchen umrissen.
  • Aspekte der vorliegenden Erfindung werden aus der folgenden ausführlichen Beschreibung am besten verständlich, wenn diese mit den begleitenden Figuren gelesen wird. Es wird angemerkt, dass gemäß üblicher Praxis in der Industrie verschiedene Merkmale nicht maßstabsgerecht gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale zu Zwecken der Klarheit der Diskussion beliebig vergrößert oder verkleinert werden.
    • 1 zeigt ein Flussdiagramm eines beispielhaften Verfahrens zum Herstellen einer FinFET-Vorrichtung gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 2-6 illustrieren Querschnittsansichten einer Ausführungsform in verschiedenen Stadien der Herstellung einer FinFET-Vorrichtung, die gemäß Aspekten der vorliegenden Erfindung konstruiert wurde.
    • 7 zeigt ein weiteres Flussdiagramm eines weiteren beispielhaften Verfahrens zum Herstellen einer FinFET-Vorrichtung gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 8 bis 11a illustrieren Querschnittsansichten einer Ausführungsform in verschiedenen Stadien der Herstellung einer FinFET-Vorrichtung, die gemäß Aspekten der vorliegenden Erfindung konstruiert ist.
    • 11b ist eine Draufsicht einer FinFET-Vorrichtung gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 11c illustriert eine Querschnittsansicht einer FinFET-Vorrichtung entlang der Linie 11c-11c in 11b.
    • 11d illustriert eine Querschnittsansicht einer FinFET-Vorrichtung entlang der Linie 11d-11d in 11b.
    • 12 illustriert eine Querschnittsansicht verschiedener Aspekte einer Ausführungsform in verschiedenen Stadien der Herstellung einer FinFET-Vorrichtung, die gemäß Aspekten der vorliegenden Erfindung konstruiert wurde.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt zahlreiche unterschiedliche Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Spezifische Beispiele für Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen einschließen, in denen die ersten und zweiten Merkmale in direktem Kontakt ausgebildet sind, und kann außerdem Ausführungsformen einschließen, in denen zwischen den ersten und zweiten Merkmalen zusätzliche Merkmale ausgebildet werden können, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugsziffern und/oder Buchstaben in den verschiedenen Beispielen mehrfach verwenden. Diese Mehrfachverwendung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen Ausführungsformen und/oder Konfigurationen, die diskutiert werden.
  • Beispiele für Vorrichtungen, die von einer oder mehreren Ausführungsformen der vorliegenden Anwendung profitieren, sind Halbleitervorrichtungen. Eine solche Vorrichtung ist beispielweise eine FinFET-Vorrichtung. Die FinFET-Vorrichtung kann beispielsweise eine komplementäre Metalloxidhalbleiter (CMOS)-Vorrichtung sein, die eine P-leitende Metalloxidhalbleiter (PMOS)-FinFET-Vorrichtung und eine N-leitende Metalloxidhalbleiter (NMOS)-FinFET-Vorrichtung umfasst. Die vorliegende Offenbarung fährt mit einem FinFET-Beispiel fort, um verschiedene Ausführungsformen der vorliegenden Anmeldung zu illustrieren.
  • Unter Bezugnahme auf 1 und 2 bis 6 werden unten ein Verfahren 100 und eine FinFET-Vorrichtung 200 allgemein beschrieben. 1 ist ein Flussdiagramm eines Verfahrens 100 zum Herstellen einer FinFET-Vorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • Unter Bezugnahme auf 1 und 2 beginnt das Verfahren 100 bei Schritt 102 durch Bereitstellen eines Halbleitersubstrats 210. Das Halbleitersubstrat 210 weist Silizium auf. Alternativ kann das Halbleitersubstrat 210 Germanium, Siliziumgermanium, Galliumarsenid oder andere geeignete Halbleitermaterialien aufweisen. Außerdem kann das Halbleitersubstrat 210 alternativ eine epitaktische Schicht aufweisen, die über einem Halbleiterkörper liegt. Ferner kann das Halbleitersubstrat 210 zur Leistungsverbesserung gestreckt (strained) werden. Beispielsweise kann die epitaktische Schicht ein Halbleitermaterial aufweisen, das sich von dem des Halbleiterkörpers unterscheidet, wie etwa eine Schicht aus Siliziumgermanium, die über einem Siliziumkörper liegt, oder eine Schicht aus Silizium, die über einem Körper aus Siliziumgermanium liegt. Ein solches gestrecktes Substrat kann durch selektives epitaktisches Wachstum (selective epitaxial growth (SEG)) ausgebildet werden. Ferner kann das Halbleitersubstrat 210 eine Halbleiter-auf-Isolator (semiconductor-on-insulator (SOI))-Struktur aufweisen. Außerdem kann das Halbleitersubstrat 210 alternativ eine vergrabene dielektrische Schicht aufweisen, wie etwa eine vergrabene Sauerstoff (buried oxide (BOX))-Schicht, wie etwa die durch Trennung mittels Implantieren von Sauerstoff (separation by implantation of oxygen (SIMOX))-Technologie, Waferbonding, SEG oder ein anderes geeignetes Verfahren ausgebildete.
  • Das Verfahren 100 fährt bei Schritt 104 durch Definieren einer Vielzahl von Graten (Fins) auf dem Halbleitersubstrat 210 fort, wie in 2 gezeigt ist. In der abgebildeten Ausführungsform wird auf dem Substrat 210 eine Pad-Schicht 218 ausgebildet. Die Pad-Schicht 218 weist ein dielektrisches Material, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder irgendein anderes geeignetes dielektrisches Material auf. Die Pad-Schicht 218 kann eine einzelne Schicht oder mehrlagig sein. In der vorliegenden Ausführungsform ist die Pad-Schicht 218 eine duale Schicht, die eine Siliziumoxidschicht 220, die auf dem Halbleitersubstrat 210 abgeschieden wurde, und eine Siliziumnitridschicht 222, die auf der Siliziumoxidschicht 220 abgeschieden wurde, aufweist. Die Pad-Schicht 218 kann durch thermische Oxidierung, chemische Oxidierung, Atomlagenabscheidung (atomic layer deposition (ALD)) oder irgendein anderes geeignetes Verfahren ausgebildet werden.
  • Unter weiterer Bezugnahme auf 2 definiert ein Lithographieprozess Grate auf dem Halbleitersubstrat 210. In der vorliegenden Ausführungsform wird eine strukturierte Fotolackschicht 230 mittels Spin-On auf die Pad-Schicht 218 abgeschieden. Die strukturierte Fotolackschicht 230 definiert Grate der FinFET-Vorrichtung 200. Allgemein kann ein Strukturierungsprozess Fotolacküberzug (beispielsweise Spin-On-Überzug), Weichbrennen (soft baking), Ausrichten von Masken, Exponieren, Brennen nach Exponieren, Entwickeln des Fotolacks, Spülen, Trocknen (beispielsweise Hartbrennen (hart baking)), andere geeignete Prozesse oder Kombinationen derselben aufweisen. Alternativ wird der exponierende Fotolithographie-Prozess durch andere geeignete Verfahren implementiert oder ersetzt, wie etwa maskenlose Fotolithographie, Elektronenstrahlschreiben, direktes Schreiben und/oder Ionenstrahlschreiben.
  • Unter Bezugnahme auf 1 und 3 fährt das Verfahren 100 bei Schritt 106 durch Ausführen eines Grabenätzens fort, um in dem Halbleitersubstrat 210 eine Gratstruktur 235 auszubilden. Die strukturierte Fotolackschicht 230 wird während des Grabenätzens als eine Maske verwendet. Beim Grabenätzen können die Pad-Schicht 218 und das Halbleitersubstrat 210 durch verschiedene Verfahren geätzt werden, einschließlich einem Trockenätzen, einem Nassätzen oder einer Kombination von Trockenätzen und Nassätzen. Der Nassätzprozess kann fluorhaltiges Gas (beispielsweise CF4, SF6, CH2F2, CHF3 und/oder C2F6), chlorhaltiges Gas (beispielsweise Cl2, CHCl3, CCl4 und/oder BCl3), bromhaltiges Gas (beispielsweise HBr und/oder CHBR3), sauerstoffhaltiges Gas, iodhaltiges Gas, andere geeignete Gase und/oder Plasmen oder Kombinationen derselben verwenden. Der Ätzprozess kann ein mehrschrittiges Ätzen umfassen, um Ätzselektivität, Flexibilität und gewünschtes Ätzprofil zu erzielen.
  • Unter Bezugnahme auf 3 weist die Gratstruktur 235 Dummy-Grate (Dummy Fins) 240 und aktive Grate 250 auf. Die Dummy-Grate 240 weisen in der FinFET-Vorrichtung 200 keine Funktionalität auf, machen die FinFET-Prozesse jedoch gleichmäßiger, reproduzierbarer und besser herstellbar. Die aktiven Grate 250 verleihen der FinFET-Vorrichtung 200 Funktionalität. Die aktiven Grate 250 werden zwischen den Dummy-Graten 240 angeordnet. Dadurch, dass die Dummy-Grate 240 neben den aktiven Graten 250 angeordnet sind, kann jeder der aktiven Grate 250 an allen zugehörigen Orten in einer einigermaßen ähnlichen Ausbildungsumgebung ausgebildet werden. Die Ausbildungsumgebung kann die Dimension eines offenen Raums auf beiden Seiten des Grats und eine Dichte lokaler Merkmale umfassen. Eine konsistente Ausbildungsumgebung verbessert an allen zugehörigen Orten gleichmäßige aktive Grate 250 hinsichtlich der kritischen Dimension (critical dimension (CD)), Profil und Höhe des Grats.
  • Unter Bezugnahme auf 1 und 4 fährt das Verfahren 100 bei Schritt 108 fort, wo eine strukturierte Fotolackschicht 270 ausgebildet wird, um Gruppen von Dummy-Graten 240 zu definieren. Durch den Strukturierungsprozess werden die Dummy-Grate 240 in eine erste Gruppe von Dummy-Graten 240a und eine zweite Gruppe von Dummy-Graten 240b eingeteilt, wie in 4 gezeigt ist. Die Gruppen von Dummy-Graten 240a und 240b können einen Dummy-Grat oder mehrere Dummy-Grate aufweisen. Die erste Gruppe von Dummy-Graten 240a ist innerhalb von Öffnungen der strukturierten Fotolackschicht 270 angeordnet und wird einem nachfolgenden Ätzprozess ausgesetzt. Die zweite Gruppe von Dummy-Graten 240b wird durch die strukturierte Fotolackschicht 270 bedeckt (die strukturierte Fotolackschicht 270 bedeckt auch die aktiven Grate 250), so dass die zweite Gruppe von Dummy-Graten 240b (und die aktiven Grate 250) während eines nachfolgenden Ätzprozesses intakt bleiben. Zusätzlich wird in der abgebildeten Ausführungsform auf dem Halbleitersubstrat 210 eine Schicht 260 ausgebildet, bevor die strukturierte Fotolackschicht 270 ausgebildet wird, und füllt Gräben zwischen den Graten der Gratstruktur 235. Die Schicht 260 weist irgendein geeignetes Material auf und wird durch irgendeinen geeigneten Prozess ausgebildet. Beispielsweise kann die Schicht 260 verschiedene organische oder anorganische Materialien aufweisen. Die Fotolackschicht 270 wird auf die Schicht 260 aufgebracht und durch einen geeigneten Strukturierungsprozess strukturiert.
  • Unter Bezugnahme auf 1 und 5 fährt das Verfahren 100 bei Schritt 110 durch Entfernen der ersten Gruppe von Dummy-Graten 240a fort, die innerhalb von Öffnungen der strukturierten Fotolackschicht 270 freiliegt. In der abgebildeten Ausführungsform werden die erste Gruppe der Dummy-Grate 240a und die Schicht 260 entfernt, um eine Vielzahl offener Räume 280 auszubilden, wie in 5 gezeigt ist. Der offene Raum 280 wird zwischen den aktiven Graten 250 und der zweiten Gruppe von Dummy-Graten 240b ausgebildet. In einer Ausführungsform wird der offene Raum 280 außerdem zwischen zwei aktiven Graten 250 ausgebildet. Die erste Gruppe von Dummy-Graten 240a wird durch eine Ätztechnik entfernt, wie etwa Trockenätzen in einem Chemismus mit fluorenthaltenden Gasen. Erfindungsgemäß wird die erste Gruppe von Dummy-Graten 240a nur teilweise entfernt. In nicht erfindungsgemäßen Beispielen wird die erste Gruppe von Dummy-Graten 240a vollständig entfernt. Nach Ätzen der ersten Gruppe von Dummy-Graten 240a werden die strukturierte Fotolackschicht 270 und die Schicht 260 durch einen anderen Ätzprozess entfernt, der ein Nassätzen, ein Trockenätzen oder eine Kombination derselben umfasst. Der offene Raum 280 ist ausgelegt, um während der späteren Ausbildung einer flachen Grabenisolation (shallow trench isolation (STI)) als ein Belastungspuffer zu dienen und Verwindung der aktiven Grate 250 zu reduzieren, die durch die Belastung hervorgerufen wird. Die zweite Gruppe von Dummy-Graten 240b ist ausgelegt, um einen Prozessbelastungseffekt zu minimieren und Prozessvariationen zu reduzieren.
  • Unter Bezugnahme auf 1 und 6 fährt das Verfahren 100 bei Schritt 112 durch Füllen von Gräben zwischen Graten mit einem dielektrischen Material fort, um auf dem Halbleitersubstrat 210 STI-Bereiche 290 auszubilden. Die STI-Bereiche 290 umfassen Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, andere geeignete Materialien oder Kombinationen derselben. Der STI-Bereich 290 wird durch irgendeinen geeigneten Prozess ausgebildet. Als ein Beispiel werden die STI-Bereiche 290 durch Füllen des Grabens zwischen Graten mit einem oder mehreren dielektrischen Materialien durch Verwenden einer chemischen Gasphasenabscheidung (chemical vapor deposition (CVD)) ausgebildet. In einigen Beispielen kann der gefüllte Graben eine Mehrschichtstruktur aufweisen, wie etwa eine thermische Oxiddeckschicht, die mit Siliziumnitrid oder Siliziumoxid gefüllt ist. Nach dem Ausbilden des STI-Bereichs 290 kann ein Glühprozess durchgeführt werden.
  • Nach Ausbilden des STI-Bereichs 290 kann die FinFET-Vorrichtung 200 weiterer CMOS- oder MOS-Technologieverarbeitung unterzogen werden, um verschiedene Merkmale und Bereiche auszubilden, die im Stand der Technik bekannt sind. Beispielsweise können weitere Herstellungsprozesse unter anderem das Ausbilden einer Gate-Struktur über dem Halbleitersubstrat 210, einschließlich über einem Abschnitt der aktiven Grate 250 und der zweiten Gruppe von Dummy-Graten 240b, und das Ausbilden von Source- und Drain (S/D)-Bereichen auf jeder Seite der Gate-Struktur, einschließlich einem weiteren Abschnitt der aktiven Grate 250 und der zweiten Gruppe von Dummy-Graten 240b, umfassen. Das Ausbilden der Gate-Struktur kann Abscheide-, Strukturier- und Ätzprozesse aufweisen. Auf den Wänden der Gate-Struktur kann durch Abscheide- und Ätztechniken ein Gate-Abstandshalter ausgebildet werden. S/D-Bereiche können durch Vertiefungs-, epitaktische Wachstums- und Implantiertechniken ausgebildet werden. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 bereitgestellt werden, und einige der beschriebenen Schritte können durch andere Ausführungsformen des Verfahrens ersetzt oder eliminiert werden.
  • Nachfolgendes Verarbeiten kann außerdem auf dem Halbleitersubstrat 210 verschiedene Kontakte, Durchkontaktierungen und/oder Leitungen und mehrschichtige Schaltstrukturmerkmale (beispielsweise Metallschichten und Zwischenschichtdielektriken) ausbilden, die dazu konfiguriert sind, um die verschiedenen Merkmale oder Strukturen der FinFET-Vorrichtung 200 zu verbinden. Beispielsweise weist eine Mehrschicht-Verbindungsstruktur vertikale Verbindeungsstrukturen auf, wie etwa konventionelle Durchkontaktierungen oder Kontakte sowie horizontale Verbindungsstrukturen, wie etwa Metallleitungen. Die verschiedenen Schaltstrukturmerkmale können verschiedene leitfähige Materialien implementieren, einschließlich Kupfer, Wolfram und/oder Silizid. In einem Beispiel wird ein damaszener und/oder dualer damaszener Prozess verwendet, um eine kupferverwandte Mehrschicht-Verbindungsstruktur auszubilden.
  • In einer anderen Ausführungsform wird ein Verfahren 300 zum Herstellen einer FinFET-Vorrichtung 400 bereitgestellt. Unter Bezugnahme auf 7 und 8 bis 11 werden das Verfahren 300 und die FinFET-Vorrichtung 400 unten allgemein beschrieben. 7 ist ein Flussdiagramm des Verfahrens 300 zum Herstellen der FinFET-Vorrichtung 400 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • Unter Bezugnahme auf 7 und 8 bis 9 beginnt das Verfahren 300 bei Block 302, wo ein FinFET-Vorläufer (Precursor) 405 empfangen wird. Der FinFET-Vorläufer 405 weist das Halbleitersubstrat 210 sowie eine Gratstruktur 410 auf, die Dummy-Grate 420 und aktive Grate 430 aufweist. Die Gratstruktur 410 wird im wesentlichen ähnlich wie die Gratstruktur 235 ausgebildet, die unter Bezugnahme auf 2 und 3 beschrieben ist, so dass die aktiven Grate 430 während der Gratausbildung eine konsistente Ausbildungsumgebung erfahren und an allen zugehörigen Orten gleichmäßige/s Grat-CD, Profil und Höhe erzielen.
  • Unter weiterer Bezugnahme auf 8 weist der FinFET-Vorläufer 405 in dem Halbleitersubstrat 210 außerdem Isolierbereiche 440 auf. Die Isolierbereiche 440 verwenden Isoliertechnologie, wie etwa STI, um verschiedene Bereiche der FinFET-Vorrichtung 400 zu definieren und elektrisch zu isolieren. Die Isolierbereiche 440 umfassen Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid und andere geeignete Materialien oder Kombinationen derselben. Die Isolierbereiche 440 werden durch irgendeinen geeigneten Prozess ausgebildet. In der vorliegenden Ausführungsform wird eine Vielzahl von Gräben zwischen Graten auf dem Halbleitersubstrat 210 mit Siliziumoxid gefüllt, um die Isolierbereiche 440 auszubilden. Nach dem Ausbilden der Isolierbereiche 440 kann ein Glühprozess durchgeführt werden. Der Glühprozess weist schnelles thermisches Glühen (rapid thermal annealing (RTA)), Laser-Annealing-Prozesse oder andere geeignete Glühprozesse auf. Ein chemisch-mechanischer Polier (CMP)-Prozess kann nachfolgend durchgeführt werden, um überschüssiges Siliziumoxid zu entfernen, um eine im Wesentlichen planare Oberfläche bereitzustellen. Zusätzlich kann ein Teil der Dummy-Grate 420 und der aktiven Grate 430 weiter durch geeignetes Strukturieren und aus dem Stand der Technik bekannte Ätzprozesse vertieft werden, wie in 8 gezeigt ist.
  • Unter weiterer Bezugnahme auf 8 weist der FinFET-Vorläufer 405 außerdem eine erhabene Source- und Drain (S/D)-Struktur 470 auf, die auf Abschnitten der Dummy-Grate 420 und der aktiven Grate 430 ausgebildet ist, die als S/D-Bereiche bezeichnet werden. Die erhabene S/D-Struktur 470 kann durch einen oder mehrere Epitaxie- oder epitaktische (epi)-Prozesse ausgebildet werden, so dass auf den vertieften Oberflächen der Dummy-Grate 420 und der aktiven Grate 430 in dem S/D-Bereich Si-Merkmale, SiGe-Merkmale oder andere geeignete Merkmale in einem kristallinen Zustand ausgebildet werden. Die Epitaxieprozesse schließen CVD-Abscheidetechniken (beispielsweise Dampfphasenepitaxie (vapor phase expitaxy (VPE)) und/oder ultrahohes Vakuum-CVD (UHV-CVD)), molekulare Strahlungsepitaxie und/oder andere geeignete Prozesse ein. Die erhabene S/D-Struktur 470 kann aufgrund großer Nähe der Dummy-Grate 420 und der aktiven Grate 430 verschmelzen.
  • Unter Bezugnahme auf 9 weist der FinFET-Vorläufer 405 außerdem Gate-Stapel 450 und Gate-Abstandshalter 460 auf, die über dem Halbleitersubstrat 210 ausgebildet sind, einschließlich über (und diesen einschließend) einem Teil von Graten der Gratstruktur 410 (der als ein Kanalbereich bezeichnet wird). Der Gate-Stapel 450 kann eine Grenzschicht (nicht gezeigt), eine dielektrische Gate-Schicht 452, eine Gate-Elektrodenschicht 453 und eine Hartmaskenschicht 454 aufweisen. Es ist einzusehen, dass der Gate-Stapel 450 weitere Schichten aufweisen kann, wie etwa Grenzflächenschichten, Deckschichten, Diffusions-/Sperrschichten, dielektrische Schichten, leitfähige Schichten, andere geeignete Schichten oder Kombinationen derselben.
  • Die Grenzflächenschicht wird über dem Halbleitersubstrat 210 und Graten 420 und 430 ausgebildet. Die Grenzflächenschicht weist Siliziumoxid, Siliziumoxinitrid oder irgendwelche geeigneten Materialien auf. Die dielektrische Gate-Schicht 452 wird über der Grenzflächenschicht ausgebildet. Die dielektrische Gate-Schicht 452 umfasst ein dielektrisches Material, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, dielektrisches Material mit großem k (high-k), anderes geeignetes dielektrisches Material und/oder Kombinationen derselben. Beispiele von dielektrischem Material mit großem k (high-k) umfassen HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid, Aluminium (HfO2-Al2O3)-Legierung, andere geeignete dielektrische Materialien mit großem k (high-k) oder Kombinationen derselben.
  • Die Gate-Elektrodenschicht 453 wird über der dielektrischen Gate-Schicht 452 ausgebildet. Die Gate-Elektrodenschicht 453 weist irgendein geeignetes Material auf, wie etwa Polysilizium, Aluminium, Kupfer, Titan, Tantalum, Wolfram, Molybdän, Tantalumnitrid, Nickelsilizid, Kobaltsilizid, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, Metalllegierungen, andere geeignete Materialien oder Kombinationen derselben. Die Hartmaskenschicht 454 wird über der Gate-Elektrodenschicht 543 ausgebildet. Die Hartmaskenschicht 454 umfasst irgendein geeignetes Material, beispielsweise Siliziumnitrid, SiON, SiC, SiOC oder anderes geeignetes Material.
  • Der Gate-Stapel 450 wird durch irgendeinen geeigneten Prozess oder Prozesse ausgebildet. Beispielsweise kann der Gate-Stapel 450 durch eine Prozedur ausgebildet werden, die Fotolithographiestrukturieren und Ätzprozesse umfasst. Die Gate-Abstandshalter 460 werden auf Seitenwänden des Gate-Stapels 450 ausgebildet und können ein dielektrisches Material umfassen, wie etwa Siliziumnitrid, Siliziumcarbid, Siliziumoxinitrid, andere geeignete Materialien oder Kombinationen derselben. In einigen Ausführungsformen werden die Gate-Abstandshalter verwendet, um nachfolgend ausgebildete dotierte Bereiche zu versetzen, wie etwa Source-/Drain-Bereiche. Die Gate-Abstandshalter können ferner verwendet werden, um das Source-/Drain-Bereich (Übergangs)-Profil zu entwerfen oder modifizieren. Die Gate-Abstandshalter können durch geeignete Abscheide- und Ätztechniken ausgebildet werden.
  • Unter Bezugnahme auf 7 und 10 fährt das Verfahren 300 bei Schritt 304 fort, wo eine strukturierte Fotolackschicht 490 ausgebildet wird, um Gruppen von Dummy-Graten 420 zu definieren. Durch den Strukturierungsprozess werden die Dummy-Grate 420 in eine erste Gruppe von Dummy-Graten 420a und eine zweite Gruppe von Dummy-Graten 420b eingeteilt, wie in 10 gezeigt ist. Die Gruppen von Dummy-Graten 420a und 420b können einen Dummy-Grat oder mehrere Dummy-Grate aufweisen. Die erste Gruppe von Dummy-Graten 420a liegt innerhalb von Öffnungen der strukturierten Fotolackschicht 490 frei und wird einem nachfolgenden Ätzprozess ausgesetzt. Die zweite Gruppe von Dummy-Graten 420b wird durch die strukturierte Fotolackschicht 490 bedeckt (die auch die aktiven Grate 430 bedeckt), so dass die zweite Gruppe von Dummy-Graten 420b (und die aktiven Grate 430) während des nachfolgendem Ätzprozesses intakt bleiben. Zusätzlich wird in der abgebildeten Ausführungsform vor Ausbilden der strukturierten Fotolackschicht 490 auf dem Halbleitersubstrat 210 eine Schicht 480 ausgebildet. Die Schicht 480 umfasst irgendein geeignetes Material und wird durch irgendeinen geeigneten Prozess ausgebildet. Beispielsweise kann die Schicht 480 verschiedene organische oder anorganische Materialien aufweisen. Die Fotolackschicht 490 wird auf die Schicht 480 aufgebracht und durch einen geeigneten Strukturierungsprozess strukturiert.
  • Unter Bezugnahme auf 7 und 11a fährt das Verfahren 300 bei Schritt 306 durch Ätzen der ersten Gruppe von Dummy-Graten 420a durch Öffnungen der strukturierten Fotolackschicht 490 fort. Die erste Gruppe von Dummy-Graten 420a wird durch eine Ätztechnik entfernt, wie etwa ein Trockenätzen in einem Chemismus mit fluorenthaltenden Gasen. In der vorliegenden Ausführungsform weist der Ätzprozess zwei Ätzphasen auf. Eine erste Phase des Ätzprozesses entfernt selektiv die erhabene S/D-Struktur 470 der ersten Gruppe von Dummy-Graten 420a. Eine zweite Phase des Ätzprozesses vertieft eine Grathöhe der ersten Gruppe von Dummy-Graten 420a durch Öffnungen, die durch Entfernen der S/D-Struktur 470 hergestellt werden. Für erfindungsgemäße Ausführungsformen wird die erste Gruppe von Dummy-Graten 420a nur teilweise entfernt. In nicht erfindungsgemäßen Beispielen wird die erste Gruppe von Dummy-Graten 420a vollständig entfernt.Die zweite Phase des Ätzprozesses hinterlässt nach Vertiefen der ersten Gruppe von Dummy-Graten 420a einen Hohlraum 495 auf dem Halbleitersubstrat. Nach Ätzen der ersten Gruppe von Dummy-Graten 420a werden die strukturierte Fotolackschicht 490 und die Schicht 480 durch einen anderen Ätzprozess entfernt, der ein Nassätzen, ein Trockenätzen oder eine Kombination derselben aufweist.
  • 11b ist eine Draufsicht der FinFET-Vorrichtung 400. Unter Bezugnahme auf 11b sind die Querschnittsansichten der FinFET-Vorrichtung 400 nach Ätzen der ersten Gruppe von Dummy-Graten 420a entlang von drei Linien, 11a-11a, 11c-11c und 11d-11d aufgenommen. 11a ist eine Querschnittsansicht entlang der Linie 11a-11a (entlang des S/D-Bereiches) der 11b. 11c ist eine Querschnittsansicht entlang der Linie 11c-11c (entlang dem Kanalbereich) der 11b und senkrecht zu der Richtung der Linie 11a-11a. 11d ist eine Querschnittsansicht entlang der Linie 11d-11d (entlang der ersten Gruppe von Dummy-Graten) der 11b und senkrecht zu der Richtung der Linie 11a-11a. Während des Ätzprozesses bleibt ein Teil der ersten Gruppe von Dummy-Graten 420a, bei dem die Gate-Stapel 450 darüber angeordnet sind, intakt, da er durch die Hartmaskenschicht 454 geschützt wird, wie in 11c und 11d gezeigt ist.
  • Unter Bezugnahme auf 7 und 12 fährt das Verfahren 300 bei Schritt 308 durch Aufbringen und Planarisieren einer dielektrischen Zwischenschicht (interlayer dielectric (ILD)) 500 auf dem Halbleitersubstrat 210 fort. Die ILD-Schicht 500 wird durch eine geeignete Technik aufgebracht, wie etwa durch CVD. Die ILD-Schicht 500 weist ein dielektrisches Material, wie etwa Siliziumoxid, Siliziumnitrid, ein dielektrisches Material mit kleinem k (low-k) oder eine Kombination auf. Die ILD-Schicht 500 füllt die Hohlräume 495 auf dem Halbleitersubstrat, das durch Entfernen der Source- und Drain-Struktur in dem Ätzprozess ausgebildet wurde. Ein CMP-Prozess kann nachfolgend durchgeführt werden, um eine im Wesentlichen planare Oberfläche der ILD-Schicht 500 bereitzustellen.
  • Die FinFET-Vorrichtung 400 kann zusätzliche Merkmale aufweisen, die durch nachfolgendes Verarbeiten ausgebildet werden können. Beispielsweise kann nachfolgendes Verarbeiten ferner verschiedene Kontakte, Durchkontaktierungen und/oder Leitungen und Mehrschichtschaltmerkmale (beispielsweise Metallschichten und Zwischenschichtdielektriken) auf dem Substrat ausbilden, die zum Verbinden der verschiedenen Merkmale oder Strukturen der FinFET-Vorrichtung 400 konfiguriert sind. Die zusätzlichen Merkmale können elektrische Verschaltung mit der Vorrichtung bereitstellen. Beispielsweise weist eine Mehrschichtschaltstruktur vertikale Verbindungsstrukturen, wie etwa konventionelle Durchkontaktierungen oder Kontakte, und horizontale Verbindungsstrukturen auf, wie etwa Metallleitungen. Die verschiedenen Verbindungsmerkmale können verschiedene leitfähige Materialien implementieren, einschließlich Kupfer, Wolfram und/oder Silizid. In einem Beispiel wird ein damaszener und/oder dualer damaszener Prozess verwendet, um kupferverwandte Mehrschichtverbindungsstrukturen auszubilden. In einer anderen Ausführungsform wird Wolfram verwendet, um in den Kontaktlöchern Wolframanschlüsse auszubilden.
  • Anhand der obigen Ausführungen ist ersichtlich, dass die vorliegende Offenbarung Verfahren zum Herstellen einer FinFET-Vorrichtung bietet. Das Verfahren verwendet einen Ansatz mit neuartigen Dummy-Graten. Der Ansatz mit Dummy-Graten entfernt eine Gruppe von Dummy-Graten teilweise, während eine andere Gruppe von Dummy-Graten vor einem Ausbilden eines STI-Bereichs bestehen bleibt. Alternativ entfernt der Ansatz mit Dummy-Graten die S/D-Struktur in einem S/D-Bereich einer Gruppe von Dummy-Graten, die teilweise entfernt werden, wobei gleichzeitig der Gate-Stapel in dem Kanalbereich der gleichen Gruppe von Dummy-Graten belassen wird. Der Ansatz mit Dummy-Graten kann flexibel in verschiedenen Prozessstadien hergestellt werden, um verschiedene Vorzüge der Prozesssteuerung zu erzielen. Das Verfahren demonstriert das Erreichen von gleichmäßigem/r CD, Profil und Höhe eines Grates an allen zugehörigen Orten.

Claims (14)

  1. FinFET-Vorrichtung umfassend: ein Halbleitersubstrat (210); eine Gratstruktur (235), die Dummy-Grate (240) einer ersten und einer zweiten Gruppe und aktive Grate (250) aufweist, wobei die aktiven Grate zwischen den Dummy-Graten angeordnet sind und wobei die Gratstruktur an allen Orten eine ähnliche lokale Merkmalsdichte aufweist; wobei zwischen den aktiven Graten und den Dummy-Graten der zweiten Gruppe ein Raum gebildet ist, in dem Dummy-Grate der ersten Gruppe angeordnet sind, wobei die Dummy-Grate der ersten Gruppe nur teilweise entfernt sind, so dass sie eine geringere Höhe aufweisen als die Dummy-Grate der zweiten Gruppe und als die aktiven Grate, und wobei in dem Raum ein STI-Bereich (290) gebildet ist, der die Dummy-Grate der zweiten Gruppe bedeckt.
  2. FinFET-Vorrichtung nach Anspruch 1, ferner umfassend eine Gate-Struktur über dem Halbleitersubstrat (210) und über einem Abschnitt der aktiven Grate und der zweiten Gruppe von Dummy-Graten.
  3. FinFET-Vorrichtung umfassend: ein Halbleitersubstrat (210); eine Gratstruktur (410), die Dummy-Grate (420) und aktive Grate (430) aufweist, wobei die aktiven Grate zwischen den Dummy-Graten angeordnet sind, wobei die Gratstruktur an allen Orten eine ähnliche lokale Merkmalsdichte aufweist und wobei zwischen benachbarten Graten jeweils STI-Bereiche angeordnet sind; eine erhabene Source/Drain-Struktur (470), die auf Abschnitten der aktiven Grate ausgebildet ist, einen Gate-Stapel (450), der über dem Halbleitersubstrat und der Gratstruktur (410) ausgebildet ist, wobei die Dummy-Grate einer ersten Gruppe von Dummy-Graten (420a) teilweise entfernt sind, so dass sie in den Abschnitten, die nicht von dem Gate-Stapel bedeckt sind, eine geringere Höhe haben hat als die aktiven Grate und als die Dummy-Grate der zweiten Gruppe, wobei im Bereich der ersten Gruppe von Dummy-Graten zwischen den aktiven Graten und den Dummy-Graten der zweiten Gruppe ein Raum (495) gebildet ist, der auf die Abschnitte mit geringerer Höhe reicht, und eine ILD-Schicht (500), die den Raum (495) füllt.
  4. FinFET-Vorrichtung nach Anspruch 3, wobei im Bereich der ersten Gruppe von Dummy-Graten keine Source/Drain-Struktur vorhanden ist.
  5. FinFET-Vorrichtung nach Anspruch 3 oder 4, wobei die ILD-Schicht die Gratstruktur (410) und die Source/Drain-Struktur (470) bedeckt.
  6. FinFET-Vorrichtung nach einem der Ansprüche 3 bis 5, wobei die ILD-Schicht (500) eine planare Oberfläche hat.
  7. FinFET-Vorrichtung nach einem der Ansprüche 3 bis 6, wobei die Dummy-Grate die erste Gruppe von Dummy-Graten (420a) und die zweite Gruppe von Dummy-Graten (420b) umfassen, wobei die erste Gruppe von Dummy-Graten zwischen den aktiven Graten und der zweiten Gruppe von Dummy-Graten liegt.
  8. FinFET-Vorrichtung nach Anspruch 7, wobei die zweite Gruppe von Dummy-Graten ungefähr die gleiche Höhe hat wie die aktiven Grate.
  9. FinFET-Vorrichtung nach einem der Ansprüche 3 bis 8, wobei der Gate-Stapel (450) Gate-Abstandhalter aufweist.
  10. FinFET-Vorrichtung nach einem der Ansprüche 3 bis 9, wobei der Gate-Stapel (450) eine dielektrische Gate-Schicht (452), eine Gate-Elektrodenschicht (453) und eine Hartmaskenschicht (454) aufweist.
  11. Verfahren zum Herstellen einer Fin-Feldeffekt-Transistor(FinFET)-Vorrichtung, wobei das Verfahren umfasst: Bereitstellen eines Halbleitersubstrats (210); Ausbilden einer Gratstruktur, die an allen Orten eine ähnliche lokale Merkmalsdichte aufweist, mit Dummy-Graten (240) und aktiven Graten (250) auf dem Halbleitersubstrat, wobei die aktiven Grate zwischen den Dummy-Graten angeordnet werden, Ausbilden einer strukturierten Fotolackschicht (270) auf den Dummy-Graten und den aktiven Graten, die einen Teil (240a) der Dummy-Grate nicht bedeckt; Ätzen des nicht bedeckten Teils (240a) der Dummy-Grate zum Ausbilden eines offenen Raumes (280) zwischen den aktiven Graten und dem verbleibenden Teil (240b) der Dummy-Grate, so dass die verbleibenden Dummy-Grate (240b) mit Abstand auf beiden Seiten der aktiven Grate (250) angeordnet sind und dass der nicht bedeckte Teil (240a) der Dummy-Grate nur teilweise entfernt wird; und Ausbilden einer Grabenisolation (STI) (290) zwischen den Graten nach Ausbilden des offenen Raumes (280).
  12. Verfahren nach Anspruch 11, ferner umfassend: Ausbilden einer Gate-Struktur über dem Halbleitersubstrat (210), einschließlich über einem Abschnitt der aktiven Grate (250) und des zuvor von der Fotolackschicht bedeckten Teils (240b) der Dummy-Grate.
  13. Verfahren nach Anspruch 11 oder 12, ferner umfassend: Ausbilden von Source- und Drain(S/D)-Bereichen auf jeder Seite der Gate-Struktur, einschließlich einem weiteren Abschnitt der aktiven Grate (250) und des verbleibenden Teils (240b) der Dummy-Grate.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei vor dem Ausbilden der strukturierten Fotolackschicht (270) eine Materialschicht (260) in Gräben zwischen den Graten (240, 250) gefüllt wird und während des Ätzens des nicht bedeckten Teils (240a) der Dummy-Grate auch die Materialschicht (260) entfernt wird.
DE102012025824.0A 2012-06-06 2012-11-15 FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung Active DE102012025824B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/490,108 US8697515B2 (en) 2012-06-06 2012-06-06 Method of making a FinFET device
USUS13/490,108 2012-06-06

Publications (2)

Publication Number Publication Date
DE102012025824A1 DE102012025824A1 (de) 2018-05-30
DE102012025824B4 true DE102012025824B4 (de) 2021-06-02

Family

ID=49625856

Family Applications (2)

Application Number Title Priority Date Filing Date
DE102012110995.8A Active DE102012110995B4 (de) 2012-06-06 2012-11-15 Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102012025824.0A Active DE102012025824B4 (de) 2012-06-06 2012-11-15 FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE102012110995.8A Active DE102012110995B4 (de) 2012-06-06 2012-11-15 Verfahren zum Herstellen einer FinFET-Vorrichtung

Country Status (4)

Country Link
US (6) US8697515B2 (de)
KR (1) KR101388329B1 (de)
CN (1) CN103474397B (de)
DE (2) DE102012110995B4 (de)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013058688A (ja) * 2011-09-09 2013-03-28 Toshiba Corp 半導体装置の製造方法
KR101908980B1 (ko) 2012-04-23 2018-10-17 삼성전자주식회사 전계 효과 트랜지스터
US8697515B2 (en) 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9054212B2 (en) * 2012-10-30 2015-06-09 Globalfoundries Inc. Fin etch and Fin replacement for FinFET integration
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9159576B2 (en) * 2013-03-05 2015-10-13 Qualcomm Incorporated Method of forming finFET having fins of different height
US8846490B1 (en) * 2013-03-12 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9263287B2 (en) * 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) * 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9515184B2 (en) * 2013-09-12 2016-12-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with multiple-height fins and substrate trenches
US9147612B2 (en) * 2013-11-25 2015-09-29 United Microelectronics Corp. Method for forming a semiconductor structure
US9209178B2 (en) * 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US10204794B2 (en) 2013-12-23 2019-02-12 Intel Corporation Advanced etching technologies for straight, tall and uniform fins across multiple fin pitch structures
US9368408B2 (en) * 2013-12-27 2016-06-14 Infineon Technologies Dresden Gmbh Method of manufacturing a semiconductor device with buried channel/body zone and semiconductor device
US9318488B2 (en) * 2014-01-06 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US20150206759A1 (en) * 2014-01-21 2015-07-23 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
CN104810389A (zh) * 2014-01-23 2015-07-29 联华电子股份有限公司 半导体结构及其制作方法
US9391077B2 (en) * 2014-02-10 2016-07-12 International Business Machines Corporation SiGe and Si FinFET structures and methods for making the same
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9196499B2 (en) * 2014-03-26 2015-11-24 Globalfoundries Inc. Method of forming semiconductor fins
CN105097526B (zh) * 2014-05-04 2018-10-23 中芯国际集成电路制造(上海)有限公司 FinFET器件的制作方法
US9385123B2 (en) * 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
CN105374871B (zh) 2014-08-22 2020-05-19 联华电子股份有限公司 鳍状结构及其形成方法
CN105470286B (zh) * 2014-09-12 2018-09-18 中国科学院微电子研究所 半导体器件及其制造方法
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
US9379027B2 (en) * 2014-10-15 2016-06-28 Globalfoundries Inc. Method of utilizing trench silicide in a gate cross-couple construct
US9252022B1 (en) * 2014-11-05 2016-02-02 Globalfoundries Inc. Patterning assist feature to mitigate reactive ion etch microloading effect
US9287264B1 (en) * 2014-12-05 2016-03-15 Globalfoundries Inc. Epitaxially grown silicon germanium channel FinFET with silicon underlayer
US10475886B2 (en) * 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
CN105826193A (zh) * 2015-01-07 2016-08-03 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
KR102287398B1 (ko) 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US9397099B1 (en) 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
US9673056B2 (en) 2015-03-16 2017-06-06 International Business Machines Corporation Method to improve finFET cut overlay
KR102307467B1 (ko) 2015-03-20 2021-09-29 삼성전자주식회사 액티브 핀을 포함하는 반도체 장치
US9601345B2 (en) * 2015-03-27 2017-03-21 International Business Machines Corporation Fin trimming in a double sit process
KR102316119B1 (ko) 2015-04-02 2021-10-21 삼성전자주식회사 반도체 장치
CN106158648B (zh) * 2015-04-13 2019-12-27 中芯国际集成电路制造(上海)有限公司 制备Fin FET器件的方法
US9704974B2 (en) 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Process of manufacturing Fin-FET device
US9799771B2 (en) * 2015-04-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method for manufacturing the same
US9449881B1 (en) * 2015-05-12 2016-09-20 Globalfoundries Inc. Methods of forming fins for FinFET semiconductor devices and the resulting devices
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US9653466B2 (en) 2015-08-04 2017-05-16 Qualcomm Incorporated FinFET device and method of making the same
US9905467B2 (en) * 2015-09-04 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
DE102016101851B4 (de) 2015-09-04 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Verfahren zum Fertigen von dieser
US10050030B2 (en) * 2015-09-04 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating method thereof
KR102476356B1 (ko) * 2015-10-07 2022-12-09 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
TWI677923B (zh) * 2015-11-10 2019-11-21 聯華電子股份有限公司 半導體元件及其製作方法
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9991256B2 (en) * 2015-12-14 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
EP3182461B1 (de) * 2015-12-16 2022-08-03 IMEC vzw Verfahren zur herstellung von finfet-technologie mit örtlich höherem fin-to-fin-abstand
CN106910708B (zh) * 2015-12-22 2020-06-19 中芯国际集成电路制造(上海)有限公司 具有局部互连结构的器件及其制造方法
US9786505B2 (en) 2015-12-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device using dummy fins for smooth profiling
CN106952819B (zh) * 2016-01-06 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN107026126B (zh) 2016-02-02 2021-01-26 联华电子股份有限公司 半导体元件及其制作方法
JP6620034B2 (ja) * 2016-02-24 2019-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9704752B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9704751B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
TWI687980B (zh) * 2016-03-22 2020-03-11 聯華電子股份有限公司 半導體元件及其製作方法
KR102421730B1 (ko) 2016-04-05 2022-07-18 삼성전자주식회사 레이아웃 방법 및 반도체 소자
US10074657B2 (en) * 2016-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fins and semiconductor device which includes fins
US9768072B1 (en) * 2016-06-30 2017-09-19 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with reduced dimensional variations
US9853131B1 (en) 2016-07-12 2017-12-26 International Business Machines Corporation Fabrication of an isolated dummy fin between active vertical fins with tight fin pitch
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US10032869B2 (en) * 2016-08-17 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same
US9679994B1 (en) * 2016-08-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Limited High fin cut fabrication process
US10483169B2 (en) * 2016-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET cut-last process using oxide trench fill
CN107887272B (zh) * 2016-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI704622B (zh) 2016-11-15 2020-09-11 聯華電子股份有限公司 半導體元件及其製作方法
KR102631912B1 (ko) * 2016-12-15 2024-01-31 삼성전자주식회사 반도체 장치의 레이아웃 설계 방법 및 반도체 장치
US9799570B1 (en) 2017-02-13 2017-10-24 International Business Machines Corporation Fabrication of vertical field effect transistors with uniform structural profiles
KR102367493B1 (ko) * 2017-03-06 2022-02-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10083873B1 (en) * 2017-06-05 2018-09-25 Globalfoundries Inc. Semiconductor structure with uniform gate heights
CN109148451B (zh) * 2017-06-27 2021-09-07 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
US10374066B2 (en) * 2017-07-11 2019-08-06 International Business Machines Corporation Fin and shallow trench isolation replacement to prevent gate collapse
US10276445B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
CN109585290B (zh) * 2017-09-28 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109599336B (zh) * 2017-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9984933B1 (en) * 2017-10-03 2018-05-29 Globalfoundries Inc. Silicon liner for STI CMP stop in FinFET
US11114549B2 (en) * 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
KR102419894B1 (ko) 2018-03-14 2022-07-12 삼성전자주식회사 비-활성 핀을 갖는 반도체 소자
CN110491836B (zh) * 2018-05-15 2021-09-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
KR102593758B1 (ko) * 2018-10-10 2023-10-25 삼성전자주식회사 반도체 장치
US11080453B2 (en) * 2018-10-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit fin layout method, system, and structure
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10818556B2 (en) * 2018-12-17 2020-10-27 United Microelectronics Corp. Method for forming a semiconductor structure
CN111370488B (zh) * 2018-12-26 2024-04-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN111725137B (zh) * 2019-03-20 2023-06-23 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
CN111785772A (zh) * 2019-04-04 2020-10-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11264504B2 (en) * 2020-01-24 2022-03-01 Globalfoundries U.S. Inc. Active and dummy fin structures
CN114068709B (zh) * 2020-08-03 2023-08-18 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070001237A1 (en) * 2005-07-01 2007-01-04 Tsu-Jae King Segmented channel MOS transistor
US20080029821A1 (en) * 2004-07-12 2008-02-07 Nec Corporation Semiconductor Device and Method for Production Thereof
US20090057781A1 (en) * 2007-08-29 2009-03-05 Brent Anderson Mugfet with optimized fill structures

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6894326B2 (en) * 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US6849549B1 (en) * 2003-12-04 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dummy structures for improved CMP and reduced capacitance
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
JP4675585B2 (ja) * 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
JP4648096B2 (ja) * 2005-06-03 2011-03-09 株式会社東芝 半導体装置の製造方法
WO2008007331A2 (en) * 2006-07-11 2008-01-17 Nxp B.V. Semiconductor devices and methods of manufacture thereof
US7453125B1 (en) * 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
KR101286644B1 (ko) * 2007-11-08 2013-07-22 삼성전자주식회사 더미 게이트부를 포함한 반도체 소자 및 그 제조방법
US8003466B2 (en) * 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US7829951B2 (en) * 2008-11-06 2010-11-09 Qualcomm Incorporated Method of fabricating a fin field effect transistor (FinFET) device
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8653608B2 (en) * 2009-10-27 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with reduced current crowding
US8334184B2 (en) * 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8937353B2 (en) * 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
JP5646416B2 (ja) * 2011-09-01 2014-12-24 株式会社東芝 半導体装置の製造方法
US8557666B2 (en) * 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8420459B1 (en) * 2011-10-20 2013-04-16 International Business Machines Corporation Bulk fin-field effect transistors with well defined isolation
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8941156B2 (en) * 2013-01-07 2015-01-27 International Business Machines Corporation Self-aligned dielectric isolation for FinFET devices
US9064900B2 (en) * 2013-07-08 2015-06-23 Globalfoundries Inc. FinFET method comprising high-K dielectric
US9349730B2 (en) * 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9385048B2 (en) * 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9640533B2 (en) * 2015-03-12 2017-05-02 Globalfoundries Inc. Methods, apparatus and system for providing source-drain epitaxy layer with lateral over-growth suppression
US9324713B1 (en) * 2015-03-16 2016-04-26 Globalfoundries Inc. Eliminating field oxide loss prior to FinFET source/drain epitaxial growth
US9418994B1 (en) * 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
US9536775B2 (en) * 2015-05-29 2017-01-03 International Business Machines Corporation Aspect ratio for semiconductor on insulator
US9583572B2 (en) * 2015-06-25 2017-02-28 International Business Machines Corporation FinFET devices having silicon germanium channel fin structures with uniform thickness
US10032877B2 (en) * 2016-08-02 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080029821A1 (en) * 2004-07-12 2008-02-07 Nec Corporation Semiconductor Device and Method for Production Thereof
US20070001237A1 (en) * 2005-07-01 2007-01-04 Tsu-Jae King Segmented channel MOS transistor
US20090057781A1 (en) * 2007-08-29 2009-03-05 Brent Anderson Mugfet with optimized fill structures

Also Published As

Publication number Publication date
CN103474397B (zh) 2016-04-06
US10978352B2 (en) 2021-04-13
DE102012110995A1 (de) 2013-12-12
US10546786B2 (en) 2020-01-28
US9659810B2 (en) 2017-05-23
US20140291770A1 (en) 2014-10-02
US20210305102A1 (en) 2021-09-30
DE102012025824A1 (de) 2018-05-30
US9805984B2 (en) 2017-10-31
US20180012809A1 (en) 2018-01-11
US20200144130A1 (en) 2020-05-07
CN103474397A (zh) 2013-12-25
US20130330889A1 (en) 2013-12-12
US8697515B2 (en) 2014-04-15
US10062614B2 (en) 2018-08-28
DE102012110995B4 (de) 2018-04-12
KR20130137068A (ko) 2013-12-16
KR101388329B1 (ko) 2014-04-22
US20170098582A1 (en) 2017-04-06
US20190057908A1 (en) 2019-02-21

Similar Documents

Publication Publication Date Title
DE102012025824B4 (de) FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102019116861B4 (de) Verfahren zum Strukturieren von Finnen und integrierte Schaltkreis-Vorrichtung mit benachbarten FinFET-Vorrichtungen
DE102013110023B4 (de) Herstellung einer Nanodraht-Halbleitervorrichtung
DE102015104698B4 (de) Struktur und verfahren für mosfet-vorrichtung
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102012107496B4 (de) Metallgate-FINFET-Bauelement und Verfahren zu seiner Herstellung
DE102017117811B4 (de) Halbleiterstrukturen mit Metallleitungen unterschiedlicher Dicke, Rasterabstände und/oder Breite
DE102012221824B4 (de) Verfahren zur Herstellung einer Multi-Gate-Transistoreinheit, Multi-Gate-Transistoreinheit und Schaltungsvorrichtung damit
DE102019106654A1 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102016100022A1 (de) CMOS-Vorrichtung mit vorgespanntem Nanodraht und Herstellungsverfahren
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE102013103470A1 (de) Struktur und Verfahren für einen Feldeffekttransistor
DE102015103264B4 (de) Verfahren zum Ausbilden einer Halbleiterbauelementstrukur mit einem Gate
DE102017127095A1 (de) Finfet-struktur mit gesteuerten luftspalten
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102019116606A1 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102015106597A1 (de) Struktur und Verfahren für integrierte Schaltung
DE102015116915A1 (de) Halbleitervorrichtungsstruktur und Verfahren zum Ausbildenvon dieser
DE102021103217A1 (de) Ätzprofilsteuerung der Durchkontaktierungsöffnung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R129 Divisional application from

Ref document number: 102012110995

Country of ref document: DE

R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823400

Ipc: H01L0027088000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final