DE102007009914A1 - Feldeffekttransistor mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung - Google Patents

Feldeffekttransistor mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung Download PDF

Info

Publication number
DE102007009914A1
DE102007009914A1 DE102007009914A DE102007009914A DE102007009914A1 DE 102007009914 A1 DE102007009914 A1 DE 102007009914A1 DE 102007009914 A DE102007009914 A DE 102007009914A DE 102007009914 A DE102007009914 A DE 102007009914A DE 102007009914 A1 DE102007009914 A1 DE 102007009914A1
Authority
DE
Germany
Prior art keywords
transistor
etch stop
dielectric material
interlayer dielectric
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102007009914A
Other languages
English (en)
Other versions
DE102007009914B4 (de
Inventor
Joerg Hohage
Michael Finken
Christof Streck
Ralf Richter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102007009914A priority Critical patent/DE102007009914B4/de
Priority to US11/873,547 priority patent/US20080203487A1/en
Publication of DE102007009914A1 publication Critical patent/DE102007009914A1/de
Application granted granted Critical
Publication of DE102007009914B4 publication Critical patent/DE102007009914B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Durch Vorsehen eines stark verspannten Zwischenschichtdielektrikummaterials kann das Leistungsverhalten zumindest einer Art an Transistoren auf Grund eines verbesserten verformungsinduzierenden Mechanismus erhöht werden. Z. B. wird durch Vorsehen eines stark kompressiv verspannten Siliziumdioxids von ungefähr 400 MPa oder mehr als ein Zwischenschichtdielektrikummaterial der Durchlassstrom des p-Kanaltransistors um 2% und mehr erhöht, ohne dass das Leistungsverhalten von n-Kanaltransistoren unerwünscht stark beeinflusst wird.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung das Gebiet integrierter Schaltungen und betrifft insbesondere die Herstellung von p-Kanalfeldeffekttransistoren mit einem verformten Kanalgebiet, das durch eine verspannte Kontaktätzstoppschicht hervorgerufen wird.
  • Beschreibung des Stands der Technik
  • Integrierte Schaltungen enthalten typischerweise eine große Anzahl an Schaltungselementen auf einer gegebenen Chipfläche gemäß einer spezifizierten Schaltungsanordnung, wobei in komplexen Schaltungen der Feldeffekttransistor eine wichtige Bauteilkomponente darstellt. Es werden eine Reihe von Prozesstechnologie eingesetzt, wobei für komplexe Schaltungen auf der Grundlage von Feldeffekttransistoren, etwa Mikroprozessoren, Speicherchips, und dergleichen, die MOS-Technologie eine der vielversprechendsten Lösungen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der MOS-Technologie werden Millionen Transistoren, in der CMOS-Technologie, komplementäre Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte PN-Übergänge, die durch eine Grenzfläche von stark dotierten Drain- und Source-Gebieten mit einem invers oder schwach dotierten Kanalgebiet wird, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist. Die Leitfähigkeit des Kanalgebiets, d. h. das Durchlassstromvermögen des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die über dem Kanal ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anliegens einer geeigneten Steuerspannung an der Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Majoritätsladungsträger und – für eine gegebene Ausdehnung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit bestimmt in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anliegen der Steuerspannung an der Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets im Wesentlichen das Leistungsverhalten der MOS-Transistoren. Somit wird die Verringerung der Kanallänge und damit verknüpft die Verringerung des Kanalwiderstands ein wichtiges Entwurfskriterium, um einen Anstieg in der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Die Reduzierung der Transistorabmessungen beinhaltet jedoch eine Reihe damit verknüpfter Probleme die es zu lösen gilt, um nicht in unerwünschter Weise die durch das stetige Reduzieren der Kanallänge von MOS-Transistoren erreichten Vorteile aufzuheben. Ein Problem in dieser Hinsicht ist die Entwicklung moderner Photolithographie und Ätzstrategien, um zuverlässig und reproduzierbar Schaltungselemente mit kritischen Abmessungen, etwa die Gateelektrode der Transistoren, für eine neue Bauteilgeneration zu schaffen. Des weiteren sind sehr anspruchsvolle Dotierstoffprofile in vertikaler Richtung und lateraler Richtung in den Drain- und Sourcegebieten erforderlich, um den geringen Schichtwiderstand und Kontaktwiderstand in Verbindung mit einer gewünschten Kanalsteuerbarkeit bereitzustellen.
  • Da die ständige Größenreduzierung der kritischen Abmessungen, d. h. der Gatelänge der Transistoren, das Anpassen und möglicherweise die Neuentwicklung von Prozesstechniken im Hinblick auf die oben genannten komplexen Prozessschritte erfordert, wurde auch vorgeschlagen, das Bauteilleistungsverhalten der Transistorelemente nicht nur durch Reduzieren der Transistorabmessungen, sondern auch durch Erhöhen der Ladungsträgerbeweglichkeit in dem Kanalgebiet für eine gegebene Kanallänge zu verbessern. Ein effizienter Ansatz ist die Modifizierung der Gitterstruktur in dem Kanalgebiet, indem beispielsweise eine Zugverformung oder eine Druckverformung darin erzeugt wird, was zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Beispielsweise kann das Erzeugen einer Zugverformung in dem Kanalgebiet einer Siliziumschicht mit einer standardmäßigen Kristallkonfiguration die Beweglichkeit von Elektronen erhöhen, das sich wiederum direkt in einer entsprechenden Zunahme der Leitfähigkeit für n-Transistoren ausdrückt. Andererseits kann eine kompressive Verformung in dem Kanalgebiet die Beweglichkeit von Löchern erhöhen, wodurch die Möglichkeit geschaffen wird, das Verhalten von p-Transistoren zu verbessern. Folglich wurde vorgeschlagen, beispielsweise eine Silizium/Germanium-Schicht oder eine Silizium/Kohlenstoffschicht in oder nahe an dem Kanalgebiet einzubauen, um damit eine Zugspannung oder eine Druckspannung zu erzeugen. Obwohl das Transis torverhalten deutlich verbessert werden kann, indem verformungserzeugende Schichten in oder unter dem Kanalgebiet vorgesehen werden, sind große Anstrengungen erforderlich, um die Herstellung entsprechender verformungsinduzierender Schichten in die konventionelle und gut erprobte CMOS-Technologie einzubinden. Beispielsweise müssen zusätzliche epitaktische Wachstumsverfahren entwickelt und in den Prozessablauf eingebunden werden, um damit die germanium- oder kohlenstoffenthaltenden Verspannungsschichten an geeigneten Positionen in oder unter dem Kanalgebiet einzuordnen. Dadurch wird die Prozesskomplexität deutlich erhöht, wodurch auch zu einer Erhöhung der Herstellungskosten getragen wird und auch die Gefahr einer Reduzierung der Produktionsausbeute anwächst.
  • Daher wird häufig eine Technik eingesetzt, die das Erzeugen gewünschter Verspannungsbedingungen in dem Kanalgebiet unterschiedlicher Transistorelemente ermöglicht, indem die Verspannungseigenschaften einer Kontaktätzstoppschicht modifiziert werden, die über der Transistorbasisstruktur gebildet wird, wenn Kontaktöffnungen zu dem Gate und den Drain- und Source-Anschlüssen in einem Zwischenschichtdielektrikumsmaterial zu bilden. Die effiziente Steuerung der mechanischen Verspannung in dem Kanalgebiet, d. h. eine effiziente Spannungstechnologie kann erreicht werden, indem die interne Verspannung in den Kontaktätzstoppschichten, die über den entsprechenden Transistorelementen angeordnet sind, individuell eingestellt wird, wobei dazu eine Kontaktätzstoppschicht mit einer inneren kompressiven Verspannung über einem p-Kanaltransistor positioniert wird, während eine Kontaktätzstoppschicht mit einer inneren Verspannung über einen n-Kanaltransistor angeordnet wird, wodurch in den jeweiligen Kanalgebieten eine Druckverformung bzw. eine Zugverformung hervorgerufen wird.
  • Typischerweise wird die Kontaktätzstoppschicht durch plasmagestützte Dampfabscheideprozesse (PECVD) über den Transistor gebildet, d. h. über der Gatestruktur und den Drain- und Sourcegebieten, wobei beispielsweise Siliziumnitrid auf Grund seiner hohen Ätzselektivität in Bezug auf Siliziumdioxid, das ein gut etabliertes Zwischenschichtdielektrikumsmaterial ist, verwendet wird. Des weiteren kann PECVD-Siliziumnitrid mit einer hohen inneren Verspannung von beispielsweise bis zu 2 Gigapascal (GPa) oder deutlich höher an Zugverspannung oder Druckverspannung abgeschieden werden, wobei die Art und die Größe der inneren Verspannung effizient durch Auswählen geeigneter Abscheideparameter eingestellt werden kann. Z. B. sind der Innenbeschuss, der Abscheidedruck, die Substrattemperatur, die Gaskomponenten und dergleichen entsprechende Parameter, die zum Er halten der gewünschten inneren Verspannung eingesetzt werden können. Da die Kontaktätzstoppschicht nahe an dem Transistor angeordnet ist, kann die innere Verspannung effizient in das Kanalgebiet übertragen werden, wodurch dessen Leitverhalten verbessert wird. Für moderne Anwendungen kann die verformungsinduzierende Kontaktätzstoppschicht effizient mit anderen verformungsinduzierenden Mechanismen kombiniert werden, etwa verformten oder entspannten Halbleitermaterialien, die in geeigneten Transistorbereichen eingebaut werden, um ebenso eine gewünschte Verformung in dem Kanalgebiet hervorzurufen. Somit ist die verspannte Kontaktätzstoppschicht ein gut etabliertes Entwurfsmerkmal für moderne Halbleiterbauelemente. Der Betrag der inneren Verspannung kann jedoch auf Grund von prozessspezifischen Gegebenheiten beschränkt sein. Daher wird die Dicke der entsprechenden Ätzstoppschichten typischerweise erhöht, was zu einem Anstieg der entsprechenden Verformung in dem Kanalgebiet führt. z. B. kann die effektive kompressive Kraft und damit die entsprechende Verformung in dem p-Kanaltransistoren effizient gesteigert werden, indem die Dicke der Kontaktätzstoppschicht größer gemacht wird. Die Schichtdicke ist jedoch an die Erfordernisse des nachfolgenden Kontaktätzschrittes anzupassen, der typischerweise eine moderat geringe Schichtdicke von einigen 100 nm und weniger notwendig macht, insbesondere in modernsten Bauelementen mit dichten Bauteilmustern, an denen ein konformes Abscheideverhalten der Ätzstoppschicht nicht mehr aufrecht erhalten werden kann. Obwohl somit das Bereitstellen eines stark verspannten Ätzstoppmaterials über p-Kanaltransistoren eine effiziente Lösung zum Verbessern des Durchlassstromes und der Schaltgeschwindigkeit repräsentiert, kann die erreichbare Verbesserung im Leistungsverhalten durch die Abscheideeigenschaften und die Dicke der Kontaktätzstoppschicht beschränkt sein.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf, die Effizienz des Verspannungstransfermechanismus zu verbessern, der durch eine verspannte Schicht über einem Transistor bereitgestellt wird, während die zuvor erkannten Probleme vermieden oder zumindest reduziert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen richtet sich der hierin offenbarte Gegenstand an Verfahren und Bauelemente zum Erhalten von verbesserten verformungsinduzierenden Mechanismen, um die Ladungsträgerbeweglichkeit in entsprechenden Kanalgebieten von Transistoren auf der Grundlage verspannter dielektrischer Materialien, die über den Transistorelementen ausgebildet sind, zu verbessern. Zu diesem Zweck wird das Zwischenschichtdielektrikumsmaterial, das über den jeweiligen Transistorelementen vorgesehen wird, und die Transistoren von der ersten Metallisierungsebene trennt, für eine verbesserte Verformungstechnologie eingesetzt, um damit das Leistungsverhalten zumindest einer Art an Transistoren deutlich zu verbessern. D. h., zusätzlich oder alternativ zu entsprechenden Kontaktätzstoppschichten mit hoher innerer Verspannung wird das Zwischenschichtdielektrikumsmaterial mit einer geeigneten inneren Verspannung vorgesehen, um eine entsprechende Verformung in dem Kanalgebiet zumindest einer Transistorart zu erzeugen. Somit kann durch das "Einbeziehen" des eigentlichen Zwischenschichtdielektrikumsmaterials in den Verspannungsverfahrensmechanismus eine entsprechende Einschränkung konventioneller Verspannungstechnologielösungen behoben oder zumindest deutlich reduziert werden, da das Abscheiden der entsprechenden Kontaktätzstoppschichten vorzugsweise z. B. auf der Grundlage musterspezifischer Beschränkungen anstatt im Hinblick auf die innere Verspannung stattfinden kann. Folglich können die Schichtdicke und die internen Verspannungspegel der Kontaktätzstoppschichten so gewählt werden, dass ein verbessertes Ergebnis der Herstellungssequenz erreicht wird, wobei zumindest für eine Art von Transistoren ein effizienter verformungsinduzierender Mechanismus auf der Grundlage des nachfolgend gebildeten Zwischenschichtdielektrikumsmaterials erhalten wird.
  • Ein anschaulich hierin offenbartes Verfahren umfasst das Bilden einer ersten Ätzstoppschicht über einem p-Kanaltransistor und das Bilden eines Zwischenschichtdielektrikumsmaterials über der ersten Ätzstoppschicht, wobei das Zwischenschichtdielektrikumsmaterial mindestens einen Schichtbereich mit einer kompressiven Verspannung von ungefähr 400 MPa (Megapascal) oder mehr aufweist. Ferner wird eine Kontaktöffnung in dem Zwischenschichtdielektrikumsmaterial gebildet, wobei die zweite Ätzstoppschicht als ein Ätzstopp verwendet wird.
  • Ein weiteres hierin offenbartes anschauliches Verfahren umfasst das Bilden einer ersten Ätzstoppschicht über einem ersten Transistor und das Bilden einer zweiten Ätzstoppschicht über einem zweiten Transistor, wobei die erste und die zweite Ätzstoppschicht sich voneinander in den Betrag der inneren Verspannung und/oder in der Art der inneren Verspannung unterscheiden. Ferner wird ein Zwischenschichtdielektrikumsmaterial über der ersten und der zweiten Ätzstoppschicht gebildet, wobei das Zwischenschichtdielektrikumsmaterial ei nen Bereich aufweist, der über den ersten Transistor angeordnet ist und einen inneren Verspannungspegel aufweist, der so eingestellt ist, dass ein Verformungspegel eingestellt ist.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst einen ersten Transistor und eine erste Ätzstoppschicht, die über dem ersten Transistor ausgebildet ist. Das Halbleiterbauelement umfasst ferner ein erstes Zwischenschichtdielektrikumsmaterial, das auf der ersten Ätzstoppschicht ausgebildet ist und einen inneren Verspannungspegel von ungefähr 400 MPa oder höher aufweist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Vorteile, Aufgaben und Ausführungsformen sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1c schematisch Querschnittsansichten von Transistorelementen zeigen, die in einem Zwischenschichtdielektrikumsmaterial mit einer hohen inneren Verspannung eingebettet sind, während diverse Fertigungsphasen gemäß anschaulicher Ausführungsformen;
  • 1d bis 1f schematisch Querschnittsansichten von Transistoren unterschiedlicher Leitfähigkeitsart während der Herstellung eines Zwischenschichtdielektrikumsmaterials mit einem hohen inneren Verspannungspegel zeigen, wobei ein entsprechendes dielektrisches Puffermaterial zum Reduzieren der Wirkung des Zwischenschichtdielektrikumsmaterials über einer Art an Transistoren gemäß weiterer anschaulicher Ausführungsformen vorgesehen ist; und
  • 1g schematisch eine Querschnittsansicht eines Halbleiterbauelements mit zwei unterschiedlichen Arten an Transistoren während der Herstellung von Ätzstoppschichten mit unterschiedlicher innerer Verspannung gemäß einem verbesserten Prozessablauf vor dem Ausbilden eines stark verspannten Zwischenschichtdielektrikumsmaterials gemäß noch weiterer anschaulicher Ausführungsformen zeigt.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, den hierin offenbarten Gegenstand auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand eine Technik zum Bereitstellen eines verformungsinduzierenden Mechanismus auf Grundlage verspannter dielektrischer Materialien, die über entsprechenden Transistorelementen ausgebildet sind, wobei die Effizienz zumindest einer kompressiven Verspannungsquelle wirksam verbessert werden kann, indem in geeigneter Weise ein Zwischenschichtdielektrikumsmaterial mit einer hohen inneren Verspannung gebildet wird, um damit die entsprechende Verformung in den Transistorelementen, die von dem stark verspannten Zwischenschichtdielektrikumsmaterial umschlossen sind, einzustellen. Das Bereitstellen des stark verspannten Zwischenschichtdielektrikumsmaterials kann effizient mit einem geeigneten verspannungsinduzierenden Mechanismus gekoppelt werden, der auf Basis stark verspannter Ätzstoppschichten erreicht wird, die typischerweise nahe an den jeweiligen Transistorelementen vorgesehen sind, um damit einen nachfolgenden anisotropen Ätzprozess zum Herstellen entsprechender Kontaktöffnungen in den Zwischenschichtdielektrikumsmaterial zu steuern. In einigen Aspekten werden gut etablierte Techniken, etwa plasmaunterstützte CVD-Prozesse, eingesetzt, um ein stark verspanntes Zwischenschichtdielektrikumsmaterial auf der Grundlage von Siliziumdioxid zu bilden, um damit eine hohe kompressive Verspannung zu erreichen, die zu einem entsprechenden Zuwachs im Leistungsniveau von p-Kanaltransistoren führt, wobei Nachteile vermieden oder deutlich verringert werden, die in konventionellen Strategien angetroffen werden, in denen versucht wird, den verspannungsinduzierenden Mechanismus zu verbessern, indem der Verspannungspegel und/oder die Dicke der entsprechenden siliziumnitridbasierten Kontaktätzstoppschichten erhöht wird.
  • Zum Beispiel kann für standardmäßige Kristallbedingungen, d. h. für ein siliziumbasiertes Halbleitermaterial mit einer (100) Oberflächenorientierung, wobei entsprechende Kanalgebiete entlang der (110)-Richtung angeordnet sind, die Beweglichkeit von Löchern deutlich verbessert werden, indem eine kompressive Verformung entlang der Kanallängenrichtung vorgesehen wird, was durch entsprechende Schichten erreicht werden kann, die nahe an dem Transistorelement angeordnet sind und eine hohe Druckverspannung aufweisen. Für die gleichen Kristallbedingungen kann eine entsprechende hohe Zugverspannung eine Verformung n-Kanaltransistoren bewirken und damit die Elektronenbeweglichkeit verbessern. Zu diesem Zweck wird typischerweise die Kontaktätzstoppschicht, die für gewöhnlich aus Siliziumnitrid hergestellt ist, mit einer hohen Druckverspannung über den p-Kanaltransistor und mit einer hohen Zugverspannung über den n-Kanaltransistor gebildet, um damit das Transistorverhalten zu verbessern. Danach wird in konventionellen Strategien das Zwischenschichtdielektrikumsmaterial, das typischerweise aus Silizium aufgebaut ist, mit moderat geringer Druckverspannung abgeschieden, d. h. mit einem Verspannungspegel von ungefähr 100 Megapascal (MPa) oder deutlich weniger, oder mit einer geringen Zugverspannung in Abhängigkeit von den jeweiligen Abscheideparametern. Jedoch ist eine entsprechende geringe innere Verspannung nicht wirksam für die jeweiligen Kanalgebiete, so dass die schließlich erreichte Steigerung des Leistungsverhaltens im Wesentlichen durch die verspannten Ätzstoppschichten oder durch andere verspannungsinduzierenden Quellen, etwa eingebettete oder verformte oder entspannte Halbleiteverbindungen und dergleichen, bestimmt. Gemäß hierin offenbarter Ausführungsformen kann die Effizienz von verspannten Kontaktätzstoppschichten deutlich gesteigert werden, indem auch deutliche Anteile des Zwischenschichtdielektrikumsmaterials mit einer hohen inneren Verspannung vorgesehen werden, wobei zumindest für eine Transistorart eine deutliche Zunahme der Leistungssteigerung erreicht werden kann, ohne dass in unerwünschter Weise die andere Art an Transistoren negativ beeinflusst wird, selbst wenn das entsprechende stark verspannte Zwischenschichtdielektrikumsmaterial direkt auf beiden Arten an verformten Kontaktätzstoppschichten gebildet wird. Z. B. kann eine hohe kompressive Verspannung mit einem inneren Verspannungspegel von ungefähr 400 MPa oder höher zu einer merklichen Verformung in einem p-Kanaltransistor führen, wobei die innere Zugverspannung in der Kontaktätzstoppschicht, die über dem n-Kanaltransistor ausgebildet ist, in effizienter Weise die kompressive Verspannung „abschirmen" kann, wodurch das entsprechende Leistungsverhalten des n-Kanaltransistors nur innerhalb eines tolerierbaren Bereichs reduziert wird. In einigen Aspekten ist die innere Verspannung der entsprechenden Kontaktätzstoppschicht weniger kritisch und kann daher im Hinblick auf das Verbessern des Prozessablaufs zur Herstellung der Kontaktätzstoppschicht und nachfolgender Bauteilstrukturelemente gewählt werden, da die entsprechende gewünschte Verformung in dem Kanalgebiet im Wesentli chen durch den verspannungsinduzierenden Mechanismus bestimmt ist, der durch das stark verspannte Zwischenschichtdielektrikumsmaterial bereitgestellt wird. Somit kann die Gesamtprozesseffizienz im Hinblick auf die Komplexität, den Durchsatz, und dergleichen verbessert werden, wobei dennoch ein effizienter verformungsinduzierender Mechanismus bereitgestellt wird.
  • In einigen anschaulichen Ausführungsformen kann zumindest das Leistungsverhalten von p-Kanaltransistoren gesteigert werden, indem ein siliziumdioxidbasiertes Zwischenschichtdielektrikumsmaterial vorgesehen wird, das im Gegensatz zu konventionellen Lösungen mit einer hohen inneren Druckverspannung auf Grundlage von PECVD-Verfahren (plasmaunterstützte chemische Dampfabscheidung) gebildet wird, wodurch ein hohes Maß an Kompatibilität mit konventionellen Strategien beibehalten wird. Siliziumdioxid, das durch PECVD hergestellt wird, kann bessere Eigenschaften im Hinblick auf das Abscheideverhalten und die Materialunversehrtheit während der weiteren Bearbeitung von Halbleiterbauelementen aufweisen, wobei entsprechende Prozessparameter, etwa der Ionenbeschuss während des Abscheidens, der Druck, die Temperatur, und dergleichen, so eingestellt werden, um das Siliziumdioxidmaterial mit hoher kompressiver Verspannung abzuscheiden. Des weiteren können die entsprechenden mechanischen und chemischen Eigenschaften des stark verspannten Siliziumdioxidmaterials dennoch den Erfordernissen Rechnung tragen, die durch die weitere Bearbeitung, beispielsweise im Hinblick auf das chemisch-mechanische Polieren (CMP) zum Einebnen der resultierenden Oberflächentopographie und für die nachfolgende anisotrope Ätzsequenz zum Bilden entsprechender Kontaktöffnungen in dem Zwischenschichtdielektrikumsmaterial auftreten. Z. B. kann Siliziumdioxid auf der Grundlage von PECVD unter Anwendung von TEOS (Tetraethylorthosilikat) und Sauerstoff gebildet werden, wobei Siliziumdioxid mit einer relativ hohen mechanischen Stabilität bei Temperaturen unter 600 Grad C mit hohen Abscheideraten gebildet wird, wodurch zu einem hohen Prozessdurchsatz beigetragen wird. Das entsprechende Siliziumdioxidmaterial weist zusätzlich zu einer hohen inneren Verspannung und einer hohen mechanischen Stabilität einen hohen Widerstand gegen den Einbau von Feuchtigkeit auf, das vorteilhaft ist im Hinblick auf die weitere Bearbeitung des Bauelements, beispielsweise in Bezug auf das Ausführen des CMP-Prozesses und dergleichen. In anderen Fällen kann auch Silan als ein effizientes Vorstufenmaterial eingesetzt werden, wenn ein siliziumdioxidbasiertes Zwischenschichtdielektrikumsmaterial mit hoher innerer Verspannung zu bilden ist. Auch in diesem Falle können die entsprechenden zuvor genannten Prozessparameter in geeigneter Weise eingestellt werden, um die gewünschte innere hohe innere Verspannung von beispielsweise 400 MPa und höher zu erreichen, wobei selbst Werte von 1 GPa und mehr eingesetzt werden können, wenn dies für den betrachteten Halbleiter geeignet ist.
  • In noch anderen anschaulichen Aspekten des hierin offenbarten Gegenstandes kann ein negativer Einfluss stark verspannter Zwischenschichtdielektrikumsmaterialien, die beispielsweise auf Siliziumdioxid basieren, in effizienter Weise reduziert werden, indem lokal ein dielektrisches Puffermaterial vorgesehen wird, das so gestaltet ist, dass die auf das darunter liegende Transistorelement einwirkende Verspannung reduziert wird. In der zuvor beschriebenen Situation kann z. B. das Zwischenschichtdielektrikumsmaterial als eine siliziumdioxidbasierte Materialschicht mit einer hohen kompressiven Verspannung vorgesehen werden, was vorteilhaft ist im Hinblick auf das Verbessern der Leistungsfähigkeit von p-Kanaltransistoren. Anderseits kann ein n-Kanaltransistor darauf ausgebildet eine Ätzstoppschicht mit hoher innerer Zugverspannung aufweisen, deren Wirkung teilweise durch das entsprechend kompressiv verspannte Dielektrikumsmaterial kompensiert werden kann. In diesem Falle wird eine geeignete Pufferschicht lokal vorgesehen, die eine ähnliche Materialzusammensetzung aufweist, um damit ein hohes Maß an Kompatibilität während des nachfolgenden Ätzprozesses beizubehalten, wobei dennoch die Auswirkung des darüber liegenden Zwischenschichtdielektrikumsmaterials reduziert wird, oder wodurch sogar eine größere Gesamtzugspannung in dem n-Kanaltransistor erreicht wird. Zu diesem Zweck kann Siliziumdioxidmaterial auf der Grundlage eines thermischen CVD-Prozesses unter Anwendung von TEOS als ein Vorstufenmaterial gebildet werden, wodurch ein Abscheideprozess mit ausgezeichnetem Spaltenfüllverhalten bereitgestellt wird, wobei ein hohes Maß an Konformität oder bei Bedarf ein im Wesentlichen „fliessartiges" Füllverhalten erreicht wird, abhängig von den ausgewählten Prozessparametern. Der entsprechende thermische Abscheideprozess kann bei deutlich höheren Drücken im Vergleich zu den plasmagestützten Abscheideverfahren ausgeführt werden, z. B. im Bereich von 200 bis 760 Torr, und daher wird dieser Prozess häufig als "subatmosphärischer" CVD (SACVD) Prozess bezeichnet. Das aus diesen Prozess gewonnene Siliziumdioxidmaterial kann deutlich unterschiedliche Eigenschaften insbesondere im Hinblick auf den inneren Verspannungspegel aufweisen, da typischerweise das Siliziumdioxidmaterial so abgeschieden wird, dass es eine moderat hohe Zugverspannung aufweist. Ein Siliziumdioxid mit Zugverspannung kann auch mittels eines PECVD-(TEOS)Prozesses, mit optimierten Plasmabedingungen vorgesehen werden. Siliziumdioxidmaterial mit Zugverspannung kann Wasser absorbieren, was zu einer Änderung des inneren Verspannungspegels beitragen kann, woraus schließlich bei deutlicher Absorption von Feuchtigkeit eine signifikante Druckverspannung resultieren kann. Somit können durch Vorsehen einer Pufferschicht oder Ätzstoppschicht, die als Siliziumdioxidmaterial mit erhöhter Zugverspannung aufgebaut ist, in lokaler Weise über n-Kanaltransistoren vor dem Bilden des eigentlichen stark kompressiven Zwischenschichtdielektrikumsmaterials die Verspannungseigenschaften der Pufferschicht (d. h. des zugverspannten Siliziumdioxids) auf Grund der Einkapselung des mechanisch stabilen kompressiven PECVD-Zwischenschichtdielektrikumsmaterials beibehalten werden, so dass der entsprechende n-Kanaltransistor von der Pufferschicht oder Ätzstoppschicht abgeschirmt werden kann oder sogar eine entsprechende Zugverformung verstärkt werden kann. Somit können die Eigenschaften der jeweiligen Ätzstoppschichten, etwa die innere Verspannung, die Schichtdicke und damit das Maß an Konformität, im Hinblick auf die Erfordernisse, die durch die Bauteilgeometrie bestellt werden, ausgesucht werden, während die schließlich gewünschte Verformung in den jeweiligen Kanalgebiet auf Grundlage der inneren Verspannungspegel des Zwischenschichtdielektrikumsmaterials eingestellt werden kann.
  • Mit Bezug zu den begleitenden Zeichnungen werden nun weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem ersten Transistor 150a und einen zweiten Transistor 150b, die sich in ihrer Konfiguration so unterscheiden, dass unterschiedliche Arten an Verformung in den jeweiligen Kanalgebieten zur Verbesserung des Leistungsverhaltens erforderlich sind, d. h. zum Erhöhen des Durchlassstromes und der Schaltgeschwindigkeit. Zum Beispiel repräsentiert der Transistor 150a einen p-Kanaltransistor, der eine entsprechende kompressive Verformung für eine spezifizierte Kristallorientierung erfordert, wie dies zuvor erläutert ist, während der Transistor 150b einen n-Kanaltransistor darstellt, der eine Zugverformung in seinem Kanalgebiet erfordert. Es sollte jedoch beachtet werden, dass andere Transistorkonfigurationen durch die hierin beschriebenen Ausführungsformen mit eingeschlossen sind, wenn eine andere Art an verformungsinduzierenden Mechanismus im Hinblick auf das Gesamtverhalten des Bauelements vorteilhaft ist. Die Transistoren 150a, 150b können sich in ihrer Konfiguration in Bezug auf Dotierstoffprofile, Art der Dotierstoffe, Dotierstoffsorten, Transistorabmessungen, und dergleichen unterscheiden. Der Einfachheit halber sind derartige Unterschiede hierin nicht gezeigt und beschrieben. Das Halbleiterbauelement 100 enthält ein Substrat 101, das ein beliebiges geeignetes Trägermaterial repräsentieren kann, etwa ein Siliziumvollsubstrat, ein SOI-artiges Substrat (Silizium-auf-Isolator) und dergleichen. Beispielsweise kann das Substrat 101 ein Siliziumvollsubstrat repräsentieren, das darauf ausgebildet eine geeignete Halbleiterschicht 102 aufweist, etwa ein siliziumbasiertes Material, dessen Eigenschaften lokal in Bezug auf seine Ladungsträgerbeweglichkeit eingestellt wird, indem eine entsprechende Verformung in spezifizierten Bereichen der Halbleiterschicht 102 hervorgerufen wird. In anderen Fällen weist das Substrat 101 eine vergrabene isolierende Schicht (nicht gezeigt) auf, auf der die Halbleiterschicht 102 ausgebildet ist, um damit eine SOI-Architektur zu schaffen. Des weiteren umfasst die Halbleiterschicht 102 entsprechende Isolationsstrukturen (nicht gezeigt), etwa flache Grabenisolationen und dergleichen, um damit jeweilige aktive Gebiete, etwa den ersten und den zweiten Transistor 150a, 150b, zu trennen. Des weiteren kann jeder der Transistoren 150a, 150b eine Gateelektrode 106 aufweisen, die auf einer entsprechenden Gateisolationsschicht 105 ausgebildet ist, die wiederum die Gateelektrode 106 von einem jeweiligen Kanalgebiet 104 trennt. Des weiteren sind entsprechende Drain- und Sourcegebiete 103 benachbart zu dem jeweiligen Kanalgebiet 104 ausgebildet. Abhängig von der Prozessstrategie und den Bauteilerfordernissen ist eine Seitenwandabstandshalterstruktur 107 an Seitenwände der Gateelektroden 106 vorgesehen, wobei zu beachten ist, dass die Abstandshalterstrukturen 107 für die jeweiligen Transistoren in Abhängigkeit von Prozess- und Bauteilerfordernissen unterschiedlich sein können. Die Abstandshalterstruktur 107 kann mehrere einzelne Abstandshalterelemente aufweisen, die durch die jeweilige Beschichtungsmaterialien (nicht gezeigt) getrennt sein können, um damit eine Steuerbarkeit von Ätzprozesses während des Strukturierens der Abstandshalterstruktur 107 zu bieten. In anderen Fällen ist die Abstandshalterstruktur 107 auf ein gewisses Maß reduziert, um damit die Breitenabmessungen und/oder die Höhenabmessungen in Abhängigkeit von der Prozessstrategie zu verringern, um somit den Verspannungsübertragungsmechanismus zu verbessern. Sofern dies nicht explizit in der Beschreibung und/oder in den angefügten Patentansprüchen beschrieben ist, kann somit die Abstandshalterstruktur 107 eine beliebige Konfiguration nach Bedarf für die Transistoren 150a, 150b aufweisen. Ferner können .... oder beide Transistoren 150a, 150b zusätzliche verformungsinduzierende Quellen enthalten, etwa ein verformtes Halbleitermaterial und dergleichen. Beispielsweise kann der erste Transistor 150a, wenn dieser einen p-Kanaltransistor repräsentiert, darin eingebaut ein verformtes Silizium/Germanium-Material aufweisen, um damit eine zusätzliche Verformung in dem entsprechenden Kanalgebiet 104 zu erzeugen. In ähnlicher Weise kann ein geeigneter verformungsinduzierender Mechanis mus in dem Transistor 150b vorgesehen sein, während in anderen anschaulichen Ausführungsformen die entsprechende Verformung in den Kanalgebieten 104 im Wesentlichen auf der Grundlage dielektrischer Materialien bestimmt ist, die über dem ersten und dem zweiten Transistor 150a, 150b zu bilden sind.
  • In der gezeigten Fertigungsphase kann das Bauelement 100 eine erste dielektrische Schicht 110a aufweisen, die eine Kontaktätzstoppschicht repräsentieren kann, wobei in einigen anschaulichen Ausführungsformen die erste Schicht 110a auch als eine verspannungsinduzierende Schicht zum Erhöhen der Verformung in dem Kanalgebiet 104 des ersten Transistors 150a dient. Zum Beispiel kann die Schicht 110a ein geeignetes Material mit einer hohen Ätzselektivität zu einem Zwischenschichtdielektrikumsmaterial aufweisen, das noch zu bilden ist, wobei eine entsprechende Dicke der Schicht 110a sowie die Art und der Betrag der inneren Verspannung so ausgewählt werden können, um damit ein gewünschtes Maß an Konformität, die gewünschten Ätzstoppeigenschaften und eine gewünschte Art und Betrag an innerer Verspannung in Abhängigkeit von den nachfolgenden Prozessstrategien zu erhalten. In einigen anschaulichen Ausführungsformen wird die innere Verspannung der ersten Schicht 110a so gewählt, dass das Leistungsverhalten des Transistors 105a verbessert wird und somit die gleiche Art an innerer Verspannung aufweist, wie ein Zwischenschichtdielektrikumsmaterial, das noch über dem ersten Transistor 150a zu bilden ist. Zum Beispiel kann die Schicht 110a eine hohe kompressive Verspannung im Bereich von 1 GPa oder deutlich höher, etwa 2 GPa, und mehr abhängig von den Bauteilerfordernissen aufweisen. Z. B. ist die erste Schicht 110a aus Siliziumnitrid aufgebaut, das direkt auf den entsprechenden Transistorbereichen, d. h. den Drain- und Sourcegebieten 103 und der Gateelektrode 106 oder auf entsprechenden Metallsilizidgebieten (nicht gezeigt) gebildet werden kann, während in anderen anschaulichen Ausführungsformen ein zusätzliches Beschichtungsmaterial vorgesehen ist, wenn entsprechende Strukturierungsschemata zum Bereitstellen der Ätzstoppschichten mit unterschiedlicher innerer Verspannung über dem ersten und dem zweiten Transistor 150a, 150b erforderlich sind. In anderen anschaulichen Ausführungsformen ist die Schicht 110a aus stickstoffangereichertem Siliziumkarbid aufgebaut, das auch mit hoher kompressiver Verspannung vorgesehen werden kann, wenn ein entsprechender hoher Verspannungspegel für den ersten Transistor 150a als geeignet erachtet wird.
  • In ähnlicher Weise kann eine zweite dielektrische Schicht 110b, etwa eine zweite Kontaktätzstoppschicht, über dem zweiten Transistor 150b ausgebildet sein und kann in einer anschaulichen Ausführungsform eine hohe innere Verspannung aufweisen, die geeignet ist, das Verhalten des zweiten Transistors 150b zu verbessern. Wenn z. B. der Transistor 150b einen n-Kanaltransistor repräsentiert, wird die zweite dielektrische Schicht 110b mit einer hohen Zugverspannung im Bereich von 1 GPa oder deutlich höher vorgesehen. Z. B. kann die zweite Schicht 110b aus Siliziumnitrid aufgebaut sein, das so abgeschieden wird, dass es die gewünschte hohe Zugverspannung aufweist. Das Halbleiterbauelement 100, wie es in 1a gezeigt ist, kann gemäß den folgenden Prozessen hergestellt werden. Nach dem Bereitstellen des Substrats 101 mit der darauf ausgebildeten Halbleiterschicht 102 werden entsprechende Isolationsstrukturen gebildet, um damit die aktiven Gebiete der Transistoren 150a, 150b zu definieren. Anschließend wird ein geeignetes vertikales Dotierstoffprofil gebildet, wie es beispielsweise für einen p-Kanaltransistor und einen n-Kanaltransistor erforderlich ist. Danach werden die Gateelektroden 106 und die Gateisolationsschichten 105 auf Grundlage moderner Oxidations- und/oder Abscheideverfahren gebildet, woran sich fortschrittliche Lithographieprozesse und modernste Ätztechniken anschließen, um die Gateelektroden 106 und die Gateisolationsschicht 104 zu strukturieren. Als nächstes wird die Abstandshalterstruktur 107 mit Abmessungen gebildet, wie sie zum Profilieren der lateralen Dotierstoffkonzentration für die Drain- und Sourcegebiete 103 der Transistoren 150a, 150b erforderlich ist, auf Grundlage moderner Implantationsverfahren und/oder Diffusionsprozessen, epitaktischen Wachstumsverfahren und dergleichen. Nach dem Einbau der erforderlichen Dotierstoffkonzentration werden geeignete Ausheizprozesse während einer geeigneten Fertigungsphase ausgeführt, um damit die Dotierstoffe zu aktivieren und durch die Implantation hervorgerufene Gitterschäden auszuheilen. Des weiteren können entsprechende Metallsilizidprozesse ausgeführt werden, wenn eine entsprechende Verringerung des Widerstands der Kontaktbereiche der Transistoren 150a, 150b erforderlich ist. Anschließend werden die Ätzstoppschichten 110a, 110b auf Grundlage geeigneter Abscheideverfahren hergestellt, etwa der plasmaunterstützten CVD, wobei in einigen anschaulichen Ausführungsformen die erste Schicht 110a in Form einer Siliziumnitridschicht, einer stickstoffangereicherten Siliziumkarbidschicht und dergleichen vorgesehen wird, die eine kompressive Verspannung mit gewünschtem Betrag aufweist, wenn der erste Transistor 150a einen p-Kanaltransistor darstellt. Die zweite Ätzstoppschicht 110b wird auf Grundlage von beispielsweise plasmaunterstütztem CVD in Form eines Siliziumnitridmaterials mit einer hohen inneren Zugverspannung abgeschieden. Ein entsprechendes Prozessschema zum Bereit stellen der Schichten 110a, 110b mit einer unterschiedlichen Art oder Betrag an innerer Verspannung, einer unterschiedlichen Materialzusammensetzung und dergleichen kann Lithographieprozesse und Ätzverfahren in Abhängigkeit von den Eigenschaften der Schichten 110a, 110b erforderlich machen. Beispielsweise können ein oder mehrere Ätzstoppschichten (nicht gezeigt) oder Beschichtungsmaterialien verwendet werden, um unerwünschte Bereiche der Schichten 110a, 110b zu entfernen, um damit lokal die Schichten 110a, 110b mit den gewünschten Eigenschaften zu bilden. In einigen anschaulichen Ausführungsformen wird eine entsprechende Prozessstrategie deutlich verbessert, indem die Anzahl der Prozessschritte reduziert wird, wie dies nachfolgend mit Bezug zu 1f beschrieben ist. Während des Abscheidens der ersten und der zweiten Schicht 110a, 110b werden die Schichtdicke und die Abscheideparameter in Bezug auf die Musterdichte und die kritischen Abmessungen des Bauelements 100 so eingestellt, dass die erforderlichen Ätzstoppeigenschaften der Schichten 110a, 110b erreicht werden und auch entsprechende Prozessbedingungen, z. B. im Hinblick auf das Strukturieren der Schichten 110a, 110b und dergleichen berücksichtigt sind.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium. Hier umfasst das Bauelement 100 ein Zwischenschichtdielektrikumsmaterial 111 mit einer hohen inneren Verspannung, das zumindest über einen der Transistoren 150a, 150b gebildet ist. In einer anschaulichen Ausführungsform wird das Zwischenschichtdielektrikumsmaterial 111 in Form eines siliziumdioxidbasierten Materials mit hoher kompressiver Verspannung bereitgestellt, um damit die Verformung in einem der Transistoren 150a, 150b zu erhöhen. Es sei beispielsweise angenommen, dass der erste Transistor 150a einen p-Kanaltransistor repräsentiert. In diesem Falle kann die hohe kompressive Verspannung, die ungefähr 400 MPa und höher beträgt, deutlich das Kanalgebiet 104 des ersten Transistors 150a im Gegensatz zu konventionellen Strategien beeinflussen, in denen typischerweise Verspannungspegel in der Größenordnung von 100 MPa in den Zwischenschichtdielektrikumsschichten verwendet werden, die somit den entsprechenden Verformungspegel in dem Kanalgebiet 104 nicht wesentlich beeinflussen können. In einigen anschaulichen Ausführungsformen wird die erste Ätzstoppschicht 110a ebenso mit einer kompressiven Verspannung bereitgestellt, um damit die gesamte Verformung, die in dem Kanalgebiet 104 des Transistor 150a erzeugt wird, zu erhöhen, da das gesamte dielektrische Material 111, das über dem ersten Transistor 150a vorgesehen ist, somit bei der Erzeugung einer entsprechenden Verformung teilnimmt. Kurz gesagt, die kompressive Ver spannung in der dielektrischen Schicht 111 dient dazu, die durch die Schicht 110a erzeugte kompressive Verspannung zu verstärken. In anderen Ausführungsformen kann der Verspannungspegel in der ersten Schicht 110a deutlich geringer sein im Vergleich zu dem Verspannungspegel in dem Zwischenschichtdielektrikumsmaterial 111, so dass der entsprechende verformungsinduzierende Mechanismus im Wesentlichen durch das Material 111 bereitgestellt wird. In der gezeigten Ausführungsform kann das Material 111 mit der hohen inneren kompressiven Verspannung auch über der zweiten Ätzstoppschicht 110b gebildet werden, die eine hohe Zugverspannung aufweist, und damit die Wirkung der kompressiven Verspannung der Schicht 111 wirksam in den zweiten Transistor 150b abschirmt oder reduziert. Somit kann eine deutliche Steigerung der Transistorleistung für den Transistor 150a erreicht werden, wobei das Leistungsverhalten des Transistors 150b nicht in unerwünschter Weise negativ beeinflusst wird. Es kann eine verbesserte Prozessgleichmäßigkeit während der weiteren Bearbeitung des Bauelements 100 erreicht werden, unabhängig von der Bauteilkonfiguration des Bauelements 100, etwa ein reduzierter Abstand zwischen benachbarten Transistoren, die die gleiche Ätzstoppschicht, und dergleichen erhalten, da weniger einschneidende Bedingungen während des Abscheidens der Schichten 110a, 110b im Hinblick auf die Konformität, die innere Verspannung und die Schichtdicke zu erfüllen sind. Im Gegensatz dazu ist in konventionellen Strategien eine hohe Verspannung und eine große Schichtdicke für die entsprechenden Kontaktätzstoppschichten erforderlich, da die Kanalverformung nur durch diese Schichten hervorgerufen wird. Somit sind diese Erfordernisse in konventionellen Strategien im Konflikt mit den Anforderungen für das Spaltenfüllvermögen bei geringen Bauteilabmessungen auf Grund der begrenzten konformen Abscheidekapazität des Abscheideprozesses.
  • In anderen anschaulichen Ausführungsformen wird die Auswirkung des stark verspannten Zwischenschichtdielektrikumsmaterials 111 über dem zweiten Transistor 150b verringert, indem ein selektiver Ionenbeschuss für einen Teil des dielektrischen Materials 111 auf der Grundlage einer schweren inerten ionischen Sorte, etwa Xenon, und dergleichen durchgeführt wird, wodurch die innere Verspannung über den zweiten Transistor 150b abgebaut wird. Eine derartige Behandlung kann vor oder nach der Herstellung entsprechender Kontaktöffnungen (in 1b nicht gezeigt) ausgeführt werden. In einem hierin offenbarten Beispiel wird die weitere Bearbeitung auf der Grundlage des Bauelements, wie es in 1b gezeigt ist, und wie es nachfolgend mit Bezug zu 1c beschrieben ist, ausgeführt, um damit entsprechende Kontaktöffnungen 112 zu erhalten. Diese Öffnungen 112 werden dann während eines entsprechenden Lithographieprozesses zur Herstellung einer entsprechenden Implantationsmaske wieder gefüllt, um den ersten Transistor 150a zu bedecken und den zweiten Transistor 150b für den Ionenbeschuss freizulegen, der schließlich zu einer entsprechenden Verspannungsrelaxation führt, ohne im Wesentlichen die zweite Ätzstoppschicht 110b zu beeinflussen.
  • Andere geeignete Verfahren zum Reduzieren der Auswirkung des stark verspannten Zwischenschichtdielektrikumsmaterials 111 auf dem zweiten Transistor 150b bei Beibehaltung eines hohen Maßes an Ähnlichkeit in der weiteren Bearbeitung werden nachfolgend mit Bezug zu den 1d bis 1f beschrieben.
  • Das stark verspannte Zwischenschichtdielektrikumsmaterial 111 kann auf der Grundlage plasmaunterstützter CVD-Verfahren hergestellt werden, wie dies zuvor beschrieben ist, wobei entsprechende Prozessparameter, etwa der Ionenbeschuss, d. h. eine Vorspannungsleistung während des Prozesses, die Durchflussraten von Vorstufenmaterialien, etwa Silan, TEOS und Trägergase, etwa Sauerstoff und dergleichen, der Druck, die Temperatur und dergleichen so eingestellt werden, um den gewünschten Betrag an innerer Verspannung in gewünschten Bereichen des dielektrischen Materials 111 zu erhalten. Geeignete Rezepte können auf Grundlage entsprechender Testverfahren ermittelt werden.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium. Hier ist die Oberflächentopographie des Zwischenschichtdielektrikummaterials eingeebnet, um eine geeignete Oberfläche für das Ausführen eines nachfolgenden Lithographieprozesses zur Herstellung einer geeigneten Lackmaske bereitzustellen, die zum Strukturieren von Kontaktöffnungen 112 erforderlich ist. Das Einebnen des Materials 111 kann auf Grundlage von CMP bewerkstelligt werden, wobei entsprechende gute etablierte Rezepte effizient an die mechanischen und chemischen Eigenschaften des Materials 111 angepasst werden, die unterschiedlich sein können im Vergleich zu konventionellen siliziumdioxidbasierten Dielektrika mit deutlich geringerem inneren Verspannungspegel. In ähnlicher Weise können die entsprechenden gut etablierten anisotropen Ätzrezepte zur Herstellung der Kontaktöffnungen 112 leicht an die Eigenschaften des Materials 111 angepasst werden, indem beispielsweise geeignete Durchflussraten und Zufuhrraten für reaktive Komponenten und dergleichen ausgewählt werden. In einigen anschaulichen Ausführungsformen ist das Zwischenschichtdielektrikumsmaterial 111 mit der hohen inneren Verspannung in seiner Zusammensetzung ähnlich zu dem konventionellen siliziumdioxidbasierten dielektrischen Material und somit kann eine entsprechende Anpassung effizient auf Grundlage konventioneller Rezepte erreicht werden. Während des entsprechenden anisotropen Prozesses können die erste und die zweite Schicht 110a, 110b als Ätzstopp dienen, wobei eine verbesserte Prozessgleichmäßigkeit, die während der Herstellung der Schichten 110a, 110b durch die weniger restriktiven Einschränkungen im Hinblick auf die inneren Verspannungspegel, wie dies zuvor erläutert ist, erreicht wurde, auch zu geeigneten Ätzstoppfähigkeiten während der Herstellung der Kontaktöffnungen 112 führt. Danach werden die Ätzstoppschichten 110a, 110b auf Grundlage entsprechender Ätzrezepte geöffnet, wobei z. B. gut etablierte Verfahren eingesetzt werden, wenn die Schichten 110a, 110b aus Siliziumnitrid, stickstoffangereichertem Siliziumkarbid und dergleichen aufgebaut sind. Anschließend wird die weitere Bearbeitung auf Grundlage konventioneller Verfahren fortgesetzt, d. h. die entsprechenden Öffnungen 112, die sich bis zu jeweiligen Kontaktbereichen der Transistoren 150a, 150b erstrecken, werden mit geeignetem leitenden Material gefüllt, etwa Wolfram, Kupfer, und dergleichen, und danach werden weitere Metallisierungsebenen über den Zwischenschichtdielektrikumsmaterial 111 gebildet.
  • Folglich kann der verformungsinduzierende Mechanismus zumindest für einen der Transistoren 150a, 150b deutlich verbessert werden, indem die Menge des verspannten dielektrischen Materials 111, das auf den jeweiligen Transistor einwirkt, deutlich erhöht wird, wobei ein hohes Maß an Kompatibilität mit konventionellen Strategien im Hinblick auf die Herstellung der Kontaktöffnungen 112 beibehalten wird. Z. B. können siliziumdioxidbasierte Materialien mit hoher innerer Verspannung in Verbindung mit geeignet gestalteten Ätzstoppschichten eingesetzt werden um in effizienter Weise den Verspannungspegel in zumindest einen Transistor, etwa dem Transistor 150a, einzustellen. In anderen anschaulichen Ausführungsformen wird der verbesserte verformungsinduzierende Mechanismus auf der Grundlage anderer Materialzusammensetzungen ausgeführt, um damit ein verbessertes stark verspanntes Material über einer oder mehreren Arten an Transistoren bereitzustellen, wobei dennoch ein effizientes Strukturierungsschema zur Bildung der entsprechenden Kontaktöffnungen 112 gewährleistet ist.
  • Zum Beispiel können die Ätzstoppschichten 110a, 110b aus anderen Materialien aufgebaut sein, etwa Siliziumdioxid mit einer geeigneten inneren Verspannung, während das Zwischenschichtdielektrikumsmaterial 111 in Form anderer geeigneter Materialien vorgesehen ist, etwa als Siliziumnitrid, stickstoffangereichertem Siliziumkarbid, und dergleichen. In diesem Falle kann das entsprechende Zwischenschichtdielektrikumsmaterial 111 mit hoher innerer Verspannung abgeschieden werden, da ein entsprechendes gut konformes Abscheideverhalten in der Zwischenschichtebene nicht erforderlich ist, da die sich ergebende Oberflächentopographie dann durch chemisch-mechanisches Polieren und dergleichen eingestellt werden kann. Der entsprechende Ätzprozess zur Herstellung der Kontaktöffnungen 112 kann dann auf Grundlage hochselektiver anisotroper Ätzverfahren ausgeführt werden, wobei die siliziumdioxidbasierten Ätzstoppschichten 110a, 110b für eine hohe Ätzselektivität und damit Stoppeigenschaften sorgen. Z. B. können ähnliche Ätzverfahren eingesetzt werden, wie sie häufig auch in modernen Abstandshalterverfahren benutzt werden, etwa Prozesse zur Herstellung der Abstandshalterstruktur 107, wenn diese Siliziumdioxidbeschichtungen und Siliziumnitridabstandshalter aufweisen.
  • 1d zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen eine siliziumdioxidbasierte dielektrische Pufferschicht 113 über dem zweiten Transistor 150b vorgesehen ist, um die Wirkung des stark verspannten dielektrischen Materials 111 (siehe 1b, 1c) in dem zweiten Transistor 150b zu verringern. Wie gezeigt ist die Pufferschicht 113, die eine ähnliche Materialzusammensetzung wie das dielektrische Material 111, das noch zu bilden ist, aufweisen kann, vorgesehen, wobei diese in einer anschaulichen Ausführungsform ein siliziumdioxidbasiertes Material repräsentiert. Die Pufferschicht 113 kann eine deutlich geringere innere Verspannung im Vergleich zu dem Material 111 oder kann sogar eine unterschiedliche Art an innerer Verspannung aufweisen. Z. B. kann die Pufferschicht 113 auf Grundlage eines plasmaunterstützten Abscheideverfahrens gebildet werden, wie es zuvor beschrieben ist, wobei die entsprechenden Prozessparameter so gewählt werden, dass eine deutlich geringere innere Verspannung, etwa 100 Megapascal oder weniger, erzeugt wird, wie dies in konventionellen Strategien der Fall ist. In einigen anschaulichen Ausführungsformen wird sogar eine moderat hohe Zugverspannung auf Grundlage plasmaunterstützter Abscheideverfahren erreicht. In anderen anschaulichen Ausführungsformen wird die Pufferschicht 113 auf Grundlage eines subatmosphärischen CVD-Proezsses auf der Basis von TEOS gebildet, wie dies zuvor erläutert ist, wobei eine moderat hohe Zugverspannung während des Abscheidens erreicht wird. Das Material der Pufferschicht 113 kann während des SACVD-Prozesses so abgeschieden werden, dass es eine Zugverspannung aufweist, wodurch die entsprechende Zugverspannung in der Ätzstoppschicht 110b verstärkt wird. Der SACVD- Prozess kann bei hohen Drücken und bei einer Temperatur von ungefähr 400 bis 600 Grad C ausgeführt werden, was noch mit einem thermischen Budget des Bauelements 100 in dieser Fertigungsphase verträglich sein kann. Des weiteren wird die Pufferschicht 113 als eine im Wesentlichen konforme Schicht beschrieben, unabhängig von der komplexen Oberflächentopographie, die in modernen Halbleiterbauelementen angetroffen werden kann, während in anderen Fällen die entsprechenden Prozessparameter, etwa der Druck und die Temperatur, so gewählt werden, dass ein im Wesentlichen fliessartiges Abscheideverhalten erreicht wird, wodurch zu einem gewissen Grade die Oberflächentopographie, die nach dem Bilden der Ätzstoppschichten 110a, 110b auftritt, eingeebnet werden kann. In diesem Falle kann ein nachfolgender Lithographieprozess zur Bildung einer Lackmaske 114 auf Grund der reduzierten Oberflächentopographie verbessert werden. Anschließend wird ein freiliegender Teil der Pufferschicht 113, der über dem ersten Transistor 150a gebildet ist, beispielsweise auf Grundlage gut etablierter Ätzrezepte entfernt, wobei die Ätzstoppschicht 110a als ein effizienter Ätzstopp dienen kann. Es sollte beachtet werden, dass ein gewisses Maß an Schädigung und damit Materialabtrag der Ätzstoppschicht 110a im Wesentlichen den gesamten verformungsinduzierenden Mechanismus nicht negativ beeinflusst, da der gewünschte Verformungspegel in geeigneter Weise durch das noch zu bildende stark verspannte Zwischenschichtdielektrikumsmaterial 111 eingestellt wird.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, wobei das Zwischenschichtdielektrikumsmaterial 111 auf der ersten Ätzstoppschicht 110a und dem verbleibenden Teil der Pufferschicht 113 gebildet ist. In Bezug auf die Eigenschaften des Zwischenschichtdielektrikumsmaterials 111 und von Prozessen zur Herstellung der selben gelten die gleichen Kriterien, wie sie zuvor erläutert sind. Es sollte beachtet werden, dass eine entsprechende ausgeprägtere Oberflächentopographie des Bauelements 100 aus 1e effizient auf Grundlage von CMP und dergleichen eingeebnet werden kann, wie dies zuvor erläutert ist. Folglich kann die weitere Bearbeitung des Bauelements fortgesetzt werden, wie dies mit Bezug zu 1c beschrieben ist, wobei das hohe Maß an Ähnlichkeit in der Materialzusammensetzung der Pufferschicht 113 und des Zwischenschichtdielektrikumsmaterials 111 für einen im Wesentlichen gleichmäßigen Ätzprozess sorgen, wenn die entsprechenden Kontaktöffnungen in dem Zwischenschichtdielektrikumsmaterial 111 und der Pufferschicht 113 gebildet werden.
  • 1f zeigt schematisch das Halbleiterbauelement 100 gemäß einer weiteren anschaulichen Ausführungsform, in der die dielektrische Pufferschicht 113 mit einer moderat hohen inneren Verspannung gebildet wird, wobei Teile der Pufferschicht 113 dann selektiv auf Grundlage der Lackmaske 114 und eines Ionenimplantationsprozesses 115 entspannt werden. In der gezeigten Ausführungsform wird die Pufferschicht 113 mit einer hohen Zugverspannung vorgesehen, beispielsweise unter Anwendung eines thermischen CVD-Prozesses, wie dies zuvor beschrieben ist, um damit das Leistungsverhalten des Transistors 150b zu verbessern, wenn dieser einen n-Kanaltransistor repräsentiert. In diesem Falle wird die Ionenimplantation 115 auf Grundlage einer Siliziumsorte ausgeführt, wodurch die Zugverspannung in dem freiliegenden Teil der Schicht 113 entspannt wird, wobei ferner zusätzliches Siliziummaterial bereitgestellt wird, das dann für eine weitere thermische Behandlung in Form eines Oxidationsprozesses verfügbar ist. Nach dem Ionenimplantationsprozess 115 zum Einbau zusätzlicher Siliziumsorten und zur Entspannung der Zugverspannung wird beispielsweise eine nachfolgende Wärmebehandlung auf der Grundlage einer oxidierenden Umgebung bei erhöhten Temperaturen im Bereich von 400 bis 600 Grad C ausgeführt, die zu einer erhöhten kompressiven Verspannung über dem Transistor 150a führt, wodurch eine kompressive Pufferschicht 113a gebildet wird. Andererseits kann die Zugverspannung in dem Bereich 113b weiter erhöht werden, indem Anteil an Feuchtigkeit oder Wasser entfernt werden, die ansonsten zu einer Reduzierung der Zugverspannung führen können wie dies zuvor erläutert ist. Danach wird das Zwischenschichdielektrikumsmaterial 111 auf den Bereichen 113a, 113b auf der Grundlage von Prozessen gebildet, wie sie zuvor erläutert sind, wodurch die gesamte Zugverspannung in dem ersten Transistor 150a erhöht wird, während der Bereich 113b die Auswirkung des Zwischenschichtdielektrikumaterials 111 effizient kompensiert oder überkompensiert.
  • In noch anderen anschaulichen Ausführungsformen repräsentiert der erste Transistor 150a in 1f einen n-Kanaltransistor während der zweite Transistor 150b einen p-Kanaltransistor repräsentiert. In diesem Falle wird die Pufferschicht 113 mit hoher innerer kompressiver Verspannung auf Grundlage plasmaunterstützer CVD-Techniken hergestellt, wie sie zuvor beschrieben sind, wobei die Implantation 115 zu einer entsprechenden Relaxation der hohen inneren Verspannung über dem ersten Transistor 150a führt. Folglich kann nach dem Abscheiden eines stark verspannten Zwischenschichtdielektrikummaterials 111 über der Pufferschicht 113 eine weitere Erhöhung der Verspannung in dem Transistor 150 erreicht werden, während eine deutlich geringere Auswirkung auf den ersten Transistor 150a auf Grund der Anwesenheit der im Wesentlichen neutralen" Pufferschicht 113a erreicht wird. Da die Pufferschicht 113 mit einer geeigneten Dicke, beispielsweise im Bereich von 10 nm, hergestellt werden kann, kann eine geeignete Auswirkung im Hinblick auf Verspannungsbedingungen des darunter liegenden Transistors erreicht werden, ohne dennoch in unterwünschter Weise die Gesamteigenschaften während des nachfolgenden anisotropen Ätzprozesses zu beeinflussen.
  • 1g zeigt schematisch das Halbleiterbauelement 100 gemäß einer weiteren anschaulichen Ausführungsform, in der ein vereinfachtes Prozessschema zum Bilden der Ätzstoppschichten 110a, 110b mit einem unterschiedlichen Betrag an innerer Verspannung eingesetzt wird. Wie gezeigt, besitzt das Bauelement 100 darauf ausgebildet die Schichten 110a, 110b, die als eine kontinuierliche Schicht mit einer hohen inneren Verspannung vorgesehen sind, wie dies für den zweiten Transistor 150b geeignet ist. Z. B. kann die Schicht 110b mit einer hohen Zugverspannung vorgesehen werden, wenn das Zwischenschichtdielektrikumsmaterial 111 mit einer hohen inneren Druckverspannung bereitgestellt ist. In diesem Falle ist eine anspruchsvolle und komplexe Verspannungsverfahrensabfolge für die Schichten 110a, 100b unter Umständen nicht erforderlich, da der Verspannungspegel in dem ersten Transistor 150a im Wesentlichen auf der Grundlage des Zwischenschichtdielektrikumsmaterials 111, das noch zu bilden ist, eingestellt wird. Somit kann das entsprechende Material der Schichten 110a und 110b in einem gemeinsamen Fertigungsprozess gebildet werden und kann insbesondere direkt auf den Transistoren 150a, 150b hergestellt werden, wodurch die Übertragungseffizienz für die Verspannung in dem zweiten Transistor 150b verbessert wird. Danach wird die Lackmaske 117 auf Grundlage gut etablierter Lithographieverfahren hergestellt, wodurch der erste Transistor 150a freigelegt wird. In einem nachfolgenden Implantationsprozess 116 wird die hohe innere Verspannung zum Beispiel auf Grundlage einer inerten Sorte, etwa Xenon, und dergleichen entspannt, wodurch die innere Verspannung im Wesentlichen "neutralisiert" wird, um die erste Ätzstoppschicht 110a zu bilden. Danach wird die weitere Bearbeitung fortgesetzt, indem das stark verspannte Zwischenschichtdielektrikumsmaterial 111 abgeschieden wird, wobei auch eine geeignete dielektrische Pufferschicht 113 vorgesehen werden kann, wie dies zuvor erläutert ist, um die Auswirkung des stark verspannten Materials 111 auf den zweiten Transistor 150b zu reduzieren.
  • Es gilt also: Die hierin offenbarten Ausführungsformen ermöglichen eine deutliche Steigerung des Transistorleistungsverhaltens, zumindest für einen Transistor, durch Erweitern der Verspannungstechnologie bis hin das Zwischendielektrikumsmaterial 111, wodurch die Möglichkeit geschaffen wird, Bauteilabmessungen weiter zu reduzieren, da entsprechende Beschränkungen, die durch die ausgeprägte Oberflächentopographie stark größenreduzierter Transistoren auferlegt werden, und die mit dem Abscheiden konventioneller stark verspannter Siliziumnitridkontaktätzstoppschichten mit größerer Schichtendicken verknüpft sind, vermieden werden können. In einigen anschaulichen Ausführungsformen wird das stark kompressive siliziumdioxidbasierten Material über den jeweiligen Kontaktätzstoppschichten abgeschieden, wodurch das Leistungsverhalten von p-Kanaltransistoren verbessert wird. Die Anwendung von einem Zwischenschichtdielektrikumsmaterial mit einer inneren kompressiven Verspannung von 400 MPa, das auf der Grundlage von TEOS hergestellt wird, kann den Durchlassstrom von p-Kanaltransistoren um ungefähr 2% in Bezug auf ein identisches Bauteil mit einem konventionellen Siliziumdioxidzwischenschichtdielektrikummaterial mit einem konventionellen Verspannungspegel von ungefähr 100 MPa steigern. Ferner ist in diesem anschaulichen Beispiel das jeweilige stark kompressiv verspannte Zwischenschichtdielektrikumsmaterial direkt auf den entsprechenden Kontaktätzstoppschichten gebildet, d. h. es ist keine zusätzliche Pufferschicht, wie sie zuvor beschrieben ist, vorgesehen, wodurch sich eine Leistungsbeeinträchtigung für den n-Kanaltransistor ergibt, die jedoch geringer als 1% ist. Für eine derartige Bauteilkonfiguration kann insgesamt eine Leistungssteigerung von 1% ohne zusätzliche Prozesskomplexität erreicht werden, wobei dies auf der Messung der Frequenz von Ringoszillatoren beruht, wobei auch ein hohes Maß an Kompatibilität mit konventionellen Strategien zur Bildung von Kontaktöffnungen beibehalten wird. In noch anderen anschaulichen Ausführungsformen führt das Konzept der Ausweitung der Verspannungstechnologie in die Ebene des Zwischenschichtdielektrikumsmaterials zu einem höheren Leistungsgewinn beider Arten an Transistoren, wenn entsprechende Puffermaterialien mit geeignet eingestellten inneren Verspannungspegeln in das Zwischenschichtdielektrikumsmaterial eingebaut werden.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (22)

  1. Verfahren mit: Bilden einer ersten Ätzstoppschicht über einem p-Kanaltransistor; und Bilden eines Zwischenschichtdielektrikumsmaterials über der ersten Ätzstoppschicht, wobei das Zwischenschichtdielektrikumsmaterial einen Schichtbereich mit einer kompressiven Verspannung von ungefähr 400 MPa (Megapascal) oder höher aufweist.
  2. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer Kontaktöffnung in dem Zwischenschichtdielektrikumsmaterial unter Anwendung der ersten Ätzstoppschicht als einen Ätzstopp.
  3. Verfahren nach Anspruch 1, wobei das Zwischenschichtdielektrikumsmaterial aus Siliziumdioxid aufgebaut ist.
  4. Verfahren nach Anspruch 1, wobei die erste Ätzstoppschicht eine kompressive Verspannung aufweist.
  5. Verfahren nach Anspruch 3, wobei das Zwischenschichtdielektrikumsmaterial durch eine plasmaunterstützte chemische Dampfabscheidung von TEOS oder Silan hergestellt wird.
  6. Verfahren nach Anspruch 1, wobei die erste Ätzstoppschicht Silizium und Stickstoff aufweist.
  7. Verfahren nach Anspruch 6, wobei die erste Ätzstoppschicht ferner Kohlenstoff aufweist.
  8. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Ätzstoppschicht über einem n-Kanaltransistor, wobei die zweite Ätzstoppschicht eine innere Zugverspannung aufweist und wobei das Zwischenschichtdielektrikumsmaterial über der ersten und der zweiten Ätzstoppschicht gebildet wird.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Bilden eines dielektrischen Puffermaterials über der zweiten Ätzstoppschicht vor dem Bilden des Zwischenschichtdielektrikumsmaterials über der ersten und der zweiten Ätzstoppschicht, wobei das dielektrische Puffermaterial eine Verspannungswirkung des Zwischenschichtdielektrikumsmaterials auf den n-Kanaltransistor reduziert.
  10. Verfahren nach Anspruch 9, wobei das dielektrische Puffermaterial so gebildet ist, dass es eine Zugverspannung aufweist.
  11. Verfahren nach Anspruch 10, wobei das dielektrische Puffermaterial auf Grundlage einer thermischen chemischen Dampfabscheidung unter Anwendung von TEOS gebildet wird.
  12. Verfahren mit: Bilden einer ersten Ätzstoppschicht über einem ersten Transistor; Bilden einer zweiten Ätzstoppschicht über einem zweiten Transistor, wobei die erste und die zweite Ätzstoppschicht einen unterschiedlichen Betrag und/oder eine unterschiedliche Art an innerer Verspannung aufweisen; und Bilden eines Zwischenschichtdielektrikumsmaterials über der ersten und der zweiten Ätzstoppschicht, wobei das Zwischenschichtdielektrikumsmaterial einen Bereich aufweist, der über dem ersten Transistor angeordnet ist und eine innere Verspannung aufweist, die ausgewählt ist, um einen Verspannungspegel in einem Kanalgebiet des ersten Transistors einzustellen.
  13. Verfahren nach Anspruch 12, wobei der Pegel der inneren Verspannung ungefähr 400 MPa oder höher ist.
  14. Verfahren nach Anspruch 13, wobei die zweite Ätzstoppschicht mit einer inneren Zugverspannung und das Zwischenschichtdielektrikumsmaterial zumindest über dem ersten Transistor mit einer kompressiven Verspannung gebildet werden.
  15. Verfahren nach Anspruch 14, wobei Bilden der ersten und der zweiten Ätzstoppschicht umfasst: Bilden eines dielektrischen Materials mit einer inneren Zugverspannung über dem ersten und dem zweiten Transistor und selektives Reduzieren der Zugverspannung über dem ersten Transistor.
  16. Verfahren nach Anspruch 12, wobei Bilden des Zwischenschichtdielektrikumsmaterials umfasst: selektives Bilden einer dielektrischen Pufferschicht über dem zweiten Transistor, und Bilden einer weiteren dielektrischen Schicht mit der inneren Verspannung über der dielektrischen Pufferschicht, wobei die dielektrische Pufferschicht sich in der Art und/oder dem Betrag an innerer Verspannung von der weiteren dielektrischen Schicht unterscheidet.
  17. Verfahren nach Anspruch 16, wobei selektives Bilden der dielektrischen Pufferschicht umfasst: Bilden der dielektrischen Pufferschicht über dem ersten und dem zweiten Transistor und Entfernen eines Teils der dielektrischen Pufferschicht über dem ersten Transistor.
  18. Verfahren nach Anspruch 17, wobei selektives Bilden der dielektrischen Pufferschicht umfasst: Bilden der dielektrischen Pufferschicht über dem ersten und dem zweiten Transistor mit einer Zugverspannung und Modifizieren eines Bereichs der dielektrischen Pufferschicht, der über dem ersten Transistor angeordnet ist, um die Zugverspannung zu reduzieren.
  19. Verfahren nach Anspruch 12, wobei das Zwischenschichtdielektrikumsmaterial auf Grundlage von TEOS oder Silan gebildet wird.
  20. Halbleiterbauelement mit: einem ersten Transistor; einer ersten Ätzstoppschicht, die über dem ersten Transistor gebildet ist; und einem ersten Zwischenschichtdielektrikumsmaterial, das auf der ersten Ätzstoppschicht gebildet ist, wobei das Zwischenschichtdielektrikumsmaterial eine innere Verspannung über dem ersten Transistor von ungefähr 400 MPa oder mehr aufweist.
  21. Halbleiterbauelement nach Anspruch 20, das ferner einen zweiten Transistor und eine zweite Ätzstoppschicht, die über dem zweiten Transistor gebildet ist, aufweist, wobei die zweite Ätzstoppschicht eine andere innere Verspannung im Vergleich zu dem ersten Zwischenschichtdielektrikumsmaterial aufweist, und wobei das erste Zwischenschichtdielektrikumsmaterial über der zweiten Ätzstoppschicht gebildet ist.
  22. Halbleiterbauelement nach Anspruch 21, das ferner eine dielektrische Pufferschicht, die über der zweiten Ätzstoppschicht gebildet ist, aufweist, wobei die dielektrische Pufferschicht sich von dem ersten Zwischenschichtdielektrikumsmaterial in der Art und/oder dem Betrag an innerer Verspannung unterscheidet.
DE102007009914A 2007-02-28 2007-02-28 Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben Active DE102007009914B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102007009914A DE102007009914B4 (de) 2007-02-28 2007-02-28 Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
US11/873,547 US20080203487A1 (en) 2007-02-28 2007-10-17 Field effect transistor having an interlayer dielectric material having increased intrinsic stress

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007009914A DE102007009914B4 (de) 2007-02-28 2007-02-28 Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben

Publications (2)

Publication Number Publication Date
DE102007009914A1 true DE102007009914A1 (de) 2008-09-11
DE102007009914B4 DE102007009914B4 (de) 2010-04-22

Family

ID=39677778

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007009914A Active DE102007009914B4 (de) 2007-02-28 2007-02-28 Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben

Country Status (2)

Country Link
US (1) US20080203487A1 (de)
DE (1) DE102007009914B4 (de)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007329295A (ja) * 2006-06-08 2007-12-20 Hitachi Ltd 半導体及びその製造方法
DE102007041210B4 (de) * 2007-08-31 2012-02-02 Advanced Micro Devices, Inc. Verfahren zur Verspannungsübertragung in einem Zwischenschichtdielektrikum durch Vorsehen einer verspannten dielektrischen Schicht über einem verspannungsneutralen dielektrischen Material in einem Halbleiterbauelement und entsprechendes Halbleiterbauelement
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102009039521B4 (de) * 2009-08-31 2018-02-15 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
DE112016002106T5 (de) 2015-12-18 2018-03-08 Fuji Electric Co., Ltd. Siliciumcarbid-halbleitersubstrat, verfahren zum herstellen eines siliciumcarbid-halbleitersubstrats, halbleitervorrichtung und verfahren zum herstellen einer halbleitervorrichtung
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10529861B2 (en) * 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
WO2006049834A1 (en) * 2004-10-29 2006-05-11 Advanced Micro Devices, Inc. A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4819052A (en) * 1986-12-22 1989-04-04 Texas Instruments Incorporated Merged bipolar/CMOS technology using electrically active trench
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US7190033B2 (en) * 2004-04-15 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of manufacture
US7119404B2 (en) * 2004-05-19 2006-10-10 Taiwan Semiconductor Manufacturing Co. Ltd. High performance strained channel MOSFETs by coupled stress effects
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US20060024879A1 (en) * 2004-07-31 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively strained MOSFETs to improve drive current
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7342284B2 (en) * 2006-02-16 2008-03-11 United Microelectronics Corp. Semiconductor MOS transistor device and method for making the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
WO2006049834A1 (en) * 2004-10-29 2006-05-11 Advanced Micro Devices, Inc. A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same

Also Published As

Publication number Publication date
US20080203487A1 (en) 2008-08-28
DE102007009914B4 (de) 2010-04-22

Similar Documents

Publication Publication Date Title
DE102007009914B4 (de) Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
DE102004052578B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
DE102005052055B3 (de) Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
DE102005052054B4 (de) Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
DE102006046381B4 (de) Verfahren zur Verringerung der "Lackvergiftung" während der Strukturierung verspannter stickstoffenthaltender Schichten in einem Halbleiterbauelement
DE102007025342B4 (de) Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht
DE102007041210B4 (de) Verfahren zur Verspannungsübertragung in einem Zwischenschichtdielektrikum durch Vorsehen einer verspannten dielektrischen Schicht über einem verspannungsneutralen dielektrischen Material in einem Halbleiterbauelement und entsprechendes Halbleiterbauelement
DE102006040765B4 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit einer verspannten Kontaktätzstoppschicht mit geringerer Konformität und Feldeffekttransistor
DE102007041207A1 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102007020258A1 (de) Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
DE102005051994A1 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE102005030583A1 (de) Technik zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften
DE102007030054B4 (de) Transistor mit reduziertem Gatewiderstand und verbesserter Verspannungsübertragungseffizienz und Verfahren zur Herstellung desselben
DE102008030852A1 (de) Kontaktgräben zur besseren Verspannungsübertragung in Transistoren mit geringem Abstand
DE102006040762B4 (de) N-Kanalfeldeffekttransistor mit einer Kontaktätzstoppschicht in Verbindung mit einer Zwischenschichtdielektrikumsteilschicht mit der gleichen Art an innerer Verspannung
DE102007052051B4 (de) Herstellung verspannungsinduzierender Schichten über einem Bauteilgebiet mit dichtliegenden Transistorelementen
DE102006046377A1 (de) Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
DE102005046978B4 (de) Technik zum Erzeugen einer unterschiedlichen mechanischen Verformung durch Bilden eines Kontaktätzstoppschichtstapels mit unterschiedlich modifizierter innerer Verspannung
DE102005063108A1 (de) Technik zur Herstellung eines Isolationsgrabens als eine Spannungsquelle für die Verformungsverfahrenstechnik
DE102008016512B4 (de) Erhöhen der Verspannungsübertragungseffizienz in einem Transistor durch Verringern der Abstandshalterbreite während der Drain- und Source-Implantationssequenz
DE102007063272B4 (de) Dielektrisches Zwischenschichtmaterial in einem Halbleiterbauelement mit verspannten Schichten mit einem Zwischenpuffermaterial
DE102006041006B4 (de) Verfahren zur Strukturierung von Kontaktätzstoppschichten unter Anwendung eines Planarisierungsprozesses
DE102010064291A1 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102008059649B4 (de) Geringere topographieabhängige Unregelmäßigkeiten während der Strukturierung zweier unterschiedlicher verspannungsinduzierender Schichten in der Kontaktebene eines Halbleiterbauelements
DE102005046974B3 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Formung in unterschiedlichen Substratgebieten durch bilden einer Schicht mit verschieden modifizierter innerer Spannung und mit dem Verfahren hergestelltes Bauteil

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition