CN1967812A - 具有准自对准源极/漏极FinFET的半导体器件及其形成方法 - Google Patents

具有准自对准源极/漏极FinFET的半导体器件及其形成方法 Download PDF

Info

Publication number
CN1967812A
CN1967812A CNA2006101445402A CN200610144540A CN1967812A CN 1967812 A CN1967812 A CN 1967812A CN A2006101445402 A CNA2006101445402 A CN A2006101445402A CN 200610144540 A CN200610144540 A CN 200610144540A CN 1967812 A CN1967812 A CN 1967812A
Authority
CN
China
Prior art keywords
hard mask
fin
oxide
compositions
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101445402A
Other languages
English (en)
Other versions
CN100485908C (zh
Inventor
E·J·诺瓦克
T·卢德维格
M·艾昂
欧阳齐庆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1967812A publication Critical patent/CN1967812A/zh
Application granted granted Critical
Publication of CN100485908C publication Critical patent/CN100485908C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

提供一种形成包括多个鳍片场效应晶体管器件的半导体结构的方法,在该方法中采用交叉掩模提供矩形图形,以与化学氧化物去除(COR)工艺一起限定相对薄的鳍片。本发明还包括通过使用选择性含硅材料合并相邻鳍片的步骤。本发明还涉及利用本发明的方法形成得到的半导体结构。

Description

具有准自对准源极/漏极FinFET的半导体器件及其形成方法
技术领域
本发明涉及半导体器件处理,更具体涉及形成包括至少多个鳍片场效应晶体管(FinFET)器件的半导体结构的方法,在该方法中使用单个掩模限定鳍片(Fin),该方法避免了Fin与源极/漏极区接合处的拐角的变圆(rounding)。贯穿本申请使用术语“Fin”,以表示至少包括这样的器件沟道的半导体衬底的半导电层的高位(elevated)部分,所述器件沟道的宽度小于其高度。本发明还涉及利用本发明方法制造的包括多个FinFET器件的半导体结构。
背景技术
由于按比例缩小为更小的尺寸导致器件性能的持续提高,在过去的30年左右内,半导体场效应晶体管(FET)的尺寸稳定地缩小。平面FET器件具有位于半导电沟道上方的导电栅电极,并通过栅极氧化物薄层与沟道电隔离。通过对导电栅极施加电压,控制通过沟道的电流。
对于给定的器件长度,通过器件宽度(w)限定用于FET的电流驱动量。电流驱动的量与器件宽度成比例,较宽器件比较窄器件承载更多电流。集成电流(IC)的不同部件需要FET驱动不同量的电流,即,具有不同的器件宽度,这在平面FET器件中通过仅仅改变器件栅极的宽度(通过光刻)很容易实现。
随着常规平面FET的尺寸达到基本极限,半导体工业正考虑有利于器件性能的持续提高的更加非常规的几何形状。这类器件中的一种就是鳍片FinFET。
FinFET是一种双栅FET,其中器件沟道在半导电“Fin”内,该半导电“Fin”具有宽度w和高度h,典型地w<h。栅极介质和栅极位于Fin周围,以使电荷沿在Fin的两侧向下并可选地沿顶面流动。
典型地FinFET器件包括Fin中的完全耗尽体区,这提供优于常规FET的多个优点。这些优点包括,例如,在亚阈值状态的近乎理想的关断,提供较低的关态电流和/或允许较低的阈值电压,没有由体效应引起的漏电流损耗,没有‘浮置’体效应(经常与一些绝缘体上硅(SOI)FET相关联),较高的电流密度,较低的工作电压,以及阈值电压和关态电流的降低的短沟道劣化。而且,FinFET比常规FET和SOI FET更容易按比例缩小为较小的物理尺寸和较低的工作电压。
在现有技术中由于Fin与宽源极/漏极区接合处的拐角变圆,很难通过单个掩模限定半导电Fin和源极/漏极区。结果,既没有用于使栅极对准有源半导电材料的空间,也没有用于延伸注入Fin侧壁的空间。
用于单独构图硅的源极和漏极区以接合Fin的掩模提供了用于解决变圆问题的方案,但是对Fin增加了用于增加的掩模的额外覆盖,如果各种掩模的对准(registration)不是近乎完美,在源极和漏极接合区与栅电极之间留下的用于延伸注入的空间将很小。
根据上述情况,需要提供一种方法,其可以通过单个掩模来限定Fin和源极/漏极区,该方法避免了上述变圆问题以及使用额外覆盖的需要。
发明内容
本发明提供一种使用简单矩形限定鳍片来克服上述问题的方法,该方法通过沉积选择性含硅材料栅极后蚀刻(post gate etch),避免了变圆并仍然接合鳍片。更具体地,本发明提供一种形成包括多个鳍片场效应晶体管器件的半导体结构的方法,在该方法中,采用交叉掩模提供线性图形,以与化学氧化物去除(COR)工艺一起限定相对薄的鳍片。本发明方法还包括通过使用选择性的含硅材料合并相邻的鳍片的步骤。
概括地说,本发明提供一种包括如下步骤的方法:
提供包括多个构图的材料叠层以及多个构图的光掩模的结构,所述多个构图的材料叠层包括半导体衬底的表面上的氧化物硬掩模的顶上的氮化物层,所述多个构图的光掩模交叉所述多个构图的材料叠层;
进行化学氧化物去除步骤,所述化学氧化物去除步骤横向蚀刻,至少暴露未被一个所述构图的光掩模保护的每个材料叠层的所述氧化物硬掩模的侧壁;
去除所述多个构图的光掩模,以暴露包括所述氮化物层下方的横向蚀刻的氧化物硬掩模的构图的材料叠层;
进行对所述横向蚀刻的氧化物硬掩模有选择性的各向异性蚀刻工艺,以去除所述氮化物层和未被所述横向蚀刻的氧化物硬掩模保护的所述半导体衬底的任何半导电材料的至少上部以形成鳍片;以及
形成交叉所述鳍片的多个栅极区。
可选择地,通过暴露先前被所述横向蚀刻的氧化物硬掩模保护的所述半导体衬底的所述半导电材料的上部,去除所述横向蚀刻的氧化物硬掩模,其中先前被所述横向蚀刻的氧化物硬掩模保护的所述半导体衬底的所述半导电材料的所述暴露上部的部分限定所述鳍片。
然后通过在每个鳍片之间形成含Si材料,合并由本发明方法制造的每个鳍片。该含Si材料防止具有其对应的源极/漏极区的每个鳍片的拐角变圆。源极/漏极区位于先前被所述多个构图的掩模保护处的每个鳍片的较宽端部内,所述多个构图的掩模交叉所述多个构图的叠层。每个鳍片的较宽端部基本上为正方形;即,在本发明中发生较宽端部的拐角的很少或没有变圆。
本发明还涉及使用上述处理步骤制造的半导体结构。概括地说,本发明的半导体结构包括:
位于半导体衬底的表面上的多个鳍片场效应晶体管器件,每个所述鳍片场效应晶体管器件包括相对于其中部具有较宽端部的高位半导电层,交叉所述中部的栅极区,以及在所述较宽端部内的源极/漏极区;以及
位于接合每个高位半导体层的所述高位半导电层之间的含Si材料。
附图说明
图1A是(通过自顶向下视图)图示表示以及图1B是(通过截面视图)图示表示,示出了在包括(从下向上)半导体衬底、氧化物硬掩模和氮化物层的结构上方的多个第一构图的光掩模。
图2是(通过自顶向下视图)图示表示,示出了在如下步骤之后的图1的结构:蚀刻氮化物层和氧化物层的暴露区,在半导体衬底的上表面上停止,去除多个第一构图的光掩模,并形成交叉层叠的氧化物/氮化物层的条布置的多个第二构图的光掩模。
图3是(通过自顶向下视图)图示表示,示出了在进行化学氧化物去除(COR)工艺后的图2的结构,该化学氧化物去除(COR)工艺蚀刻氧化物硬掩模的暴露侧壁直到希望的距离,氮化物层和第二构图的光致抗蚀剂被钻蚀(undercut)该距离。
图4是(通过自顶向下视图)图示表示,示出了在去除第二构图的光致抗蚀剂掩模后的图3的结构;尽管被氮化物层覆盖,但仍图示了钻蚀的氧化物层图形。
图5是(通过自顶向下视图)图示表示,示出了在进行了对氧化物层有选择性的各向异性蚀刻,在半导体衬底内例如在衬底内的绝缘体埋层上停止之后的图4的结构。
图6是(通过自顶向下视图)图示表示,示出了在形成包括栅极介质和栅电极的栅极区之后的图5的结构。
图7是(通过自顶向下视图)图示表示,示出了在隔离物(spacer)形成之后的图6的结构。
图8是(通过自顶向下视图)图示表示,示出了在衬底的暴露侧壁上选择性地形成含Si层之后的图7的结构。
具体实施方式
现在参考下面的讨论和本申请附图更详细地描述本发明,本发明提供一种制造至少包括多个FinFET的半导体结构的方法以及所得到的半导体结构,在所述多个FinFET中使用单个掩模限定Fin。注意本申请的附图为示例目的而提供,因此没有按比例绘制。
现在参考图1-8,其示例了使用绝缘体上硅(SOI)衬底的实施例。尽管在下面的讨论中图示和说明了SOI衬底,但本发明也可使用体半导体衬底。当使用体半导体衬底时,体半导体衬底包括Si、Ge合金、SiGe、GaAs、InAs、InP、SiCGe、SiC以及其它III/V或II/VI化合物半导体中的一种。优选地,当采用体半导体时,该衬底包括含Si半导电材料,其中高度优选Si。
如上所述,在此提供的处理说明利用SOI衬底。SOI衬底包括通过绝缘埋层彼此电隔离的底部半导体层和顶部半导体层(即,有源半导体层)。顶部和底部半导体层可包括上述体半导体材料中的一种,优选含Si半导体,高度优选硅。分离两个半导电层的掩埋绝缘材料可为结晶或非结晶氧化物或氮化物,高度优选结晶氧化物。注意,由于SOI衬底允许形成具有较高工作速度的器件,因此比体衬底优选SOI衬底。具体地,与其体半导体相应部分相比,使用SOI技术形成的器件提供更高的性能、无闭锁、更高的封装密度和低的电压施加。
利用本领域公知的常规处理技术形成用于本发明中的SOI衬底。例如,包括键合步骤的层转移工艺可用于提供SOI衬底。可选地,注入工艺如SIMOX(注氧隔离)可用于形成SOI衬底。
SOI衬底的各个层的厚度可根据用于形成其的技术而变化。然而,典型地,顶部半导体层具有约3到约100nm的厚度,绝缘埋层具有约10到约150nm的厚度,而SOI衬底的底部半导体层的厚度对本发明无关紧要。
现在参考图1B,其是(通过截面视图)图示表示,示出了位于结构100上方的多个第一构图的光掩模22,该结构100包括(从下到上)SOI半导体衬底10、氧化物硬掩模18以及氮化物层20。如上陈述,该SOI衬底10包括底部半导体层12、绝缘埋层14以及顶部半导体层16。图1A示出了结构100的自顶向下的视图。在本申请中提供的自顶向下的视图中,仅仅强调构图的区域。
通过首先用常规技术提供SOI衬底(或者体半导体衬底),形成结构100。接着,利用常规沉积工艺例如化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)、蒸发、化学溶液沉积和其它类似的沉积工艺,在该衬底的上表面,如半导体层16的上表面上,形成氧化物硬掩模18。可选地,利用常规氧化工艺形成氧化物硬掩模18。在本发明的此时形成的氧化物硬掩模18的厚度可根据形成其所使用的技术而变化。典型地,用于本发明的氧化物硬掩模18的厚度是从约1到约50nm,更典型的厚度是从约2到约30nm。
在形成氧化物硬掩模18之后,在氧化物硬掩模18的顶上形成氮化物层20如Si3N4。可利用常规沉积工艺如CVD、PECVD、ALD、PVD、蒸发、化学溶液沉积和其它类似的沉积工艺,形成氮化物层20。可选地,利用常规氮化工艺形成氮化物层20。在本发明的此时形成的氮化物层20的厚度可根据形成其所使用的技术而变化。典型地,本发明中采用的氮化物层20的厚度是从约1到约20nm,更典型的厚度是从约1.5到约4nm。
在形成氮化物层20之后,利用常规沉积工艺如CVD、PECVD、蒸发或旋涂,在氮化物层20的表面上沉积光致抗蚀剂材料的均厚层(blanketlayer)。将光致抗蚀剂材料的均厚层构图为如图1A和1B所示的多个第一构图的光掩模22。通过利用常规光刻工艺实现光致抗蚀剂材料的构图,该工艺包括将光致抗蚀剂材料曝光于辐照图形,并利用常规抗蚀剂显影剂显影曝光的光致抗蚀剂材料。
为更好地突出发明方法,从现在开始参考自顶向下的视图说明制造工艺。在多个第一构图的光掩模22在其适当位置的情况下,利用一步或多步蚀刻工艺从结构100去除暴露的氮化物层20和下伏的氧化物硬掩模18。一步或多步蚀刻工艺去除层20和18未被保护的部分,在SOI衬底的上表面上,即在顶部半导体层16的顶上停止。所述一步或多步蚀刻工艺可包括干法蚀刻或湿法蚀刻。优选地,使用干法蚀刻如反应离子蚀刻(RIE)。在本发明的此时可使用的干法蚀刻的其它实例包括离子束蚀刻,等离子体蚀刻或者激光烧蚀。
在进行所述一步或多步蚀刻工艺之后,利用常规的抗蚀剂剥离工艺去除多个第一构图的光掩模22。本发明此时的结构包括多个材料叠层24,其包含在SOI衬底10上氮化物层20和氧化物硬掩模18的剩余部分。
然后形成多个第二光掩模26,以交叉材料叠层24的条。也就是,形成多个第二光掩模26以便每个第二光掩模26设置为交叉材料叠层24。通过首先将第二均厚光致抗蚀剂材料施加到图1A和图1B所示的结构,然后对均厚光致抗蚀剂层进行光刻,形成多个第二光掩模26。在第二光掩模之间的区域,特别是SOI衬底10的下伏的顶部半导体层16,代表将形成每个FinFET器件的Fin的位置。
图2示出了包括多个第二光掩模26和材料叠层24的结构。注意,多个第二光掩模26保护材料叠层24的一些部分以及相邻的SOI衬底10例如顶部半导体层18。
然后对图2所示的所得到的结构进行化学氧化物去除(COR)工艺。COR工艺(沿横向)选择性蚀刻每个材料叠层24的氧化物硬掩模18的暴露的垂直表面,钻蚀上覆的氮化物层20和每个第二光掩模26。进行横向蚀刻,直到约5到约40nm的预定距离,该距离与用于钻蚀的基本相同。图3示出了在COR工艺后的结构。注意,在图3和图4中,示出了在下伏的氧化物硬掩模18中形成的图形,以强调本发明的COR处理步骤。尽管在本发明的这些附图中示出了构图的氧化物硬掩模18,但是,在本发明的这两个阶段,氮化物层20保持在构图的硬掩模18的顶上。
用于提供图3所示结构的COR工艺包括在约30mTorr或以下的压力下,优选在约1mTorr到约30mTorr的压力下,将图2的结构暴露到HF和氨的气态或蒸气混合物。典型地,在约为标称室温(20℃到约40℃)的温度下,更典型地在约25℃的温度下,进行COR工艺。典型地,用于COR工艺中的HF与氨的比率约为1∶10到约10∶1,更典型地比例约为2∶1。
在进行COR工艺之后,利用常规抗蚀剂剥离处理步骤,从该结构去除多个第二光掩模26。图4示出了在从该结构去除了多个第二光掩模26之后形成得到的结构。在该图中,尽管被氮化物层20覆盖,仍再次示例了钻蚀的氧化物层18。
将对剩余的氧化物硬掩模18有选择性的各向异性Si蚀刻用于去除剩余的氮化物层20以及SOI衬底10的暴露的顶部半导体层16,在绝缘埋层14上停止。当使用体衬底时,该蚀刻将衬底减薄到预定值。可在本发明此时使用的各向异性Si蚀刻的实例包括用碳氟化合物化学品如CF4的反应离子蚀刻。例如,图5示出了所得到的结构。在图5中,保留氧化物硬掩模18,并暴露绝缘埋层14。强调位于构图的氧化物硬掩模18之下的顶部半导体层16现在将具有与层18相同的图形。
在一个实施例中可去除剩余的氧化物硬掩模18,在这种情况下一旦完成该结构,则Fin的顶面成为FinFET沟道的一部分。具体地,可使用对半导电材料有选择性的蚀刻工艺,以可选择地去除剩余的氧化物硬掩模18。在附图中,剩余的氧化物硬掩模18显示为从该结构去除。尽管图示了该实施例,本发明也预期在下面的处理步骤期间剩余的氧化物硬掩模18保留在该结构中的实施例。
在本发明的一些实施例中,在本发明此时可能需要离子注入构图的半导体层16。当需要离子注入时,可使用常规离子注入工艺将掺杂剂离子(p或n型)注入构图的顶部半导电层16。
图6示出了形成包括栅极介质(在本发明的该图中未示出)和上覆的栅电极30的栅极区28的图5的结构。首先形成栅极介质,然后形成栅电极。具体地,通过首先在该结构上提供牺牲氧化物(未示出)然后剥离该牺牲氧化物以去除该结构中的不完整部分,形成栅极介质。然后通过热生长工艺例如氧化、氮化或氮氧化来形成栅极介质。可选地,通过沉积工艺例如化学气相沉积(CVD)、等离子体辅助CVD、金属有机化学气相沉积(MOCVD)、原子层沉积(ALD)、蒸发、反应溅射、化学溶液沉积和其它类似的沉积工艺,形成栅极介质。也可利用上述工艺的结合来形成栅极介质。
栅极介质由具有约4.0或更大,优选大于7.0的介电常数的绝缘材料构成。这里提到的介电常数为相对真空而言。注意,SiO2典型具有约4.0的介电常数。具体地,用于本发明的栅极介质包括但不限于:氧化物、氮化物、氮氧化物和/或包括金属硅酸盐、铝酸盐、钛酸盐和氮化物的硅酸盐。在一个实施例中,优选栅极介质由氧化物如SiO2、HfO2、ZrO2、Al2O3、TiO2、La2O3、SrTiO3、LaAlO3、Y2O3及其混合物构成。
栅极介质的物理厚度可变化,但典型地,栅极介质具有约1到约10nm的厚度,更典型地具有约1到约3nm的厚度。
在形成栅极介质后,利用已知的沉积工艺如物理气相沉积(PVD)、CVD或蒸发,在栅极介质上形成导电材料的均厚层,该导电材料的均厚层形成栅极区28的栅电极30。该导电材料可包括多晶硅、SiGe、硅化物、金属或氮化金属-硅如Ta-Si-N。用作导电材料的金属实例包括但不限于:Al、W、Cu、Ti或其它类似的导电金属。导电材料的均厚层可掺杂也可不掺杂。如果掺杂,可采用原位掺杂沉积工艺。可选地,可通过沉积、离子注入和退火形成掺杂的导电材料。
导电材料的掺杂将改变形成的栅极的功函数。掺杂离子的示例性实例包括As、P、B、Sb、Bi、In、Al、Ti、Ga或其混合物。在本发明此时沉积的导电材料的厚度即高度可根据采用的沉积工艺而变化。典型地,导电材料具有约20到约180nm的垂直厚度,更典型地具有约40到约150nm的厚度。
在一些实施例中,利用常规沉积工艺在导电材料的顶上形成可选择的硬掩模(未示出)。可选择的硬掩模可由介质如氧化物或氮化物构成。
在沉积至少栅极介质和导电材料之后,形成包括栅电极30的栅极区28。具体地,首先通过沉积和光刻在导电材料的顶上提供构图的掩模,然后将图形转移到导电材料和可选择的栅极介质来形成栅极区28。蚀刻步骤包括一步或多步蚀刻工艺,该蚀刻工艺包括干法蚀刻如RIE。注意,栅极交叉的构图的半导体层16的区域是Fin的沟道区。该Fin是包括通过图6-8所示的较薄的中间部分接合的较宽端部的高位半导体层16。观察到由于本发明的处理步骤构图的半导体层16具有哑铃或狗骨形状,其中外侧较宽端部基本上为正方形。
接下来,利用本领域技术人员公知的常规注入工艺在半导体衬底中形成源极/漏极延伸区(未示出)和/或晕圈(halo)区(未示出)。
接下来,例如如图7所示,在栅极区28周边周围形成包括氧化物、氮化物、氮氧化物或其结合的栅极隔离物32。通过常规沉积工艺例如CVD或PECVD形成栅极隔离物32,随后进行定向蚀刻工艺。注意,在该图和图8中图示了栅极介质,以示例其相对于Fin的沟道部分的位置。参考标号29表示围绕Fin的沟道位置的栅极介质。
接下来,如图8所示,从SOI衬底10的顶部半导体层16的暴露侧壁选择性地生长单晶含Si材料34如Si、SiGe、SiGeC。通过CVD、PECVD或UHVCV工艺形成单晶含Si材料34。然后利用本领域公知的常规离子注入技术将源极/漏极区(在图8中使用的术语‘S/D’表示源极/漏极区的位置)注入到接合每个Fin的半导体材料16的较宽部分中。
上述处理步骤提供了例如图8所述的包括至少多个FinFET器件102的半导体结构,其中使用单个掩模限定Fin 104,这可避免Fin 104与源极/漏极区接合处的拐角变圆。
虽然关于其优选实施例具体示出和说明了本发明,本领域技术人员将理解,只要不脱离本发明的精神和范围,可在形式和细节上进行上述和其它改变。因此,本发明旨在不限于在此说明和示例的具体形式和细节,而是落入所附的权利要求的范围内。

Claims (20)

1.一种形成半导体结构的方法,包括以下步骤:
提供包括多个构图的材料叠层以及多个构图的光掩模的结构,所述多个构图的材料叠层包括半导体衬底的表面上的氧化物硬掩模的顶上的氮化物层,所述多个构图的光掩模交叉所述多个构图的材料叠层;
进行化学氧化物去除步骤,所述化学氧化物去除步骤横向蚀刻,至少暴露未被一个所述构图的光掩模保护的每个材料叠层的所述氧化物硬掩模的侧壁;
去除所述多个构图的光掩模,以暴露包括所述氮化物层下方的横向蚀刻的氧化物硬掩模的构图的材料叠层;
进行对所述横向蚀刻的氧化物硬掩模有选择性的各向异性蚀刻工艺,以去除所述氮化物层和未被所述横向蚀刻的氧化物硬掩模保护的所述半导体衬底的任何半导电材料的至少上部,由此形成鳍片;以及
形成交叉所述鳍片的多个栅极区。
2.根据权利要求1的方法,还包括在每个所述鳍片之间形成含Si材料,以接合相邻的鳍片。
3.根据权利要求2的方法,其中所述含Si材料包括单晶Si、SiGe或SiGeC中的一种。
4.根据权利要求1的方法,其中所述半导体衬底为SOI或体半导体。
5.根据权利要求1的方法,其中所述化学氧化物去除步骤包括利用HF和氨的气态或蒸气混合物,其中HF与氨的比率约为1∶10到约10∶1。
6.根据权利要求1的方法,还包括去除暴露先前被所述横向蚀刻的氧化物硬掩模保护的所述半导体衬底的所述半导电材料的上部的所述横向蚀刻的氧化物硬掩模。
7.根据权利要求1的方法,其中每个鳍片具有相对于其中部的较宽端部,并且形成所述栅极区以便交叉所述中部,并且在所述较宽端部内形成源极/漏极区。
8.根据权利要求1的方法,还包括在每个鳍片的端部中形成源极/漏极区,每个鳍片的所述端部基本上为正方形。
9.一种形成半导体结构的方法,包括以下步骤:
提供多个构图的材料叠层以及多个构图的光掩模,所述多个构图的材料叠层包括SOI衬底的顶部半导电层的表面上的氧化物硬掩模的顶上的氮化物层,所述多个构图的光掩模交叉所述多个构图的材料叠层;
进行化学氧化物去除步骤,所述化学氧化物去除步骤横向蚀刻,至少暴露未被一个所述构图的光掩模保护的每个材料叠层的所述氧化物硬掩模的侧壁;
去除所述多个构图的光掩模,以暴露包括所述氮化物层下方的横向蚀刻的氧化物硬掩模的构图的材料叠层;
进行对所述横向蚀刻的氧化物硬掩模有选择性的各向异性蚀刻工艺,以去除所述氮化物层和未被所述横向蚀刻的氧化物硬掩模保护的所述SOI衬底的至少所述所述顶部半导电层,在所述SOI衬底的绝缘埋层上停止;
暴露先前被所述横向蚀刻的氧化物硬掩模保护的所述SOI衬底的所述顶部半导电层的上部以及所述绝缘埋层的暴露部分,其中先前被所述横向蚀刻的氧化物硬掩模保护的所述SOI衬底的所述暴露的顶部半导电层的部分限定鳍片;以及
形成交叉所述鳍片的多个栅极区。
10.根据权利要求9的方法,还包括在每个所述鳍片之间形成含Si材料,以接合相邻的鳍片。
11.根据权利要求9的方法,其中所述化学氧化物去除步骤包括利用HF和氨的气态或蒸气混合物,其中HF与氨的比率约为1∶10到约10∶1。
12.根据权利要求9的方法,还包括形成位于每个栅极区周围的栅极隔离物。
13.一种半导体结构,包括:
位于半导体衬底的表面上的多个鳍片场效应晶体管器件,每个所述鳍片场效应晶体管器件包括相对于其中部具有较宽端部的高位半导电层,交叉所述中部的栅极区,以及在所述较宽端部内的源极/漏极区;以及
位于接合每个高位半导体层的所述高位半导电层之间的含Si材料。
14.根据权利要求13的半导体结构,其中所述高位半导电层为SOI衬底的顶部半导电层,所述SOI衬底包括构图或未构图的绝缘埋层和未构图的底部半导电层。
15.根据权利要求14的半导体结构,其中所述高位半导体层为含Si半导体,并且所述绝缘埋层为氧化物。
16.根据权利要求13的半导体结构,其中每个栅极区包括栅极介质和栅电极。
17.根据权利要求13的半导体结构,还包括位于每个栅极区周围的栅极隔离物。
18.根据权利要求13的半导体结构,其中所述高位半导电层为体半导体衬底的上表面层。
19.根据权利要求13的半导体结构,其中所述含Si材料包括单晶Si、SiGe或SiGeC中的一种。
20.根据权利要求13的半导体结构,其中所述高位半导电层的所述端部基本上为正方形。
CNB2006101445402A 2005-11-15 2006-11-10 具有准自对准源极/漏极FinFET的半导体器件及其形成方法 Expired - Fee Related CN100485908C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/164,215 2005-11-15
US11/164,215 US7309626B2 (en) 2005-11-15 2005-11-15 Quasi self-aligned source/drain FinFET process

Publications (2)

Publication Number Publication Date
CN1967812A true CN1967812A (zh) 2007-05-23
CN100485908C CN100485908C (zh) 2009-05-06

Family

ID=38039867

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101445402A Expired - Fee Related CN100485908C (zh) 2005-11-15 2006-11-10 具有准自对准源极/漏极FinFET的半导体器件及其形成方法

Country Status (3)

Country Link
US (2) US7309626B2 (zh)
JP (1) JP5128110B2 (zh)
CN (1) CN100485908C (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102005469A (zh) * 2009-09-01 2011-04-06 瑞萨电子株式会社 半导体器件及其制造方法
CN103811552A (zh) * 2012-11-01 2014-05-21 国际商业机器公司 半导体装置及其形成方法
CN106252391A (zh) * 2015-06-09 2016-12-21 联华电子股份有限公司 半导体结构及其制作方法
CN106558490A (zh) * 2015-09-25 2017-04-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
CN109417094A (zh) * 2016-07-01 2019-03-01 英特尔公司 自-对准栅极边缘三栅极和finFET器件
CN111952181A (zh) * 2020-08-21 2020-11-17 中国科学院上海微系统与信息技术研究所 具有隔离层的鳍式场效应晶体管及其制备方法
CN112447711A (zh) * 2013-06-18 2021-03-05 联华电子股份有限公司 半导体整合装置

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
KR100714288B1 (ko) * 2005-12-29 2007-05-02 주식회사 하이닉스반도체 핀 트랜지스터 제조 방법
JP4496179B2 (ja) * 2006-03-13 2010-07-07 株式会社東芝 半導体記憶装置およびその製造方法
JP2008117838A (ja) * 2006-11-01 2008-05-22 Elpida Memory Inc 半導体装置及びその製造方法
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US20090050975A1 (en) * 2007-08-21 2009-02-26 Andres Bryant Active Silicon Interconnect in Merged Finfet Process
JP2009094227A (ja) * 2007-10-05 2009-04-30 Fujitsu Ltd nチャネルMOSトランジスタおよびその製造方法、半導体装置
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
JP2011071235A (ja) * 2009-09-24 2011-04-07 Toshiba Corp 半導体装置及びその製造方法
US8637135B2 (en) * 2009-11-18 2014-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform semiconductor device active area pattern formation
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US8513078B2 (en) 2011-12-22 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for fabricating fin devices
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US8723225B2 (en) 2012-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings on fin structures
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US8912609B2 (en) * 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
KR20150058597A (ko) * 2013-11-18 2015-05-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9123826B1 (en) 2014-03-24 2015-09-01 International Business Machines Corporation Single crystal source-drain merged by polycrystalline material
CN105336772B (zh) * 2014-05-26 2021-11-30 中芯国际集成电路制造(上海)有限公司 鳍式tfet及其制造方法
US9484306B1 (en) 2015-11-17 2016-11-01 International Business Machines Corporation MOSFET with asymmetric self-aligned contact
US9964605B2 (en) * 2016-06-23 2018-05-08 Globalfoundries Inc. Methods for crossed-fins FinFET device for sensing and measuring magnetic fields
KR102573407B1 (ko) 2016-08-24 2023-08-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9905675B1 (en) 2016-12-22 2018-02-27 Infineon Technologies Americas Corp. Gate and field electrode trench formation process
US11171057B2 (en) * 2016-12-30 2021-11-09 Intel Corporation Semiconductor fin design to mitigate fin collapse
KR102446403B1 (ko) 2018-06-22 2022-09-21 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 반도체 장치의 레이아웃 디자인 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6642115B1 (en) * 2000-05-15 2003-11-04 International Business Machines Corporation Double-gate FET with planarized surfaces and self-aligned silicides
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
DE10220923B4 (de) * 2002-05-10 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung eines nicht-flüchtigen Flash-Halbleiterspeichers
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
JP2005294789A (ja) * 2004-03-10 2005-10-20 Toshiba Corp 半導体装置及びその製造方法
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
KR100618852B1 (ko) * 2004-07-27 2006-09-01 삼성전자주식회사 높은 동작 전류를 갖는 반도체 소자
US6951784B1 (en) * 2004-08-05 2005-10-04 International Business Machines Corporation Three-mask method of constructing the final hard mask used for etching the silicon fins for FinFETs
US7244640B2 (en) * 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102005469A (zh) * 2009-09-01 2011-04-06 瑞萨电子株式会社 半导体器件及其制造方法
CN102005469B (zh) * 2009-09-01 2015-07-08 瑞萨电子株式会社 半导体器件及其制造方法
CN103811552A (zh) * 2012-11-01 2014-05-21 国际商业机器公司 半导体装置及其形成方法
CN103811552B (zh) * 2012-11-01 2017-05-10 格罗方德股份有限公司 半导体装置及其形成方法
CN112447711A (zh) * 2013-06-18 2021-03-05 联华电子股份有限公司 半导体整合装置
CN112447711B (zh) * 2013-06-18 2023-08-22 联华电子股份有限公司 半导体整合装置
CN106252391A (zh) * 2015-06-09 2016-12-21 联华电子股份有限公司 半导体结构及其制作方法
CN106252391B (zh) * 2015-06-09 2021-02-19 联华电子股份有限公司 半导体结构及其制作方法
CN106558490A (zh) * 2015-09-25 2017-04-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
CN109417094A (zh) * 2016-07-01 2019-03-01 英特尔公司 自-对准栅极边缘三栅极和finFET器件
US11581315B2 (en) 2016-07-01 2023-02-14 Intel Corporation Self-aligned gate edge trigate and finFET devices
CN111952181A (zh) * 2020-08-21 2020-11-17 中国科学院上海微系统与信息技术研究所 具有隔离层的鳍式场效应晶体管及其制备方法

Also Published As

Publication number Publication date
JP2007142392A (ja) 2007-06-07
US7309626B2 (en) 2007-12-18
US20070108536A1 (en) 2007-05-17
CN100485908C (zh) 2009-05-06
JP5128110B2 (ja) 2013-01-23
US20080042202A1 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
CN100485908C (zh) 具有准自对准源极/漏极FinFET的半导体器件及其形成方法
US11682697B2 (en) Fin recess last process for FinFET fabrication
CN101183664B (zh) 半导体结构及制造多个鳍片场效应晶体管的方法
CN101366122B (zh) U栅晶体管和制造方法
US7659157B2 (en) Dual metal gate finFETs with single or dual high-K gate dielectric
CN1292473C (zh) 混合平面和FinFET CMOS器件
US11830927B2 (en) Method of manufacturing semiconductor device
CN102906893B (zh) 用于沉积的fet沟道的自对准到栅极的外延源极/漏极接触
CN1577850A (zh) 有部分或全包围栅电极的非平面半导体器件及其制造方法
CN1674239A (zh) 场效应晶体管及其制造方法
KR20080058341A (ko) 낮은 밀러 용량 및 향상된 구동 전류를 위한 단일 게이트상의 다중 저유전율 및 고유전율 게이트 산화막
JP2010245522A (ja) 半導体構造体およびその製造方法(移動度が最適化された方位を有する半導体ナノワイヤ)
US11676869B2 (en) Semiconductor device and manufacturing method thereof
JP2010258124A (ja) 半導体装置及び半導体装置の製造方法
CN113555285A (zh) 半导体结构的形成方法
US20230387123A1 (en) Semiconductor structure and method of manufacturing the same
CN112951765B (zh) 半导体结构及其形成方法
KR102133208B1 (ko) 펀치스루 스토퍼가 배제된 전계효과 트랜지스터 및 이의 제조방법
CN113903808A (zh) 半导体结构及其形成方法
CN110752153A (zh) 半导体结构及其形成方法
CN112652578B (zh) 半导体结构的形成方法、晶体管
CN114664944A (zh) 半导体结构及其形成方法
CN117476463A (zh) 半导体结构及其形成方法
CN116978948A (zh) 半导体结构及其形成方法
CN117438427A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090506

Termination date: 20111110