JP5128110B2 - 方法、半導体構造(準自己整合ソース/ドレインフィンfetプロセス) - Google Patents

方法、半導体構造(準自己整合ソース/ドレインフィンfetプロセス) Download PDF

Info

Publication number
JP5128110B2
JP5128110B2 JP2006294972A JP2006294972A JP5128110B2 JP 5128110 B2 JP5128110 B2 JP 5128110B2 JP 2006294972 A JP2006294972 A JP 2006294972A JP 2006294972 A JP2006294972 A JP 2006294972A JP 5128110 B2 JP5128110 B2 JP 5128110B2
Authority
JP
Japan
Prior art keywords
patterned
hard mask
semiconductor
oxide
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006294972A
Other languages
English (en)
Other versions
JP2007142392A (ja
Inventor
メイ・ケイ・レオング
トーマス・ラドウィグ
エドワード・ジェイ・ノワク
キキン・シー・オーヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007142392A publication Critical patent/JP2007142392A/ja
Application granted granted Critical
Publication of JP5128110B2 publication Critical patent/JP5128110B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は半導体デバイス処理に関し、詳細には、少なくとも複数のフィンFETデバイス(FinFETdevice)を含む半導体構造を形成する方法であって、フィン(Fin)を画定する際に、フィンがソース/ドレイン領域と接合するところの角が丸くなることを防ぐ単一のマスクを使用する方法に関する。用語「フィン」は、本出願全体を通じて、幅が高さよりも小さいデバイス・チャネルを少なくとも含む半導体基板の半導体層の高くなった部分を指すために使用される。本発明はさらに、本発明の方法を使用して製造された複数のフィンFETデバイスを含む半導体構造に関する。
この30年ほどの間、半導体電界効果トランジスタ(FET)の寸法は絶え間なく縮小し続けている。より小さな寸法へのスケーリングはデバイス性能の持続的な向上につながるためである。プレーナFETデバイスは、半導体チャネルの上方に配置され、薄いゲート酸化物層によってチャネルから電気的に分離された導電性ゲート電極を有する。チャネルを流れる電流は、この導電性ゲートに電圧を印加することによって制御される。
デバイスの長さが一定のとき、FETの電流駆動量はデバイスの幅(w)によって定まる。電流駆動はデバイスの幅に比例して増大し、幅が広いデバイスは狭いデバイスよりも多くの電流を運ぶ。集積回路(IC)の異なる部分は、FETが異なる量の電流を駆動すること、すなわち異なるデバイス幅を有することを要求し、プレーナFETデバイスではこれを達成することが特に容易であり、単にデバイスのゲート幅を(リソグラフィを介して)変更すればよい。
従来のプレーナFETのスケーリングは根本的な限界に達したため、半導体業界は、持続的なデバイス性能の向上を促進する慣行にとらわれない幾何構造に関心を寄せている。そのようなデバイスの1つがフィンFETである。
フィンFETは、一般にw<hである幅wと高さhを有する半導体「フィン」の内部にデバイス・チャネルがあるダブル・ゲートFETである。ゲート誘電体およびゲートは、フィンの2つの側面および任意選択でフィンの上面に沿って電荷がチャネルの中を流れるように、フィンの周囲に配置される。
フィンFETデバイスは一般に、従来のFETに優るいくつかの利点を提供する完全空乏ボディ(fully depleted body)をフィンの中に含む。これらの利点には例えば、より低いオフ電流を与えまたはより低いしきい電圧を可能にし、あるいはその両方を提供するサブスレショルド(sub-threshold)領域におけるほぼ理想的なターンオフ、ボディ効果(bodyeffect)によるドレイン電流の損失が起こらないこと、「フローティング」ボディ効果(しばしばシリコン・オン・インシュレータ(SOI)FETに関連する)が生じないこと、より高い電流密度、より低い電圧での動作、ならびにショート・チャネル効果によるしきい電圧およびオフ電流の低下の低減が含まれる。さらに、フィンFETは、より小さな物理寸法およびより低い動作電圧にスケーリングすることが、従来のFETおよびSOI FETよりも容易である。
従来技術では、フィンが幅の広いソース/ドレイン領域と接合するところの角が丸くなるため、半導体フィンとソース/ドレイン領域の両方を単一のマスクによって画定することが極めて困難であった。角が丸くなる結果、活性半導体材料とゲートの位置合せのための余地も、フィンの側壁への延長注入のための余地もなくなる。
フィンを結合するシリコンのソースおよびドレイン領域を別々にパターン形成するマスクは、角が丸くなる問題に対する解決策となるが、これによって、追加されたマスクのフィンへの余分なオーバレイが加わり、これらの様々なマスクの位置合せがほぼ完璧でない限り、ソースおよびドレイン結合領域とゲート電極の間に延長注入のための余地をほとんど残さない。
以上のことから、前述の角が丸くなる問題が生じるのを防ぎ、追加のオーバレイを使用する必要性を回避する単一のマスクによって、フィンとソース/ドレイン領域の両方を画定することができる方法を提供することが求められている。
本発明は、角が丸くなることを防ぐフィンを画定する単純な長方形の(rectangular)形状を使用して上述の問題を解決し、さらに、ゲート・エッチング後にシリコンを含む選択的な材料を付着させることによってフィンを接合する方法を提供する。具体的には本発明は、複数のフィンFETデバイスを含む半導体構造を形成する方法であって、線状のパターンを形成して相対的に細いフィンを画定する際に、これを横切るマスクを、化学的酸化物除去(chemicaloxide removal:COR)プロセスとともに使用する方法を提供する。この方法はさらに、シリコンを含む選択的な材料の使用によって隣接するフィンどうしを合併させるステップを含む。
本発明は一般に、
半導体基板の表面の酸化物ハードマスクの上に窒化物層を含むパターン形成された複数の材料スタックと、前記パターン形成された複数の材料スタックの上を横切るパターン形成された複数のフォトマスクとを含む構造を用意するステップと、
少なくとも、前記パターン形成されたフォトマスクによって保護されていないそれぞれの材料スタックの前記酸化物ハードマスクの露出した側壁を横方向にエッチングする化学的酸化物除去ステップを実行するステップと、
パターン形成された複数のフォトマスクを除去して、横方向にエッチングされた酸化物ハードマスクを前記窒化物層の下に含むパターン形成された材料スタックを露出させるステップと、
横方向にエッチングされた酸化物ハードマスクに対して選択的である異方性エッチング・プロセスを実行して、前記窒化物層と、前記横方向にエッチングされた酸化物ハードマスクによって保護されていない前記半導体基板の半導体材料の少なくとも上部とを除去し、それによってフィンを形成するステップと、
前記フィンの上を横切る複数のゲート領域を形成するステップと
を含む方法を提供する。
任意選択で、横方向にエッチングされた酸化物ハードマスクを除去し、それによって、前記横方向にエッチングされた酸化物ハードマスクによって以前に保護されていた半導体基板の半導体材料の上部を露出させる。前記横方向にエッチングされた酸化物ハードマスクによって以前に保護されていた半導体基板の半導体材料の露出した前記上部の部分がフィンを画定する。
次いで、本発明の方法によって作成されたそれぞれのフィンを、それぞれのフィン間にSiを含む材料を形成することによって合体させる。このSiを含む材料は、それぞれのフィンと対応するソース/ドレイン領域との角が丸くなることを防ぐ。ソース/ドレイン領域は、前記パターン形成された複数のスタックの上を横切る前記パターン形成された複数のマスクによって以前に保護されていたそれぞれのフィンの相対的に幅が広い端部の内部に位置する。それぞれのフィンの幅の広い端部は実質的に正方形である。すなわち、本発明では、実質的に幅の広い端部の角が丸くなることはほとんどまたはまったくない。
本発明はさらに、上記の処理ステップを使用して製造された半導体構造に関する。本発明の半導体構造は一般に、
半導体基板の表面に位置する複数のフィンFETデバイスであって、それぞれが、自体の中間部分に比べて相対的に幅が広い端部を有する高くなった半導体層と、前記中間部分を横切るゲート領域と、前記相対的に幅が広い端部の内部に形成されたソース/ドレイン領域とを含むフィンFETデバイスと、
前記高くなった半導体層間に位置し、それぞれの高くなった半導体層を接合するSiを含む材料と
を含む。
次に、以下の議論および本出願に添付の図面を参照して本発明を詳細に説明する。本発明は、少なくとも複数のフィンFETデバイスを含む半導体構造を製造する方法であって、フィンを画定する際に単一のマスクが使用される方法と、この方法の結果として製造される半導体構造とを提供する。本出願の図面は例示目的で提供されるものであり、そのためそれらの図面は一様な尺度で描かれているわけではないことに留意されたい。
次に、シリコン・オン・インシュレータ(SOI)基板を使用する一実施形態を示す図1〜9を参照する。以下の議論ではSOI基板を示し説明するが、本発明は、バルク半導体基板を利用することも企図する。バルク半導体基板を使用するとき、そのバルク半導体基板は、Si、Ge合金、SiGe、GaAs、InAs、InP、SiCGe、SiC、ならびに他のIII/V族およびII/VI族化合物半導体のうちの1つを含む。バルク半導体を使用するときには、基板が、Siを含む半導体材料を含むことが好ましく、Siを含むことが非常に好ましい。
先に示したとおり、本明細書に示す処理の説明ではSOI基板を利用する。SOI基板は、埋込み絶縁層によって互いから電気的に分離された下部半導体層と上部半導体層(すなわち活性半導体層)とを含む。上部および下部半導体層は、上記のバルク半導体材料のうちの1つを含むことができ、Siを含む半導体を含むことが好ましく、Siを含むことが非常に好ましい。これらの2つの半導体層を分離する埋込み絶縁材料は、結晶性または非結晶性の酸化物または窒化物でよく、結晶性酸化物であることが非常に好ましい。SOI基板はより高い動作速度を有するデバイスの形成を可能にするため、バルク基板よりも好ましいことに留意されたい。具体的には、SOI技術を使用して形成されたデバイスは、バルク半導体を使用して形成された対応するデバイスに比べて、性能が高く、ラッチアップ現象が起こらず、実装密度が高く、印加電圧が低い。
本発明で使用するSOI基板は、当技術分野でよく知られている従来の処理技法を利用して形成することができる。例えば、貼り合せステップを含むレイヤ・トランスファ(layer transfer)プロセスを使用してSOI基板を形成することができる。あるいは、SIMOX(Separation byIMplantation of OXygen)などの注入プロセスを使用してSOI基板を形成することもできる。
SOI基板の様々な層の厚さは、SOI基板を形成する際に使用する技法によって異なる。しかし一般に、上部半導体層の厚さは約3から約100nm、埋込み絶縁層の厚さは約10から約150nmであり、本発明においてSOI基板の下部半導体層の厚さは重要ではない。
次に図2を参照する。図2は、(下から上へ)SOI半導体基板10、酸化物ハードマスク18および窒化物層20を含む構造100の上に配置されたパターン形成された複数の第1のフォトマスク22を示す図(断面図)である。前述のとおり、SOI基板10は、下部半導体層12、埋込み絶縁層14および上部半導体層16を含む。構造100の上面図を図1に示す。本出願に添付された上面図ではパターン形成された領域だけが強調されている。
構造100は、最初にSOI基板(またはバルク半導体基板)を従来の技法によって形成することによって形成する。次に、例えば化学蒸着(CVD)、プラズマ化学蒸着(PECVD)、原子層付着(ALD)、物理蒸着(PVD)、蒸着、化学溶液付着、他の同様の付着プロセスなどの従来の付着プロセスを利用して、基板の上面、例えば上部半導体層16の上面に、酸化物ハードマスク18を形成する。酸化物ハードマスク18はあるいは、従来の酸化プロセスを利用して形成する。本発明のこの時点で形成される酸化物ハードマスク18の厚さは、酸化物ハードマスク18を形成する際に使用した技法によって異なる。本発明で使用する酸化物ハードマスク18の厚さは一般に約1から約50nmであり、よりいっそう一般的には約2から約30nmである。
酸化物ハードマスク18の形成に続いて、酸化物ハードマスク18の上に、Siなどの窒化物層20を形成する。窒化物層20は、CVD、PECVD、ALD、PVD、蒸着、化学溶液付着、他の同様の付着プロセスなどの従来の付着プロセスを利用して形成することができる。窒化物層20はあるいは、従来の窒化プロセスを利用して形成する。本発明のこの時点で形成される窒化物層20の厚さは、窒化物層20を形成する際に使用した技法によって異なる。本発明で使用する窒化物層20の厚さは一般に約1から約20nmであり、よりいっそう一般的には約1.5から約4nmである。
窒化物層20を形成した後、窒化物層20の表面に、例えばCVD、PECVD、蒸着、スピンオン・コーティングなどの従来の付着プロセスを利用して、フォトレジスト材料のブランケット層を付着させる。このフォトレジスト材料のブランケット層をパターン形成して、図1および2に示すようなパターン形成された複数の第1のフォトマスク22を形成する。フォトレジスト材料のパターン形成は、フォトレジスト材料を放射パターンによって露光するステップと、露光されたフォトレジスト材料を従来のレジスト現像液を利用して現像するステップとを含む従来のリソグラフィ・プロセスを利用して達成する。
次に、本発明の方法をより際立たせるため、ここから、この製造プロセスをいくつかの上面図を参照して説明する。パターン形成された複数の第1のフォトマスク22を用い、露出した窒化物層20およびその下の酸化物ハードマスク18を、1種または数種のエッチング・プロセスを利用して構造100から除去する。この1種または数種のエッチング・プロセスは層20および18の保護されていない部分を、SOI基板10の上面、すなわち上部半導体層16の上面まで除去する。この1種または数種のエッチング・プロセスはドライ・エッチングまたはウェット・エッチングを含むことができる。反応性イオン・エッチング(RIE)などのドライ・エッチングを使用することが好ましい。本発明のこの時点において使用することができるドライ・エッチングの他の例にはイオン・ビーム・エッチング、プラズマ・エッチング、レーザ・アブレーションが含まれる。
この1種または数種のエッチング・プロセスを実行した後、従来のレジスト剥離プロセスを利用して、パターン形成された複数の第1のフォトマスク22を除去する。この時点の本発明の構造は、窒化物層20および酸化物ハードマスク18のうちSOI基板10上に残った部分を含む複数の材料スタック24を含む。
次いで、材料スタック24の縞を横切るように、複数の第2のフォトマスク26を形成する。すなわち、それぞれの第2のフォトマスク26が材料スタック24を横切って横たわるように、複数の第2のフォトマスク26を形成する。複数の第2のフォトマスク26は、最初に、図1および2に示した構造に第2のブランケット・フォトレジスト材料を塗布し、次いでこのブランケット・フォトレジスト層をリソグラフィにかけることによって形成する。第2のフォトマスク間の領域、具体的にはその下のSOI基板10の上部半導体層16は、それぞれのフィンFETデバイスのフィンが形成される位置を表す。
複数の第2のフォトマスク26および材料スタック24を含む構造を図3に示す。複数の第2のフォトマスク26は、材料スタック24のいくつかの部分、および隣接するSOI基板10、例えば上部半導体層16を保護していることに留意されたい。
次いで、図3に示した得られる構造を、化学的酸化物除去(chemical oxideremoval:COR)プロセスにかける。このCORプロセスは、それぞれの材料スタック24の酸化物ハードマスク18の露出した垂直面を(横方向に)選択的にエッチングして、その上の窒化物層20とそれぞれの第2のフォトマスク26の両方をアンダーカットする(undercut)。この横方向のエッチングは、約5から約40nmの所定の距離まで実行し、この距離はアンダーカットの距離と実質的に同じである。図4にこのCORプロセス後の構造を示す。本発明のCOR処理ステップを強調するため、図4および図5では、その下の酸化物ハードマスク18の中に形成されたパターンが示されていることに留意されたい。本発明のこれらの図面にはパターン形成された酸化物ハードマスク18が示されているが、本発明のこれらの2つの段階では、パターン形成されたハードマスク18の上に窒化物層20が残っている。
図4に示した構造を得る際に使用するCORプロセスは、約30mTorr以下、好ましくは約1mTorrから約30mTorrの圧力のHFとアンモニアの気体または蒸気混合物に、図3の構造を暴露することを含む。このCORプロセスは一般に、およそ名目室温である温度(20℃から約40℃)で実行され、よりいっそう一般的には約25℃で実行される。このCORプロセスで使用するHFとアンモニアの比は一般に約1:10から約10:1であり、よりいっそう一般的には約2:1である。
このCORプロセスを実行した後、従来のレジスト剥離処理ステップを利用して、複数の第2のフォトマスク26を構造から除去する。図5に、複数の第2のフォトマスク26を構造から除去した後に形成される結果として生じる構造を示す。この図にはアンダーカットされた酸化物層18が再び示されているが、この層は窒化物層20によって覆われている。
残った酸化物ハードマスク18に対して選択的である異方性Siエッチングを使用して、残った窒化物層20とSOI基板10の露出した上部半導体層16とを、埋込み絶縁層14の表面まで除去する。バルク基板を使用するときには、このエッチングが基板を所定の値まで薄くする。本発明のこの時点で使用することができる異方性Siエッチングの例には、CFなどのフルオロカーボン・ケミストリを用いた反応性イオン・エッチングが含まれる。この結果得られる構造を例えば図6に示す。図6では、酸化物ハードマスク18が残り、埋込み絶縁層14が露出している。このとき、パターン形成された酸化物ハードマスク18の下にある上部半導体層16は、層18と同じパターンを有することが強調される。
一実施形態では残った酸化物ハードマスク18を除去することができ、その場合、構造の完成後、フィンの上面はフィンFETチャネルの一部になる。具体的には、半導体材料に対して選択的であるエッチング・プロセスを使用して、任意選択で残った酸化物ハードマスク18を除去することができる。図面では、この残った酸化物ハードマスク18が構造から除去される。それが図示されたものであるが、本発明は、以下の処理ステップの間、この残った酸化物ハードマスク18が構造内にとどまる実施形態も構想の範囲に入れている。
本発明のいくつかの実施形態では、本発明のこの時点で、パターン形成された半導体層16にイオンを注入する必要がある場合があることがある。イオン注入が必要なときには、従来のイオン注入プロセスを使用して、パターン形成された上部半電導性層16にドーパント・イオン(pまたはn型)を注入することができる。
図7に、ゲート誘電体(本発明のこの図には示されていない)およびその上のゲート電極30を含むゲート領域28を形成した図6の構造を示す。最初にゲート誘電体を形成し、続いてゲート電極を形成する。具体的にはゲート誘電体は、構造の欠陥を除去するため、最初に構造上に犠牲酸化物(図示せず)を形成し、次いでこの犠牲酸化物を剥離することによって形成する。次いで、例えば酸化、窒化または酸窒化などの熱成長プロセスによってゲート誘電体を形成する。ゲート誘電体はあるいは、例えば化学蒸着(CVD)、プラズマCVD、有機金属化学蒸着(MOCVD)、原子層付着(ALD)、蒸着、反応性スパッタリング、化学溶液付着、他の同様の付着プロセスなどの付着プロセスによって形成することもできる。ゲート誘電体は、上記のプロセスの任意の組合せを利用して形成してもよい。
ゲート誘電体は、約4.0以上、好ましくは7.0超の誘電率を有する絶縁材料からなる。本明細書において言及する誘電率は真空に対する比誘電率である。なお、SiOの誘電率は一般に約4.0である。具体的には本発明で使用するゲート誘電体には、金属のケイ酸塩、アルミン酸塩、チタン酸塩および窒化物を含む、酸化物、窒化物、酸窒化物またはケイ酸塩、あるいはこれらの組合せが含まれる。ただしこれらに限定されるわけではない。一実施形態ではゲート誘電体が、例えばSiO、HfO、ZrO、Al、TiO、La、SrTiO、LaAlO、Y、これらの混合物などの酸化物からなることが好ましい。
ゲート誘電体の物理的な厚さは様々な値をとることができるが、一般に約1から約10nmであり、より一般的には約1から約3nmである。
ゲート誘電体を形成した後、物理蒸着(PVD)、CVD、蒸着などの知られている付着プロセスを利用して、ゲート誘電体上に、ゲート領域28のゲート電極30を形成する導電材料のブランケット層を形成する。この導電材料は例えば、ポリシリコン、SiGe、シリサイド、金属、またはTa−Si−Nなどの金属−シリコン−窒化物を含む。導電材料として使用することができる金属の例には、Al、W、Cu、Tiおよび他の同様の導電性金属が含まれる。ただしこれらに限定されるわけではない。この導電材料のブランケット層はドープされた層またはドープされていない層とすることができる。ドープされた層の場合、in−situ(その場)ドーピング付着プロセスを使用することができる。あるいは、付着、イオン注入およびアニールによってドープされた導電材料を形成することもできる。
この導電材料のドーピングは、形成されたゲートの仕事関数を変化させる。ドーピング・イオンの例示的な例には、As、P、B、Sb、Bi、In、Al、Tl、Gaおよびこれらの混合物が含まれる。本発明のこの時点で付着させる導電材料の厚さ、すなわち導電材料の高さは、使用する付着プロセスによって異なる。導電材料の垂直方向の厚さは一般に約20から約180nmであり、より一般的には約40から約150nmである。
いくつかの実施形態では、従来の付着プロセスを利用して、導電材料の上に、任意選択のハードマスク(図示せず)を形成することができる。この任意選択のハードマスクは、酸化物、窒化物などの誘電体からなることができる。
少なくともゲート誘電体および導電材料を付着させた後、ゲート電極30を含むゲート領域28を形成する。具体的には、最初に付着およびリソグラフィによって導電材料の上にパターン形成されたマスクを形成し、次いで導電材料および任意選択でゲート誘電体にこのパターンを転写することによってゲート領域28を形成する。エッチング・ステップは、RIEなどのドライ・エッチングを含む1つまたは複数のエッチング・プロセスを含む。ゲートがその上を横切るパターン形成された半導体16の領域はフィンのチャネル領域であることに留意されたい。フィンは、図7〜9に示すように、相対的に細い中間部分によって接続された相対的に幅の広い端部を含む、高くなった半導体層16である。パターン形成された半導体層16は、本発明の処理ステップによって外側の相対的に幅の広い端部が実質的に正方形(square)になったダンベル形または犬用の骨形の形状を有することが分かる。
次に、当業者によく知られている従来の注入プロセスを利用して、半導体基板の中に、ソース/ドレイン延長領域(図示せず)またはハロー領域(図示せず)あるいはその両方を形成する。
次に、例えば図8に示すように、ゲート領域28の周囲に、酸化物、窒化物、酸窒化物またはこれらの組合せを含むゲート・スペーサ32を形成する。ゲート・スペーサ32は、例えばCVD、PECVDなどの従来の付着プロセス、およびそれに続く方向性エッチング・プロセスによって形成する。フィンのチャネル部分に対するゲート誘電体の位置を示すためにこの図および図9にはゲート誘電体が示されており、参照符号29は、フィンのチャネル位置を取り囲むゲート誘電体を示すことに留意されたい。
次に、図9に示すように、SOI基板10の上部半導体層16の露出した側壁から、Si、SiGe、SiGeCなどのSiを含む単結晶材料34を選択的に成長させる。Siを含む単結晶材料34は、CVD、PECVDまたはUHVCVプロセスによって形成する。次いで、当技術分野でよく知られている従来のイオン注入技法を利用して、それぞれのフィンに隣接する半導体材料16の相対的に幅の広い部分に、ソース/ドレイン領域(ソース/ドレイン領域の位置を表すのに図9では用語「S/D」が使用されている)の注入を実施する。
上記の処理ステップは、少なくとも複数のフィンFETデバイス102を含む図9に示したものなどの半導体構造であって、フィン104を画定する際に、フィン104がソース/ドレイン領域と接合するところの角が丸くなることを防ぐ単一のマスクが使用された半導体構造を提供する。
本発明をその好ましい実施形態に関して具体的に示し、説明したが、本発明の趣旨および範囲から逸脱することなく、形態および詳細の上記の変更およびその他の変更を実施することができることを当業者は理解されよう。本発明は、説明し図示した形態および詳細に限定されるものではなく、添付の請求項の範囲に属するものである。
(下から上へ)半導体基板、酸化物ハードマスクおよび窒化物層を含む構造の上に配置されたパターン形成された複数の第1のフォトマスクを示す図(上面図)である。 (下から上へ)半導体基板、酸化物ハードマスクおよび窒化物層を含む構造の上に配置されたパターン形成された複数の第1のフォトマスクを示す図(断面図)である。 窒化物層および酸化物層の露出した領域を半導体基板の上面までエッチングし、パターン形成された複数の第1のフォトマスクを除去し、酸化物層/窒化物層スタックの縞を横切って横たわるパターン形成された複数の第2のフォトマスクを形成した後の図1および2の構造を示す図(上面図)である。 酸化物ハードマスクの露出した側壁を所望の距離だけエッチングし、窒化物層とパターン形成された第2のフォトレジスト・マスクの両方をこの距離だけアンダーカットする化学的酸化物除去(COR)プロセスを実行した後の図3の構造を示す図(上面図)である。 パターン形成された第2のフォトレジスト・マスクを除去した後の図4の構造を示す図(上面図)である。アンダーカットされた酸化物層のパターンが示されているが、この層は窒化物層によって覆われている。 半導体基板の内部、例えば基板内の埋込み絶縁層の表面で止まる、酸化物層に対して選択的である異方性エッチングを実行した後の図5の構造を示す図(上面図)である。 ゲート誘電体およびゲート電極を含むゲート領域を形成した後の図6の構造を示す図(上面図)である。 スペーサを形成した後の図7の構造を示す図(上面図)である。 Siを含む層を基板の露出した側壁に選択的に形成した後の図8の構造を示す図(上面図)である。
符号の説明
10 SOI半導体基板
12 下部半導体層
14 埋込み絶縁層
16 上部半導体層
18 酸化物ハードマスク
20 窒化物層
22 第1のフォトマスク
24 材料スタック
26 第2のフォトマスク
28 ゲート領域
29 ゲート誘電体
30 ゲート電極
32 ゲート・スペーサ
34 Siを含む単結晶材料
100 構造
102 フィンFETデバイス
104 フィン

Claims (12)

  1. 半導体構造を形成する方法であって、
    半導体基板の表面の酸化物ハードマスクの上に窒化物層を含むパターン形成された複数の材料スタックと、前記パターン形成された複数の材料スタックの上を横切るパターン形成された複数のフォトマスクとを含む構造を用意するステップと、
    少なくとも、前記パターン形成されたフォトマスクによって保護されていないそれぞれの材料スタックの前記酸化物ハードマスクの露出した側壁を横方向にエッチングする化学的酸化物除去ステップを実行するステップと、
    前記パターン形成された複数のフォトマスクを除去して、横方向にエッチングされた酸化物ハードマスクを前記窒化物層の下に含むパターン形成された材料スタックを露出させるステップと、
    前記横方向にエッチングされた酸化物ハードマスクに対して選択的であるハードマスクに対して選択的である異方性エッチング・プロセスを実行して、前記窒化物層と、前記横方向にエッチングされた酸化物ハードマスクによって保護されていない前記半導体基板の半導体材料の少なくとも上部とを除去し、それによってフィンを形成するステップと、
    前記フィンの上を横切る複数のゲート領域を形成するステップと
    を含む方法。
  2. それぞれの前記フィン間に、Siを含む材料を、隣接するフィンを接合するように形成するステップをさらに含む、請求項1に記載の方法。
  3. 前記Siを含む材料が、単結晶のSi、SiGeおよびSiGeCのうちの1つを含む、請求項2に記載の方法。
  4. 前記半導体基板がSOIまたはバルク半導体である、請求項1に記載の方法。
  5. 前記化学的酸化物除去ステップが、HFとアンモニアの気体または蒸気混合物を利用するステップを含み、HFとアンモニアの比が1:10から10:1である、請求項1に記載の方法。
  6. 前記横方向にエッチングされた酸化物ハードマスクを除去して、前記横方向にエッチングされた酸化物ハードマスクによって以前に保護されていた前記半導体基板の前記半導体材料の上部を露出させるステップをさらに含む、請求項1に記載の方法。
  7. フィンがそれぞれ、自体の中間部分に比べて相対的に幅が広い端部を有し、前記ゲート領域が前記中間部分を横切るように形成され、前記相対的に幅が広い端部の内部にソース/ドレイン領域が形成される、請求項1に記載の方法。
  8. それぞれのフィンの端部の内部にソース/ドレイン領域を形成するステップをさらに含み、それぞれのフィンの前記端部が実質的に正方形である、請求項1に記載の方法。
  9. 半導体構造を形成する方法であって、
    SOI基板の上部半導体層の表面の酸化物ハードマスクの上に窒化物層を含むパターン形成された複数の材料スタックと、前記パターン形成された複数の材料スタックの上を横切るパターン形成された複数のフォトマスクとを用意するステップと、
    少なくとも、前記パターン形成されたフォトマスクによって保護されていないそれぞれの材料スタックの前記酸化物ハードマスクの露出した側壁を横方向にエッチングする化学的酸化物除去ステップを実行するステップと、
    前記パターン形成された複数のフォトマスクを除去して、横方向にエッチングされた酸化物ハードマスクを前記窒化物層の下に含むパターン形成された材料スタックを露出させるステップと、
    前記横方向にエッチングされた酸化物ハードマスクに対して選択的である異方性エッチング・プロセスを実行して、前記窒化物層と、前記横方向にエッチングされた酸化物ハードマスクによって保護されていない前記SOI基板の少なくとも前記上部半導体層とを、前記SOI基板の埋込み絶縁層の表面まで除去するステップと、
    前記横方向にエッチングされた酸化物ハードマスクを除去して、前記横方向にエッチングされた酸化物ハードマスクによって以前に保護されていた前記SOI基板の前記上部半導体層の上部を露出させ、さらに前記埋込み絶縁層の露出した部分を除去するステップであって、前記横方向にエッチングされた酸化物ハードマスクによって以前に保護されていた前記SOI基板の前記露出させた上部半導体層の部分がフィンを画定するステップと、
    前記フィンの上を横切る複数のゲート領域を形成するステップと
    を含む方法。
  10. それぞれの前記フィン間に、Siを含む材料を、隣接するフィンを接合するように形成するステップをさらに含む、請求項9に記載の方法。
  11. 前記化学的酸化物除去ステップが、HFとアンモニアの気体または蒸気混合物を利用するステップを含み、HFとアンモニアの比が1:10から10:1である、請求項9に記載の方法。
  12. それぞれのゲート領域の周囲にゲート・スペーサを形成するステップをさらに含む、請求項9に記載の方法
JP2006294972A 2005-11-15 2006-10-30 方法、半導体構造(準自己整合ソース/ドレインフィンfetプロセス) Expired - Fee Related JP5128110B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/164,215 US7309626B2 (en) 2005-11-15 2005-11-15 Quasi self-aligned source/drain FinFET process
US11/164215 2005-11-15

Publications (2)

Publication Number Publication Date
JP2007142392A JP2007142392A (ja) 2007-06-07
JP5128110B2 true JP5128110B2 (ja) 2013-01-23

Family

ID=38039867

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006294972A Expired - Fee Related JP5128110B2 (ja) 2005-11-15 2006-10-30 方法、半導体構造(準自己整合ソース/ドレインフィンfetプロセス)

Country Status (3)

Country Link
US (2) US7309626B2 (ja)
JP (1) JP5128110B2 (ja)
CN (1) CN100485908C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9876013B1 (en) 2016-08-24 2018-01-23 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
KR100714288B1 (ko) * 2005-12-29 2007-05-02 주식회사 하이닉스반도체 핀 트랜지스터 제조 방법
JP4496179B2 (ja) * 2006-03-13 2010-07-07 株式会社東芝 半導体記憶装置およびその製造方法
JP2008117838A (ja) * 2006-11-01 2008-05-22 Elpida Memory Inc 半導体装置及びその製造方法
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US20090050975A1 (en) * 2007-08-21 2009-02-26 Andres Bryant Active Silicon Interconnect in Merged Finfet Process
JP2009094227A (ja) * 2007-10-05 2009-04-30 Fujitsu Ltd nチャネルMOSトランジスタおよびその製造方法、半導体装置
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
JP5465958B2 (ja) * 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2011071235A (ja) * 2009-09-24 2011-04-07 Toshiba Corp 半導体装置及びその製造方法
US8637135B2 (en) * 2009-11-18 2014-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform semiconductor device active area pattern formation
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US8513078B2 (en) 2011-12-22 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for fabricating fin devices
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US8723225B2 (en) 2012-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings on fin structures
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8802513B2 (en) * 2012-11-01 2014-08-12 International Business Machines Corporation Fin field effect transistors having a nitride containing spacer to reduce lateral growth of epitaxially deposited semiconductor materials
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US8912609B2 (en) * 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
CN104241266B (zh) * 2013-06-18 2020-12-01 联华电子股份有限公司 半导体整合装置
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
KR20150058597A (ko) * 2013-11-18 2015-05-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9123826B1 (en) 2014-03-24 2015-09-01 International Business Machines Corporation Single crystal source-drain merged by polycrystalline material
CN105336772B (zh) * 2014-05-26 2021-11-30 中芯国际集成电路制造(上海)有限公司 鳍式tfet及其制造方法
CN106252391B (zh) * 2015-06-09 2021-02-19 联华电子股份有限公司 半导体结构及其制作方法
CN106558490A (zh) * 2015-09-25 2017-04-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US9484306B1 (en) 2015-11-17 2016-11-01 International Business Machines Corporation MOSFET with asymmetric self-aligned contact
US9964605B2 (en) * 2016-06-23 2018-05-08 Globalfoundries Inc. Methods for crossed-fins FinFET device for sensing and measuring magnetic fields
CN109417094B (zh) * 2016-07-01 2022-10-21 英特尔公司 自-对准栅极边缘三栅极和finFET器件
US9905675B1 (en) 2016-12-22 2018-02-27 Infineon Technologies Americas Corp. Gate and field electrode trench formation process
US11171057B2 (en) * 2016-12-30 2021-11-09 Intel Corporation Semiconductor fin design to mitigate fin collapse
KR102446403B1 (ko) 2018-06-22 2022-09-21 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 반도체 장치의 레이아웃 디자인 방법
CN111952181B (zh) * 2020-08-21 2024-05-24 中国科学院上海微系统与信息技术研究所 具有隔离层的鳍式场效应晶体管及其制备方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6642115B1 (en) * 2000-05-15 2003-11-04 International Business Machines Corporation Double-gate FET with planarized surfaces and self-aligned silicides
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
DE10220923B4 (de) * 2002-05-10 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung eines nicht-flüchtigen Flash-Halbleiterspeichers
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
JP2005294789A (ja) * 2004-03-10 2005-10-20 Toshiba Corp 半導体装置及びその製造方法
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
KR100618852B1 (ko) * 2004-07-27 2006-09-01 삼성전자주식회사 높은 동작 전류를 갖는 반도체 소자
US6951784B1 (en) * 2004-08-05 2005-10-04 International Business Machines Corporation Three-mask method of constructing the final hard mask used for etching the silicon fins for FinFETs
US7244640B2 (en) * 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9876013B1 (en) 2016-08-24 2018-01-23 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Also Published As

Publication number Publication date
CN100485908C (zh) 2009-05-06
US7309626B2 (en) 2007-12-18
US20080042202A1 (en) 2008-02-21
JP2007142392A (ja) 2007-06-07
US20070108536A1 (en) 2007-05-17
CN1967812A (zh) 2007-05-23

Similar Documents

Publication Publication Date Title
JP5128110B2 (ja) 方法、半導体構造(準自己整合ソース/ドレインフィンfetプロセス)
US8614485B2 (en) Process for fabrication of FINFETs
JP4425130B2 (ja) フィン型電界効果トランジスタの製造方法
US7638843B2 (en) Integrating high performance and low power multi-gate devices
US8080838B2 (en) Contact scheme for FINFET structures with multiple FINs
US7947589B2 (en) FinFET formation with a thermal oxide spacer hard mask formed from crystalline silicon layer
US7265059B2 (en) Multiple fin formation
US20060216897A1 (en) Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
CN112530943A (zh) 半导体器件及其制造方法
US20090302402A1 (en) Mugfet with stub source and drain regions
US10121852B2 (en) Structure and process to tuck fin tips self-aligned to gates
US9553093B1 (en) Spacer for dual epi CMOS devices
US20150243651A1 (en) Very planar gate cut post replacement gate process
US10211225B2 (en) FinFET devices wit multiple channel lengths
US10090165B2 (en) Method to improve finFET cut overlay
US20070257319A1 (en) Integrating high performance and low power multi-gate devices
CN112530942A (zh) 半导体器件及其形成方法
US9911601B2 (en) Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
CN111244159A (zh) 半导体结构及其制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120919

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121009

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121031

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151109

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees