CN1300840C - 利用垂直连接的芯片和晶片集成工艺 - Google Patents

利用垂直连接的芯片和晶片集成工艺 Download PDF

Info

Publication number
CN1300840C
CN1300840C CNB028234030A CN02823403A CN1300840C CN 1300840 C CN1300840 C CN 1300840C CN B028234030 A CNB028234030 A CN B028234030A CN 02823403 A CN02823403 A CN 02823403A CN 1300840 C CN1300840 C CN 1300840C
Authority
CN
China
Prior art keywords
chip
layer
welding disk
substrate
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028234030A
Other languages
English (en)
Other versions
CN1592965A (zh
Inventor
伯恩哈德·H·波格
罗伊·尤
钱德里卡·普拉萨德
钱德拉塞卡尔·纳拉扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1592965A publication Critical patent/CN1592965A/zh
Application granted granted Critical
Publication of CN1300840C publication Critical patent/CN1300840C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01061Promethium [Pm]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides

Abstract

描述了一种用于芯片级或者晶片级半导体器件集成的工艺,其中穿过衬底(1)形成垂直连接。在衬底的顶表面中形成金属化部件(2),处理板(35)附着于衬底。然后在衬底的底表面处减薄该衬底,以露出该部件的底部,从而形成导电贯通孔(20)。该衬底可以包括具有器件(30)的芯片(44),例如PE芯片。该板可以是利用垂直导电棒/通路互连附着于该衬底的晶片(65)。该衬底和板每个都可以具有在其内制造的器件(30,60),使得该工艺提供了器件的垂直晶片级集成。

Description

利用垂直连接的芯片和晶片集成工艺
技术领域
本发明涉及集成电路器件的制造。更具体地说,本申请涉及用于其中形成了垂直互连的芯片级和晶片级集成的工艺。
背景技术
对半导体器件中较高的功能性和性能的需求已经推动了更大和更复杂芯片的发展。此外,经常希望在一个芯片上包括几个不同的功能,以得到“单片系统”,这种情况通常导致芯片尺寸增加和制造工艺更复杂。这些因素趋于降低制造产品合格率。估计面积大于400mm2的许多这种复杂芯片通常具有非常低的制造合格率(也许10%以下)。
保持可接受合格率的一种方法是制造较小的芯片、然后在芯片上或者支撑衬底上利用水平和垂直连接互连这些芯片。互连的芯片由此在衬底上或者芯片载体上形成安装在另一个芯片上的一个较大的芯片。除了提高制造合格率,这种方案的另一个主要优点在于:单个芯片可以具不同尺寸、执行不同的功能,或者可以通过不同或者不兼容的方法制造。
图1A示意性地示出了根据本方案构成的系统。衬底或者底部芯片11具有安装在其上的几个芯片10,水平间隔为Δx和Δy。例如,底部芯片11可以是DRAM(动态随机存取存储器)芯片,而四个芯片10为处理器(或者“处理器引擎(PE)”)芯片。
为了实现由单片系统(SOC)理念提供的优点,最好是不同的芯片非常接近并且相对于彼此非常精确地对准。例如,芯片10之间的间隔Δx和Δy最好是大约为50μm或者更小。
可以通过使用导体棒/通路(stud/via)互连而非常高精确地(大约1μm内)将芯片10放置在衬底或者底部芯片11上,如图1B所示意。在图1B中,芯片10具有形成在芯片的端子表面上的金属导体棒12,低熔点合金材料层16淀积在导体棒的表面上。在底部芯片11表面上的介质层17(通常设计并且制造为聚酰亚胺的多层结构)中嵌入高密度布线18(通常为几层Cu导体,如果在图1b中示意的),并且在层17的表面上具有电接合焊盘15。介质层14位于布线层17上;层14可以由通常用在薄膜封装工艺中的聚酰亚胺材料形成。层14具有形成在其内的通路13(例如通过反应离子蚀刻、光刻或者准分子激光),使得端子金属接合焊盘15位于每个通路底部。该通路可以形成有倾斜的壁角,作为在通路13中高精度、自对准地放置导电棒12的引导器。可以在介质层14的顶部淀积热塑性聚合物粘合剂薄涂层19,以确保与芯片表面的可靠粘接。在美国专利No.6,444,560、名称为“Process formaking fine pitch connections between devices and structure made bythe process”中提供了这种导电棒/通路对准和结合工艺的细节,这里引入其公开的内容作为参考。与目前的C4互连方案相比,使用自对准导电棒/通路互连允许具有极高的布线密度。
在图1A所示的SOC中,布线层横向延伸到芯片10的区域之外。通常在底部芯片11的顶表面的周边11a进行外部连接。因此SOC的整个尺寸限制了可以获得的用于进行外部连接的导线连接的空间。我们希望改为通过芯片的背面进行外部连接,使得连接不受导线连接空间的限制。在芯片的背面10b上形成连接焊盘(例如C4焊盘)需要垂直穿过芯片体到达芯片的器件面(表面10a)进行电连接。此外,建立穿过器件芯片的垂直互连将便于芯片的垂直层叠,有效地将SOC理念延伸到三维。
因此,需要一种在多芯片器件例如SOC中制造垂直互连的工艺,这种多芯片器件能够允许三维芯片互连,并且能够以高制造合格率实施。
发明内容
本发明通过提供一种用于芯片级或者晶片级垂直集成的工艺致力于满足上述需求,其中利用芯片中的贯通孔(through-via)形成垂直连接。
根据本发明的第一方面,提供一种制造半导体结构的方法。在衬底的顶表面上形成部件,将金属淀积在该部件中以制备导电通路(via)。可以首先在该部件中淀积衬垫以隔离金属与半导体材料。然后形成位于该衬底顶表面上的层;该层包括导电体和该层顶表面上的第一导电焊盘,使得该第一导电焊盘与该部件电连接。将板附着于该层上,然后在衬底的底部减薄该衬底以露出该部件的底部。在衬底的底表面上形成第二导电焊盘,以便与该部件的底部进行电连接,使得第一导电焊盘和第二导电焊盘通过该部件电连接。
该衬底可以包括其中制造有器件(例如DRAM)的芯片。因此在该芯片中的贯通孔中的导电通路的形成允许与第二芯片(例如PE芯片)垂直集成。
该板可以是临时处理板,以便于衬底的减薄。如果该板对消蚀照射(ablating radiation)是透明的,那么便于通过消蚀该层与该板之间的界面除去该板。
根据本发明的另一方面,该板是不从衬底上除去的半导体晶片;该晶片利用垂直导电棒/通路互连附着于衬底上。在上述层上形成覆盖该衬底的第二层,在第二层中形成通路,露出第一导电焊盘。在半导体晶片上形成与通路对准的导电棒;然后使该晶片与第二层接触,使得导电棒与第一导电焊盘电连接。因此,该衬底和该板(导电体晶片)形成晶片系统,并且该衬底和该板每个都可以具有制造在其内的器件(例如分别为DRAM和PE器件)。这样该工艺提供了器件的垂直晶片级集成。
根据本发明的另一方面,提供一种半导体结构,包括:衬底;覆盖该衬底顶表面的第一层;第一层顶上和该衬底底表面上的导电焊盘;第一层上的第二层;和接触第一层的板。该衬底具有延伸通过的通路,第一导电体形成在该通路中。第一层包括连接第一导电体与该层顶上的导电焊盘的第二导电体。衬底底表面上的导电焊盘与第一导电体电连接,使得第一导电焊盘和第二导电焊盘电连接。第二层具有形成在其内以露出第一层顶上的导电焊盘的通路。该板具有形成在其上的导电棒,该导电棒与通路对准并且与导电焊盘电接触。
附图说明
图1A是单片系统示意图,其中较小的芯片设置在较大的芯片上,并且被非常接近地集成和连接。
图1B示出了用于图1A所示芯片的高精度、自对准导电棒/通路互连方案。
图2A-2F示出了根据本发明实施例用于形成穿过芯片体的垂直互连的工艺步骤。
图3A-3F示出了根据本发明实施例的利用垂直互连得到DRAM芯片和处理器(PE)芯片的芯片级集成的工艺步骤。
图3G示出了使用图3F的垂直互连的另一种芯片级集成。
图4A-4E示出了根据本发明的另一个实施例的利用垂直互连得到DRAM和PE晶片的晶片级集成的工艺步骤。
具体实施方式
根据本发明,制造包括穿过芯片的垂直电连接的半导体器件。这些垂直连接通过在该芯片中构成金属化的贯通孔形成,不需要昂贵的深通路蚀刻。
在下面讨论的实施例中,垂直连接了两个不同类型的芯片。具体地说,在所示的例子中,将DRAM芯片(或者硅DRAM器件晶片)垂直连接到多个PE芯片(或者硅PE器件晶片)上。应理解所讨论的这些类型的芯片仅用于说明,本发明可以利用各种芯片和晶片类型实施。
I.金属化通路的形成
如下所述,可以通过在沟槽中淀积金属并且减薄晶片以打开该沟槽的底部从而在半导体衬底例如硅晶片中形成金属化的贯通孔。
在硅晶片1中,在其内制造器件之前,在需要垂直连接的地方蚀刻沟槽2。如图2A所示,该沟槽不延伸穿透晶片,但应延伸到器件深度以下的体(bulk)Si中。在晶片上和沟槽中生长或者淀积氧化物层21。在该氧化物上最好是通过化学汽相淀积(CVD)淀积沟槽衬垫22,一般是钨。然后在衬垫22上淀积铜层23。(如本领域技术人员所了解的,当使用铜来金属化该沟槽时,需要衬垫;许多另外的不需要衬垫的金属化方案都是可行的)。层23的淀积方法是离子物理汽相淀积(IPVD),以确保沟槽内部的薄的、共形涂层。
在沟槽中和晶片顶部上的层23上(例如通过电镀)形成铜层24。在沟槽的侧壁上生长层24,直至达到使该沟槽的上部封闭的厚度,如图2C所示。利用该结构,在沟槽的底部和晶片的顶部表面之间建立了导电通路,而空隙25残存在沟槽的底部附近。应注意,该空隙25在缓解由部件中及其周围的不同材料的热膨胀系数(TCE)差引起的应力方面具有重要的作用。
然后最好是通过化学-机械抛光(CMP)平整晶片,以从晶片的顶表面除去层21-24。得到的结构示于图2D。然后(通过反应溅射或者其它一些便利的工艺)将沟槽顶部的铜蚀刻到大约5μm的深度,以得到图2E所示的结构。在晶片上(最好是通过CVD)淀积钨层,再次利用CMP平整晶片,留下每个沟槽顶部的钨层26和硅晶片的暴露表面1p(图2F)。这样沟槽结构20具有埋在钨中的铜金属化。
然后准备利用该晶片在表面1p附近形成器件,并且进一步加工以得到芯片级或者晶片级集成。
II.芯片级集成
在形成了金属化的沟槽结构20之后,在晶片1中制造图3A所示意性示出的器件30。如图3A所示,器件位于与该晶片的顶表面相邻的晶片1的区域中。在本实施例中,器件30为DRAM器件。然后在晶片的顶部形成介质层31(一般是聚酰亚胺);层31具有埋置在其内的高密度布线32a-32c,并且在其顶表面具有用于进行外部连接(例如与DRAM芯片顶部上的PE芯片连接)的电接合焊盘33。图3A示意性地示出了可能连接的例子。该电布线可以连接金属化通路与接合焊盘(导体32a);器件与接合焊盘(导体32b);或者器件与通路和/或接合焊盘(导体32c)。尽管将包括导体的层31示为单层,但是应理解为了容易制造,经常将其设计并制造为多层结构。通常将焊盘33形成为多层结构,该多层结构包括Ti-W合金、Ni和Au,但也可以包括Cu、Co或者金属的其它组合。
然后通过研磨、CMP或者其它一些便利的工艺减薄晶片1,使得在晶片1的底部表面1b或者背面露出金属化通路结构20的内部(图3B)。减薄的晶片可能难以处理或者与后续的晶片加工中所用的设备不兼容。因此,在进行减薄工序之前,尤其当晶片的最后厚度小于150μm时,希望将临时处理板附着于晶片上。在本实施例中,将晶片厚度减小到大约100μm或者更小。如图3B所示,利用粘接层36将玻璃板35附着于层31的顶表面上。
这里每个通路结构20都是在晶片的底表面1b上露出钨层22的贯通孔。在表面1b上淀积绝缘层37(例如,聚酰亚胺),并且在其内的通路20的位置形成开口38。然后在层37上淀积金属层(或者多层的组合)并且构图以在开口38中及其周围形成金属焊盘39。焊盘39一般具有类似于焊盘33的结构;即包括Ti-W合金、Ni和Au的多层结构。得到的结构示于3C。
然后利用本领域已知的方法,在晶片背面上的焊盘39上形成焊料突起41。例如,可以将焊料膏通过丝网涂覆到晶片上,并且对淀积的焊料进行回流处理。图3D示出了准备好用于切割和接合到载体上的晶片结构。
在本实施例中,如图3E所示,将晶片切割为芯片44,同时使玻璃处理板35完好。另外,切割工艺可以包括切割板35(这样需要在接合工艺中对单个芯片进行处理)。将芯片接合到载体45;焊料突起41一般与载体顶表面处的金属焊盘(未示出)连接。载体45可以是另一个芯片、陶瓷衬底、电路板等。
接合工艺之后,从芯片上除去临时处理板35。如图3E所示意性地示出的,这可以方便地通过激光消蚀工艺进行。入射到玻璃板35上的激光辐射46穿透该板,并且消蚀该板和粘合剂层36之间的界面。这样导致该板与层36的分层,使得可以除去板35。然后清洗掉剩余的粘合剂,使接合焊盘33暴露。
如图3F所示,通过将PE芯片连接到DRAM芯片的接合焊片33完成DRAM芯片44和PE芯片54的垂直集成。在PE芯片54中,在晶片中制造处理器件50;PE芯片的其它部分根据与上述方法相同的方法制备,具有由图3F中重复的附图标记所表示的相同结构。特别是,值得注意的是可以使用芯片54顶表面上的接合焊盘33进行与垂直集成结构55的外部连接,该垂直集成结构55包括DRAM芯片和多个PE芯片。
另外,如图3G所示,该PE芯片可以是不具有垂直贯通孔连接的常规芯片56(具有在衬底52中的器件51和布线层31)。在这种情况下,该PE芯片56与芯片44(具有垂直贯通孔连接)接合,以形成集成结构57。
III.晶片级集成
在本发明的该实施例中,在晶片中形成金属化贯通孔,利用导电棒/通路互连将其与另一个晶片接合。
在图4A中,晶片1具有制造在其内的金属化沟槽结构20和器件30(例如,DRAM器件),介质层31位于晶片的顶部上,介质层31包含电布线并且具有其上的焊盘33(比较图3A)。按上面参考图2A-2F所描述的方式形成沟槽结构。该晶片具有一般是聚酰亚胺层的覆盖层31的介质层61。层61具有形成在其内的通路开口62,以露出焊盘33。在前表面65f附近具有器件60(例如PE器件)的另一个晶片65具有形成在其上的介质层63,介质层63带有用于电连接到导电棒66的高密度布线。如图4A所示,器件60与导电棒66连接,并且导电棒对应于通路62。
然后通过导电棒66与焊盘33电连接从而将晶片1和晶片65结合到一起,如图4B所示。(如上面参考图1B所讨论的,利用导电棒上的焊料层和/或聚酰亚胺层上的粘合剂可以方便地进行粘接。)由此得到的晶片结构70包含互连的器件;应注意晶片1和65可以具有不同的器件类型(例如DRAM和PE器件)和/或不同的材料(Si、SiGe、GaAs等)。
与前面的实施例一样(图4C;比较图3B),然后减薄晶片1,使得沟槽结构20变为贯通孔。应注意在减薄晶片1的同时,晶片65可以起到处理晶片的作用。另外,还可以处理晶片65以便具有延伸到背面65b的贯通孔;在这种情况下,在将晶片65粘接到晶片1之前,晶片65可能需要与后来除去的临时处理板(未示出)粘接。
与前面的实施例一样,在表面1b上淀积绝缘层37(例如聚酰亚胺),并且其内形成开口38。然后形成焊盘39以便与贯通孔进行连接,并且将焊料突起41附着到焊盘上(图4D)。
然后切割晶片结构70以制造组合芯片74(例如组合的DRAM/PE芯片),然后将组合芯片74接合到载体45上,如图4E所示。此时除去用于晶片65的临时处理板。应理解,如果晶片65具有到达背面65b的贯通孔,那么可以与芯片74顶表面进行电连接。因此,可以实现进一步的垂直集成。
工业实用性
本发明通常可应用于半导体器件制造,并且提供了一种用于器件集成的工艺,其中通过芯片背面的通路进行电连接,使得这些连接不受导线连接空间要求的限制。本发明所提供的显著优点在于,在衬底中形成贯通孔而不需要昂贵的深通路蚀刻工艺。可以非常高精确地保持通路尺寸,结果允许高密度的贯通孔。此外,与上面描述的高精确地横向放置芯片类似,使用垂直的导电棒/通路互连允许在第三维高精确地放置芯片。
虽然已经根据具体实施例描述了本发明,但鉴于前面的描述,很显然对于本领域技术人员来说许多选择、修改和变化都是显而易见的。因此,本发明包括落在本发明的范围和精神以及下面的权利要求内的所有这些选择、修改和变化。

Claims (12)

1.一种制造半导体结构的方法,该半导体结构包括具有顶表面和底表面的衬底,该方法包括步骤:
在衬底(1)的顶表面中形成部件(2);
将金属(24)淀积在该部件中以在其内制备导电通路;
形成覆盖该衬底顶表面的层(31);该层包括导电体(32a,32b,32c)和该层顶表面上的第一导电焊盘(33),第一导电焊盘与该部件电连接;
将板(35)附着于该层上,
在衬底的底表面(1b)减薄该衬底,从而露出该部件的底部;和
在衬底的底表面上形成第二导电焊盘(39),以便与该部件的底部进行电连接,使得第一导电焊盘和第二导电焊盘通过该部件电连接。
2.根据权利要求1的方法,进一步包括在与衬底顶表面相邻的衬底区域中制造半导体器件(30)的步骤。
3.根据权利要求1或2的方法,其特征在于,所述淀积步骤进一步包括淀积金属以在部件中形成空隙(25)。
4.根据权利要求1或2的方法,其特征在于,该半导体结构包括第一芯片(44),第一芯片(44)具有连接第一导电焊盘和第二导电焊盘的穿通的垂直电连接,并且进一步包括步骤:
利用所述垂直电连接连接第二芯片(54)和第一芯片,使得在第一芯片的顶上设置第二芯片,以便垂直集成第一芯片和第二芯片。
5.根据权利要求4的方法,其特征在于,第一芯片包括DRAM器件(30)和第二芯片包括处理器(50)。
6.根据权利要求1的方法,其特征在于,所述减薄步骤包括减小衬底的厚度,直到小于100μm。
7.根据权利要求1的方法,其特征在于,该板(35)是临时处理板,并且进一步包括分离该板的步骤。
8.根据权利要求7的方法,其特征在于,该板对消蚀照射是透明的,和所述分离步骤包括利用透过该板的消蚀照射(36)消蚀该层和该板之间的界面。
9.根据权利要求4的方法,其特征在于,第二芯片(54)包括在所述第二芯片顶表面上的另一个垂直电连接和导电焊盘(33)。
10.根据权利要求1的方法,其中该板是半导体晶片(65),覆盖衬底顶表面的该层(31)表征为第一层,所述附着该板的步骤包括下列步骤:
在第一层上形成第二层(61);
在第二层中形成通路(62),以露出第一导电焊盘(33);
在半导体晶片上形成导电棒(66);
将导电棒与通路对准;和
使半导体晶片与第二层接触,使得导电棒(66)与第一导电焊盘(33)电连接。
11.根据权利要求10的方法,进一步包括步骤:
在与衬底顶表面相邻的衬底区域中制造第一半导体器件(30);和
在所述分离步骤之前,在半导体晶片中制造第二半导体器件(60)。
12.根据权利要求11的方法,其特征在于,第一半导体器件通过第一层与第一导电焊盘连接,和第二半导体器件与导电棒连接,以便提供其间的垂直电连接。
CNB028234030A 2001-12-19 2002-11-26 利用垂直连接的芯片和晶片集成工艺 Expired - Lifetime CN1300840C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/026,103 2001-12-19
US10/026,103 US6599778B2 (en) 2001-12-19 2001-12-19 Chip and wafer integration process using vertical connections

Publications (2)

Publication Number Publication Date
CN1592965A CN1592965A (zh) 2005-03-09
CN1300840C true CN1300840C (zh) 2007-02-14

Family

ID=21829924

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028234030A Expired - Lifetime CN1300840C (zh) 2001-12-19 2002-11-26 利用垂直连接的芯片和晶片集成工艺

Country Status (7)

Country Link
US (4) US6599778B2 (zh)
EP (1) EP1470583A4 (zh)
JP (1) JP4366510B2 (zh)
KR (1) KR100527232B1 (zh)
CN (1) CN1300840C (zh)
AU (1) AU2002352993A1 (zh)
WO (1) WO2003054956A1 (zh)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6444560B1 (en) * 2000-09-26 2002-09-03 International Business Machines Corporation Process for making fine pitch connections between devices and structure made by the process
US7189595B2 (en) * 2001-05-31 2007-03-13 International Business Machines Corporation Method of manufacture of silicon based package and devices manufactured thereby
US6724030B2 (en) * 2002-01-18 2004-04-20 Infineon Technologies North American Corp. System and method for back-side contact for trench semiconductor device characterization
US6770491B2 (en) * 2002-08-07 2004-08-03 Micron Technology, Inc. Magnetoresistive memory and method of manufacturing the same
US7354798B2 (en) * 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US6864165B1 (en) * 2003-09-15 2005-03-08 International Business Machines Corporation Method of fabricating integrated electronic chip with an interconnect device
DE10345494B4 (de) * 2003-09-30 2016-04-07 Infineon Technologies Ag Verfahren zur Bearbeitung eines dünnen Halbleitersubstrats
CN100452329C (zh) * 2003-12-02 2009-01-14 全懋精密科技股份有限公司 可供形成预焊锡材料的半导体封装基板及其制法
US7176128B2 (en) * 2004-01-12 2007-02-13 Infineon Technologies Ag Method for fabrication of a contact structure
EP1775768A1 (en) * 2004-06-04 2007-04-18 ZyCube Co., Ltd. Semiconductor device having three-dimensional stack structure and method for manufacturing the same
US20060009029A1 (en) * 2004-07-06 2006-01-12 Agency For Science, Technology And Research Wafer level through-hole plugging using mechanical forming technique
US7654956B2 (en) * 2004-07-13 2010-02-02 Dexcom, Inc. Transcutaneous analyte sensor
US7906363B2 (en) * 2004-08-20 2011-03-15 Zycube Co., Ltd. Method of fabricating semiconductor device having three-dimensional stacked structure
US7271496B2 (en) * 2005-02-04 2007-09-18 Stats Chippac Ltd. Integrated circuit package-in-package system
US20060252254A1 (en) * 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7521806B2 (en) * 2005-06-14 2009-04-21 John Trezza Chip spanning connection
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7946331B2 (en) 2005-06-14 2011-05-24 Cufer Asset Ltd. L.L.C. Pin-type chip tooling
US7534722B2 (en) * 2005-06-14 2009-05-19 John Trezza Back-to-front via process
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US7829989B2 (en) * 2005-09-07 2010-11-09 Alpha & Omega Semiconductor, Ltd. Vertical packaged IC device modules with interconnected 3D laminates directly contacts wafer backside
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7635643B2 (en) * 2006-04-26 2009-12-22 International Business Machines Corporation Method for forming C4 connections on integrated circuit chips and the resulting devices
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
EP2074647B1 (en) * 2006-10-17 2012-10-10 Cufer Asset Ltd. L.L.C. Wafer via formation
US20080116584A1 (en) * 2006-11-21 2008-05-22 Arkalgud Sitaram Self-aligned through vias for chip stacking
US7705613B2 (en) * 2007-01-03 2010-04-27 Abhay Misra Sensitivity capacitive sensor
US7705632B2 (en) * 2007-02-15 2010-04-27 Wyman Theodore J Ted Variable off-chip drive
US7598163B2 (en) * 2007-02-15 2009-10-06 John Callahan Post-seed deposition process
US7803693B2 (en) * 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US7670874B2 (en) * 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
KR101485436B1 (ko) 2007-03-20 2015-01-23 도레이 카부시키가이샤 흑색 수지 조성물, 수지 블랙 매트릭스, 컬러 필터 및 액정표시장치
US7747223B2 (en) * 2007-03-29 2010-06-29 Research In Motion Limited Method, system and mobile device for prioritizing a discovered device list
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US7748116B2 (en) * 2007-04-05 2010-07-06 John Trezza Mobile binding in an electronic connection
US7850060B2 (en) * 2007-04-05 2010-12-14 John Trezza Heat cycle-able connection
US20080261392A1 (en) * 2007-04-23 2008-10-23 John Trezza Conductive via formation
US7960210B2 (en) 2007-04-23 2011-06-14 Cufer Asset Ltd. L.L.C. Ultra-thin chip packaging
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7825517B2 (en) 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
US7799608B2 (en) * 2007-08-01 2010-09-21 Advanced Micro Devices, Inc. Die stacking apparatus and method
US8999764B2 (en) 2007-08-10 2015-04-07 International Business Machines Corporation Ionizing radiation blocking in IC chip to reduce soft errors
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
US8476769B2 (en) * 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US8227902B2 (en) * 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US7588993B2 (en) 2007-12-06 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment for backside illumination sensor
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8671476B2 (en) * 2008-02-05 2014-03-18 Standard Textile Co., Inc. Woven contoured bed sheet with elastomeric yarns
JP2009224492A (ja) * 2008-03-14 2009-10-01 Oki Semiconductor Co Ltd 半導体装置及びその製造方法
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8174103B2 (en) * 2008-05-01 2012-05-08 International Business Machines Corporation Enhanced architectural interconnect options enabled with flipped die on a multi-chip package
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US7939449B2 (en) * 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US7772123B2 (en) 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
US7745920B2 (en) 2008-06-10 2010-06-29 Micron Technology, Inc. Packaged microelectronic devices and methods for manufacturing packaged microelectronic devices
US8637953B2 (en) 2008-07-14 2014-01-28 International Business Machines Corporation Wafer scale membrane for three-dimensional integrated circuit device fabrication
US8288872B2 (en) 2008-08-05 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout
US8399273B2 (en) * 2008-08-18 2013-03-19 Tsmc Solid State Lighting Ltd. Light-emitting diode with current-spreading region
US8298914B2 (en) 2008-08-19 2012-10-30 International Business Machines Corporation 3D integrated circuit device fabrication using interface wafer as permanent carrier
US8129256B2 (en) * 2008-08-19 2012-03-06 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
US8399336B2 (en) 2008-08-19 2013-03-19 International Business Machines Corporation Method for fabricating a 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US8278152B2 (en) * 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US9675443B2 (en) 2009-09-10 2017-06-13 Johnson & Johnson Vision Care, Inc. Energized ophthalmic lens including stacked integrated components
US7855455B2 (en) * 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
JP2010080752A (ja) * 2008-09-26 2010-04-08 Panasonic Corp 半導体装置の製造方法
US9064717B2 (en) * 2008-09-26 2015-06-23 International Business Machines Corporation Lock and key through-via method for wafer level 3D integration and structures produced thereby
US8093099B2 (en) * 2008-09-26 2012-01-10 International Business Machines Corporation Lock and key through-via method for wafer level 3D integration and structures produced
US8653648B2 (en) * 2008-10-03 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Zigzag pattern for TSV copper adhesion
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US20100102457A1 (en) * 2008-10-28 2010-04-29 Topacio Roden R Hybrid Semiconductor Chip Package
US7955895B2 (en) * 2008-11-07 2011-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for stacked wafer fabrication
US8624360B2 (en) * 2008-11-13 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling channels in 3DIC stacks
FR2939566B1 (fr) * 2008-12-05 2011-03-11 St Microelectronics Sa Procede de realisation de plots exterieurs d'un dispositif semi-conducteur et dispositif semi-conducteur.
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US7989318B2 (en) 2008-12-08 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking semiconductor dies
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8900921B2 (en) 2008-12-11 2014-12-02 Stats Chippac, Ltd. Semiconductor device and method of forming topside and bottom-side interconnect structures around core die with TSV
US7939926B2 (en) * 2008-12-12 2011-05-10 Qualcomm Incorporated Via first plus via last technique for IC interconnects
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
KR20100079183A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 패키지 장치와 그 제조 방법
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8749027B2 (en) * 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8168529B2 (en) * 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8820728B2 (en) * 2009-02-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US8531565B2 (en) 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US7932608B2 (en) * 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
US9142586B2 (en) 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US8643149B2 (en) * 2009-03-03 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stress barrier structures for semiconductor chips
US8487444B2 (en) 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
TWI447881B (zh) * 2009-03-09 2014-08-01 United Microelectronics Corp 矽貫通電極結構及其製法
US8426256B2 (en) * 2009-03-20 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming stacked-die packages
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8232140B2 (en) * 2009-03-27 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for ultra thin wafer handling and processing
US8329578B2 (en) 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8432038B2 (en) * 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
TWI483999B (zh) 2009-06-15 2015-05-11 Toray Industries 黑色複合微粒子、黑色樹脂組成物、彩色濾光片基板及液晶顯示裝置
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
US8247906B2 (en) * 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8198133B2 (en) * 2009-07-13 2012-06-12 International Business Machines Corporation Structures and methods to improve lead-free C4 interconnect reliability
US8377816B2 (en) * 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8859424B2 (en) 2009-08-14 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier and method of manufacturing
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8252665B2 (en) * 2009-09-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer for adhesive material at wafer edge
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
CN102033877A (zh) * 2009-09-27 2011-04-27 阿里巴巴集团控股有限公司 检索方法和装置
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US8264067B2 (en) * 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture
US7969013B2 (en) * 2009-10-22 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via with dummy structure and method for forming the same
US8659155B2 (en) * 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US8283745B2 (en) 2009-11-06 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside-illuminated image sensor
US8405201B2 (en) * 2009-11-09 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure
US8247895B2 (en) * 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8330262B2 (en) 2010-02-02 2012-12-11 International Business Machines Corporation Processes for enhanced 3D integration and structures generated using the same
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8610270B2 (en) * 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8304863B2 (en) 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
TWI419302B (zh) * 2010-02-11 2013-12-11 Advanced Semiconductor Eng 封裝製程
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8237272B2 (en) * 2010-02-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pillar structure for semiconductor substrate and method of manufacture
US8390009B2 (en) 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8587121B2 (en) * 2010-03-24 2013-11-19 International Business Machines Corporation Backside dummy plugs for 3D integration
US8114707B2 (en) * 2010-03-25 2012-02-14 International Business Machines Corporation Method of forming a multi-chip stacked structure including a thin interposer chip having a face-to-back bonding with another chip
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8222139B2 (en) 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8507940B2 (en) 2010-04-05 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Heat dissipation by through silicon plugs
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8455995B2 (en) * 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8519538B2 (en) 2010-04-28 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Laser etch via formation
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8866301B2 (en) 2010-05-18 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers with interconnection structures
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
KR101124568B1 (ko) 2010-05-31 2012-03-16 주식회사 하이닉스반도체 반도체 칩, 이를 포함하는 적층 칩 구조의 반도체 패키지
US8471358B2 (en) 2010-06-01 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. 3D inductor and transformer
US9059026B2 (en) 2010-06-01 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. 3-D inductor and transformer
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US8362591B2 (en) 2010-06-08 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits and methods of forming the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8500182B2 (en) 2010-06-17 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum wafer carriers for strengthening thin wafers
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
TWI422009B (zh) * 2010-07-08 2014-01-01 Nat Univ Tsing Hua 多晶片堆疊結構
US8319336B2 (en) 2010-07-08 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of etch microloading for through silicon vias
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
US8722540B2 (en) 2010-07-22 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling defects in thin wafer handling
US9299594B2 (en) 2010-07-27 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bonding system and method of modifying the same
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8507358B2 (en) 2010-08-27 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Composite wafer semiconductor
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
US8693163B2 (en) * 2010-09-01 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical embedded capacitors
US8928159B2 (en) 2010-09-02 2015-01-06 Taiwan Semiconductor Manufacturing & Company, Ltd. Alignment marks in substrate having through-substrate via (TSV)
US8502338B2 (en) 2010-09-09 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via waveguides
US8928127B2 (en) 2010-09-24 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Noise decoupling structure with through-substrate vias
US8525343B2 (en) 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
US8836116B2 (en) 2010-10-21 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging of micro-electro-mechanical systems (MEMS) and complementary metal-oxide-semiconductor (CMOS) substrates
US8519409B2 (en) 2010-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Light emitting diode components integrated with thermoelectric devices
KR101143637B1 (ko) * 2010-11-18 2012-05-09 에스케이하이닉스 주식회사 내부 연결 구조를 포함하는 반도체 소자
US8567837B2 (en) 2010-11-24 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Reconfigurable guide pin design for centering wafers having different sizes
US9153462B2 (en) 2010-12-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spin chuck for thin wafer cleaning
US8773866B2 (en) 2010-12-10 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Radio-frequency packaging with reduced RF loss
US8236584B1 (en) 2011-02-11 2012-08-07 Tsmc Solid State Lighting Ltd. Method of forming a light emitting diode emitter substrate with highly reflective metal bonding
US9059262B2 (en) * 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
US8950862B2 (en) 2011-02-28 2015-02-10 Johnson & Johnson Vision Care, Inc. Methods and apparatus for an ophthalmic lens with functional insert layers
US10451897B2 (en) 2011-03-18 2019-10-22 Johnson & Johnson Vision Care, Inc. Components with multiple energization elements for biomedical devices
US9233513B2 (en) 2011-03-18 2016-01-12 Johnson & Johnson Vision Care, Inc. Apparatus for manufacturing stacked integrated component media inserts for ophthalmic devices
US9698129B2 (en) 2011-03-18 2017-07-04 Johnson & Johnson Vision Care, Inc. Stacked integrated component devices with energization
US9804418B2 (en) 2011-03-21 2017-10-31 Johnson & Johnson Vision Care, Inc. Methods and apparatus for functional insert with power layer
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
US8546235B2 (en) 2011-05-05 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal-insulator-metal capacitors and methods of forming the same
US8674883B2 (en) 2011-05-24 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Antenna using through-silicon via
US8846470B2 (en) 2011-06-06 2014-09-30 International Business Machines Corporation Metal trench capacitor and improved isolation and methods of manufacture
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8587127B2 (en) 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8552485B2 (en) 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
US8766409B2 (en) 2011-06-24 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for through-silicon via (TSV) with diffused isolation well
US8531035B2 (en) 2011-07-01 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect barrier structure and method
US8872345B2 (en) 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US8604491B2 (en) 2011-07-21 2013-12-10 Tsmc Solid State Lighting Ltd. Wafer level photonic device die structure and method of making the same
US8445296B2 (en) 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
US8816505B2 (en) 2011-07-29 2014-08-26 Tessera, Inc. Low stress vias
US8809073B2 (en) 2011-08-03 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for de-embedding through substrate vias
US9159907B2 (en) 2011-08-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid film for protecting MTJ stacks of MRAM
US8748284B2 (en) 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US8525278B2 (en) 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
US8546886B2 (en) 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8604619B2 (en) 2011-08-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via keep out zone formation along different crystal orientations
JP5660466B2 (ja) * 2011-10-07 2015-01-28 株式会社デンソー 半導体装置及び半導体装置の製造方法
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
KR101849117B1 (ko) * 2011-10-19 2018-04-18 에스케이하이닉스 주식회사 연결 범프를 포함하는 전자 소자의 패키지, 전자 시스템 및 제조 방법
US9087838B2 (en) 2011-10-25 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a high-K transformer with capacitive coupling
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US8659126B2 (en) 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
KR101857529B1 (ko) 2011-11-08 2018-05-15 삼성전자주식회사 비휘발성 메모리 장치 및 그것의 구동 방법
US8896089B2 (en) 2011-11-09 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers for semiconductor devices and methods of manufacture thereof
US9299660B2 (en) * 2011-11-14 2016-03-29 Intel Corporation Controlled solder-on-die integrations on packages and methods of assembling same
KR101959284B1 (ko) 2011-11-18 2019-03-19 삼성전자주식회사 반도체 장치 및 그 형성방법
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US11264262B2 (en) 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US10381254B2 (en) 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8546953B2 (en) 2011-12-13 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via (TSV) isolation structures for noise reduction in 3D integrated circuit
US8890293B2 (en) 2011-12-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring for through vias
US8580647B2 (en) 2011-12-19 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Inductors with through VIAS
US8857983B2 (en) 2012-01-26 2014-10-14 Johnson & Johnson Vision Care, Inc. Ophthalmic lens assembly having an integrated antenna structure
US8618631B2 (en) 2012-02-14 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip ferrite bead inductor
US10180547B2 (en) 2012-02-23 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate
US9618712B2 (en) 2012-02-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate and method of making the same
JP5906812B2 (ja) * 2012-02-29 2016-04-20 富士通株式会社 配線構造、半導体装置及び配線構造の製造方法
US9293521B2 (en) 2012-03-02 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Concentric capacitor structure
US8860114B2 (en) 2012-03-02 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a fishbone differential capacitor
US9312432B2 (en) 2012-03-13 2016-04-12 Tsmc Solid State Lighting Ltd. Growing an improved P-GaN layer of an LED through pressure ramping
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
US9583365B2 (en) 2012-05-25 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnects for three dimensional integrated circuit
US8981533B2 (en) 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
US9343442B2 (en) 2012-09-20 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Passive devices in package-on-package structures and methods for forming the same
US9490133B2 (en) 2013-01-24 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Etching apparatus
US9484211B2 (en) 2013-01-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Etchant and etching process
US9041152B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor with magnetic material
JP5826782B2 (ja) * 2013-03-19 2015-12-02 株式会社東芝 半導体装置の製造方法
US9349636B2 (en) 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
JP6163436B2 (ja) * 2014-01-30 2017-07-12 株式会社東芝 半導体装置および半導体装置の製造方法
US10083893B2 (en) 2014-01-30 2018-09-25 Toshiba Memory Corporation Semiconductor device and semiconductor device manufacturing method
US20150262911A1 (en) * 2014-03-14 2015-09-17 International Business Machines Corporation Tsv with end cap, method and 3d integrated circuit
US9793536B2 (en) 2014-08-21 2017-10-17 Johnson & Johnson Vision Care, Inc. Pellet form cathode for use in a biocompatible battery
US9599842B2 (en) 2014-08-21 2017-03-21 Johnson & Johnson Vision Care, Inc. Device and methods for sealing and encapsulation for biocompatible energization elements
US9383593B2 (en) 2014-08-21 2016-07-05 Johnson & Johnson Vision Care, Inc. Methods to form biocompatible energization elements for biomedical devices comprising laminates and placed separators
US9941547B2 (en) 2014-08-21 2018-04-10 Johnson & Johnson Vision Care, Inc. Biomedical energization elements with polymer electrolytes and cavity structures
US10627651B2 (en) 2014-08-21 2020-04-21 Johnson & Johnson Vision Care, Inc. Methods and apparatus to form biocompatible energization primary elements for biomedical devices with electroless sealing layers
US10361404B2 (en) 2014-08-21 2019-07-23 Johnson & Johnson Vision Care, Inc. Anodes for use in biocompatible energization elements
US10361405B2 (en) 2014-08-21 2019-07-23 Johnson & Johnson Vision Care, Inc. Biomedical energization elements with polymer electrolytes
US9715130B2 (en) 2014-08-21 2017-07-25 Johnson & Johnson Vision Care, Inc. Methods and apparatus to form separators for biocompatible energization elements for biomedical devices
US10381687B2 (en) 2014-08-21 2019-08-13 Johnson & Johnson Vision Care, Inc. Methods of forming biocompatible rechargable energization elements for biomedical devices
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
JP6509635B2 (ja) * 2015-05-29 2019-05-08 東芝メモリ株式会社 半導体装置、及び、半導体装置の製造方法
JP6531603B2 (ja) * 2015-10-01 2019-06-19 富士通株式会社 電子部品、電子装置及び電子装置の製造方法
US9994741B2 (en) 2015-12-13 2018-06-12 International Business Machines Corporation Enhanced adhesive materials and processes for 3D applications
US10345620B2 (en) 2016-02-18 2019-07-09 Johnson & Johnson Vision Care, Inc. Methods and apparatus to form biocompatible energization elements incorporating fuel cells for biomedical devices
US10510721B2 (en) 2017-08-11 2019-12-17 Advanced Micro Devices, Inc. Molded chip combination
US10593628B2 (en) 2018-04-24 2020-03-17 Advanced Micro Devices, Inc. Molded die last chip combination
US10672712B2 (en) 2018-07-30 2020-06-02 Advanced Micro Devices, Inc. Multi-RDL structure packages and methods of fabricating the same
KR20200136580A (ko) 2019-05-28 2020-12-08 삼성전자주식회사 반도체 패키지
US10923430B2 (en) 2019-06-30 2021-02-16 Advanced Micro Devices, Inc. High density cross link die with polymer routing layer
CN110648963A (zh) * 2019-09-29 2020-01-03 华进半导体封装先导技术研发中心有限公司 一种硅通孔互连结构的制备方法
US10896848B1 (en) * 2019-10-15 2021-01-19 Nanya Technology Corporation Method of manufacturing a semiconductor device
CN111081666A (zh) * 2019-12-12 2020-04-28 联合微电子中心有限责任公司 一种减小热应力的tsv结构及其形成方法
CN113410129B (zh) * 2021-08-19 2021-11-23 康希通信科技(上海)有限公司 半导体结构的制备方法及半导体结构
CN113793838B (zh) * 2021-11-15 2022-02-25 深圳市时代速信科技有限公司 半导体器件及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1115495A (zh) * 1993-08-18 1996-01-24 哈里斯公司 利用沟槽平面化方法在绝缘体上键合亚微米硅
CN1185033A (zh) * 1996-12-10 1998-06-17 联华电子股份有限公司 导电插塞的制造方法
CN1202794A (zh) * 1997-06-03 1998-12-23 国际商业机器公司 具有一级和二级通孔的电路板
CN1248882A (zh) * 1998-09-18 2000-03-29 日本电气株式会社 用于半导体芯片组件的多层电路板及其制造方法
US6110806A (en) * 1999-03-26 2000-08-29 International Business Machines Corporation Process for precision alignment of chips for mounting on a substrate

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900008647B1 (ko) * 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
JPH01140652A (ja) 1987-11-26 1989-06-01 Sharp Corp 立体型半導体装置
US4978639A (en) 1989-01-10 1990-12-18 Avantek, Inc. Method for the simultaneous formation of via-holes and wraparound plating on semiconductor chips
US5468681A (en) * 1989-08-28 1995-11-21 Lsi Logic Corporation Process for interconnecting conductive substrates using an interposer having conductive plastic filled vias
US5489804A (en) * 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5166097A (en) 1990-11-26 1992-11-24 The Boeing Company Silicon wafers containing conductive feedthroughs
US5229647A (en) 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5270261A (en) 1991-09-13 1993-12-14 International Business Machines Corporation Three dimensional multichip package methods of fabrication
US5404044A (en) * 1992-09-29 1995-04-04 International Business Machines Corporation Parallel process interposer (PPI)
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5495397A (en) 1993-04-27 1996-02-27 International Business Machines Corporation Three dimensional package and architecture for high performance computer
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5536675A (en) 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication
US5424245A (en) 1994-01-04 1995-06-13 Motorola, Inc. Method of forming vias through two-sided substrate
US6222212B1 (en) 1994-01-27 2001-04-24 Integrated Device Technology, Inc. Semiconductor device having programmable interconnect layers
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5530288A (en) * 1994-10-12 1996-06-25 International Business Machines Corporation Passive interposer including at least one passive electronic component
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5682062A (en) * 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5814889A (en) 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US5818748A (en) 1995-11-21 1998-10-06 International Business Machines Corporation Chip function separation onto separate stacked chips
US6013948A (en) * 1995-11-27 2000-01-11 Micron Technology, Inc. Stackable chip scale semiconductor package with mating contacts on opposed surfaces
US6002177A (en) * 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
WO1997038444A1 (en) * 1996-04-08 1997-10-16 Hitachi, Ltd. Semiconductor integrated circuit device
US5747358A (en) 1996-05-29 1998-05-05 W. L. Gore & Associates, Inc. Method of forming raised metallic contacts on electrical circuits
JPH1084014A (ja) * 1996-07-19 1998-03-31 Shinko Electric Ind Co Ltd 半導体装置の製造方法
US5748452A (en) 1996-07-23 1998-05-05 International Business Machines Corporation Multi-electronic device package
EP2270846A3 (en) * 1996-10-29 2011-12-21 ALLVIA, Inc. Integrated circuits and methods for their fabrication
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
JP4011695B2 (ja) 1996-12-02 2007-11-21 株式会社東芝 マルチチップ半導体装置用チップおよびその形成方法
KR100214562B1 (ko) 1997-03-24 1999-08-02 구본준 적층 반도체 칩 패키지 및 그 제조 방법
US6365975B1 (en) * 1997-04-02 2002-04-02 Tessera, Inc. Chip with internal signal routing in external element
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US6010960A (en) * 1997-10-29 2000-01-04 Advanced Micro Devices, Inc. Method and system for providing an interconnect having reduced failure rates due to voids
KR100253352B1 (ko) 1997-11-19 2000-04-15 김영환 적층가능한 반도체 칩 및 적층된 반도체 칩 모듈의 제조 방법
EP0926726A1 (en) * 1997-12-16 1999-06-30 STMicroelectronics S.r.l. Fabrication process and electronic device having front-back through contacts for bonding onto boards
US6222276B1 (en) * 1998-04-07 2001-04-24 International Business Machines Corporation Through-chip conductors for low inductance chip-to-chip integration and off-chip connections
US6583058B1 (en) * 1998-06-05 2003-06-24 Texas Instruments Incorporated Solid hermetic via and bump fabrication
JP3563604B2 (ja) 1998-07-29 2004-09-08 株式会社東芝 マルチチップ半導体装置及びメモリカード
US6424034B1 (en) * 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
US6069407A (en) * 1998-11-18 2000-05-30 Vlsi Technology, Inc. BGA package using PCB and tape in a die-up configuration
SG93192A1 (en) * 1999-01-28 2002-12-17 United Microelectronics Corp Face-to-face multi chip package
JP4547728B2 (ja) * 1999-03-29 2010-09-22 ソニー株式会社 半導体装置及びその製造方法
US6093969A (en) 1999-05-15 2000-07-25 Lin; Paul T. Face-to-face (FTF) stacked assembly of substrate-on-bare-chip (SOBC) modules
US6376769B1 (en) * 1999-05-18 2002-04-23 Amerasia International Technology, Inc. High-density electronic package, and method for making same
EP1171912B1 (de) * 1999-05-27 2003-09-24 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur vertikalen integration von elektrischen bauelementen mittels rückseitenkontaktierung
US6181569B1 (en) 1999-06-07 2001-01-30 Kishore K. Chakravorty Low cost chip size package and method of fabricating the same
KR100298827B1 (ko) * 1999-07-09 2001-11-01 윤종용 재배선 기판을 사용한 웨이퍼 레벨 칩 스케일 패키지 제조방법
US6090633A (en) * 1999-09-22 2000-07-18 International Business Machines Corporation Multiple-plane pair thin-film structure and process of manufacture
US6511901B1 (en) * 1999-11-05 2003-01-28 Atmel Corporation Metal redistribution layer having solderable pads and wire bondable pads
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
JP4123682B2 (ja) 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
JP3879816B2 (ja) * 2000-06-02 2007-02-14 セイコーエプソン株式会社 半導体装置及びその製造方法、積層型半導体装置、回路基板並びに電子機器
JP3951091B2 (ja) * 2000-08-04 2007-08-01 セイコーエプソン株式会社 半導体装置の製造方法
US6577013B1 (en) * 2000-09-05 2003-06-10 Amkor Technology, Inc. Chip size semiconductor packages with stacked dies
US6444560B1 (en) * 2000-09-26 2002-09-03 International Business Machines Corporation Process for making fine pitch connections between devices and structure made by the process
US6524948B2 (en) * 2000-10-13 2003-02-25 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7061116B2 (en) * 2001-09-26 2006-06-13 Intel Corporation Arrangement of vias in a substrate to support a ball grid array

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1115495A (zh) * 1993-08-18 1996-01-24 哈里斯公司 利用沟槽平面化方法在绝缘体上键合亚微米硅
CN1185033A (zh) * 1996-12-10 1998-06-17 联华电子股份有限公司 导电插塞的制造方法
CN1202794A (zh) * 1997-06-03 1998-12-23 国际商业机器公司 具有一级和二级通孔的电路板
CN1248882A (zh) * 1998-09-18 2000-03-29 日本电气株式会社 用于半导体芯片组件的多层电路板及其制造方法
US6110806A (en) * 1999-03-26 2000-08-29 International Business Machines Corporation Process for precision alignment of chips for mounting on a substrate

Also Published As

Publication number Publication date
US6599778B2 (en) 2003-07-29
JP2005514767A (ja) 2005-05-19
EP1470583A1 (en) 2004-10-27
EP1470583A4 (en) 2005-08-03
US7388277B2 (en) 2008-06-17
US6856025B2 (en) 2005-02-15
JP4366510B2 (ja) 2009-11-18
AU2002352993A1 (en) 2003-07-09
US7564118B2 (en) 2009-07-21
US20050121711A1 (en) 2005-06-09
WO2003054956A1 (en) 2003-07-03
KR100527232B1 (ko) 2005-11-08
US20080230891A1 (en) 2008-09-25
US20030111733A1 (en) 2003-06-19
KR20040060916A (ko) 2004-07-06
US20030215984A1 (en) 2003-11-20
CN1592965A (zh) 2005-03-09

Similar Documents

Publication Publication Date Title
CN1300840C (zh) 利用垂直连接的芯片和晶片集成工艺
US7939369B2 (en) 3D integration structure and method using bonded metal planes
US6548391B1 (en) Method of vertically integrating electric components by means of back contacting
US5380681A (en) Three-dimensional multichip package and methods of fabricating
US9257415B2 (en) Stacked microelectronic packages having sidewall conductors and methods for the fabrication thereof
JP3544974B2 (ja) 一体化積層体
JP3245006B2 (ja) モノリシック電子モジュールの製造方法とその製造を容易にするためのワークピース
KR101171526B1 (ko) 캐리어 웨이퍼 수정을 통한 tsv 후면 상호연결부 형성의 개선
US20020163072A1 (en) Method for bonding wafers to produce stacked integrated circuits
US5196377A (en) Method of fabricating silicon-based carriers
CN108428679B (zh) 具有热导柱的集成电路封装
CN1846302A (zh) 集成电子芯片和互连器件及其制造方法
WO1996002071A1 (en) Packaged integrated circuit
KR20180136994A (ko) 3d 집적 장치에서 상호 접속을 위한 배리어층
KR20080008208A (ko) 반도체 패키지용의 개선된 상호접속 구조
US20220375840A1 (en) Manufacture of electronic chips
US8008134B2 (en) Large substrate structural vias
US20240096771A1 (en) Wafer based molded flip chip routable ic package
EP4154310A1 (en) Semiconductor die assembly and method of stacking semiconductor components

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171201

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

Effective date of registration: 20171201

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20070214

CX01 Expiry of patent term