CN110129764A - 用于沉积膜的衬底处理系统 - Google Patents

用于沉积膜的衬底处理系统 Download PDF

Info

Publication number
CN110129764A
CN110129764A CN201910128259.7A CN201910128259A CN110129764A CN 110129764 A CN110129764 A CN 110129764A CN 201910128259 A CN201910128259 A CN 201910128259A CN 110129764 A CN110129764 A CN 110129764A
Authority
CN
China
Prior art keywords
inhibitor
plasma
substrate
feature
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910128259.7A
Other languages
English (en)
Other versions
CN110129764B (zh
Inventor
唐伟
巴特·范·斯查文迪克
钱俊
康胡
阿德里安·拉瓦伊
迪纳什·帕迪
大卫·C·史密斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110129764A publication Critical patent/CN110129764A/zh
Application granted granted Critical
Publication of CN110129764B publication Critical patent/CN110129764B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及用于无缝特征填充的抑制剂等离子体介导的原子层沉积。用于在衬底处理系统中沉积膜的系统和方法包括在处理室中执行第一原子层沉积(ALD)周期以在包括特征的衬底上沉积膜;在第一ALD周期之后,在处理室中将衬底暴露于抑制剂等离子体达到预定时间段以在特征中生成变化的钝化表面;以及在所述预定时间段之后,在处理室中执行第二ALD周期以在衬底上沉积膜。

Description

用于沉积膜的衬底处理系统
本申请是申请号为201510088812.0、申请日为2015年2月26日、发明名称为“用于无缝特征填充的抑制剂等离子体介导的原子层沉积”的申请的分案申请。
相关申请交叉参考
本申请要求于2014年2月26日提交的美国临时申请第61/944,871号的权益。上述所参考申请的全部公开内容通过参考并入此处。
技术领域
本公开涉及衬底处理系统,且更具体地涉及用于无缝特征填充的衬底处理系统和方法。
背景技术
这里提供的背景描述是为了总体上描述本公开的背景的目的。在该背景技术部分中所描述的当前列明的发明人的工作以及说明书中的在提交时不能作为现有技术的方面既不明示也不暗示地承认其作为针对本公开的现有技术。
浅沟槽隔离(STI)提供集成电路(IC)中个体晶体管器件之间的电气隔离。STI包括填充有高品质的硅(Si)氧化物膜的沟槽。在一些应用中,沟槽的深宽比(AR)可高达8:1且沟槽的开口可缩小至约20nm。实现无空隙STI填充是重要的,因为膜在后续集成步骤中可能会经受进一步的处理,这可暴露该空隙。在一些实例中,该空隙可能无意中接着被导电材料填充,这可导致芯片上不同导体之间的短路。
在一些应用中,利用高密度等离子体化学气相沉积(HDPCVD)以膜填充STI。但是,对于AR高于4:1的沟槽,HDPCVD氧化物填充STI却无空隙是非常具有挑战性的,即使利用沉积-蚀刻-沉积循环工艺。
现在参考图1A-1D,利用HDPCVD的间隙填充实例被示出。在图1A中,衬底100包括具有侧壁104和底部106的沟槽102。在图1B中,氧化层112(比如SiO2)被沉积。氧化层112通常不具有均匀的厚度。在沟槽开口中通常有尖头114。氧化层112通常在侧壁104的下部120处薄于其它位置(比如沟槽底部122和场区)。在图1C和1D的附加HDPCVD步骤之后,尖头114在沟槽开口处相遇而空隙130产生。空隙130在后续处理过程中引发问题。
虽然新兴的可流动氧化物方法提供了液状物填充表现,但对流动性的要求限制了可达到的膜密度。尽管付出额外成本可使用后沉积致密化方法,但这些方法还未被证明是成功的。由于周围侧壁的约束(这阻止了使可流动氧化物膜完全致密化所需的收缩),所述替代方法不能使高深宽比结构中的膜致密化。
ALD氧化物可被用于以高品质的Si氧化物膜间隙填充深沟槽。但是,在沉积在侧壁上的膜合并之后,在沟槽的中央通常留下接缝。现在参考图2A-2D,利用ALD的间隙填充实例被示出。在图2A中,衬底200包括具有侧壁204和底部206的沟槽202。在图2B中,氧化层212(比如SiO2)在第一ALD周期中被沉积。由图可见,氧化层212在侧壁上在220处以及在沟槽底部222处是共形的。但是,在图2C和2D的附加ALD周期之后,接缝230产生。接缝230在后续湿法化学处理过程中往往具有高蚀刻速率。
发明内容
本部分提供本公开的总体概要,并非其完整范围或其全部特征的全面披露。
一种用于在衬底处理系统中沉积膜的方法包括在处理室中执行第一原子层沉积(ALD)周期以在包括特征的衬底上沉积膜;在所述第一ALD周期之后,在所述处理室中将所述衬底暴露于抑制剂等离子体达到预定时间段以在特征中生成变化的钝化表面;以及在所述预定时间段之后,在所述处理室中执行第二ALD周期以在所述衬底上沉积膜。
在其它特征中,在执行所述第一ALD周期之前,该方法包括在所述处理室中将所述衬底暴露于所述抑制剂等离子体。所述第一ALD周期和所述第二ALD周期包括在第一预定时间段中将所述衬底暴露于第一反应物;在所述第一预定时间段之后从所述处理室排出反应物;在第二预定时间段中将所述衬底暴露于不同于所述第一反应物的第二反应物;以及在所述第二预定时间段之后从所述处理室排出反应物。
在其它特征中,所述膜包括二氧化硅。将所述衬底暴露于所述抑制剂等离子体包括供应抑制剂气体;激发所述抑制剂等离子体;将所述抑制剂等离子体保持所述预定时间段;以及在所述预定时间段之后,熄灭所述抑制剂等离子体。
在其它特征中,所述抑制剂等离子体随着所述特征的深度减小以渐增方式抑制所述特征中的后续的膜沉积。所述抑制剂等离子体使用分子氮作为抑制剂气体而生成。
在其它特征中,所述特征中的所述变化的钝化表面改善所述特征的自下而上的填充以防止所述特征中的接缝。该方法进一步包括在所述第二ALD周期之后将所述衬底暴露于所述抑制剂等离子体并执行附加ALD周期以自下而上填充所述特征却没有接缝。所述抑制剂等离子体使用选自由分子氮、氩、氦、分子氢、氨或其组合物组成的群组的气体生成。所述特征具有大于4:1的深宽比和小于或等于30纳米的开口。
在其它特征中,所述抑制剂等离子体利用第一等离子体源来产生且所述第一ALD周期利用所述第一等离子体源来执行。所述抑制剂等离子体利用第一等离子体源来产生且所述第一ALD周期利用不同于所述第一等离子体源的第二等离子体源来执行。所述抑制剂等离子体利用第一等离子体源来产生且所述第一ALD周期和所述第二ALD周期在不使用等离子体的情况下执行。
在其它特征中,该方法包括在所述第一ALD周期之前执行一或多个ALD周期,其间不使用抑制剂等离子体。该方法包括使用不同于所述第一ALD周期和所述第二ALD周期的工艺来在执行所述第一ALD周期和所述第二ALD周期之后以材料填充所述特征的至少一部分。所述第一ALD周期和所述第二ALD周期沉积介电膜。所述材料包括不同于所述介电膜的其它介电膜。所述材料包括金属。所述特征包括沟槽。所述特征包括孔。
一种用于沉积膜的衬底处理系统包括处理室,所述处理室包括被配置为支撑包括特征的衬底的基架。气体供应源被配置为选择性地供应原子层沉积(ALD)工艺气体给所述处理室以及选择性地供应抑制剂气体给所述处理室。等离子体发生器被配置为在所述处理室中选择性地产生抑制剂等离子体。控制器被配置为控制所述气体供应源和所述等离子体发生器。所述控制器被进一步配置为在所述处理室中执行第一ALD周期以在所述衬底上沉积膜;在所述第一ALD周期之后,在所述处理室中将所述衬底暴露于利用所述抑制剂气体产生的所述抑制剂等离子体达到预定时间段以在所述特征中生成变化的钝化表面;以及在所述预定时间段之后,在所述处理室中执行第二ALD周期以在所述衬底上沉积膜。
在其它特征中,所述控制器被配置为在执行所述第一ALD周期之前将所述衬底暴露于所述抑制剂等离子体。在所述第一ALD周期和所述第二ALD周期中,所述控制器被配置为将所述衬底暴露于第一反应物达到第一预定时间段;在所述第一预定时间段之后从所述处理室排出反应物;将所述衬底暴露于不同于所述第一反应物的第二反应物达到第二预定时间段;以及在所述第二预定时间段之后从所述处理室排出反应物。
在其它特征中,所述膜包括二氧化硅。当将所述衬底暴露于所述抑制剂等离子体时,所述控制器被配置为供应抑制剂气体;激发所述抑制剂等离子体;将所述抑制剂等离子体保持所述预定时间段;以及在所述预定时间段之后,熄灭所述抑制剂等离子体。
在其它特征中,所述抑制剂等离子体随着所述特征的深度减小以渐增方式抑制所述特征中的后续的膜沉积。所述抑制剂等离子体使用分子氮作为所述抑制气体而生成。所述特征中的所述变化的钝化表面改善所述特征的自下而上的填充以防止所述特征中的接缝。所述控制器被进一步配置为在所述第二ALD周期之后将所述衬底暴露于所述抑制剂等离子体并执行附加ALD周期以自下而上填充所述特征却没有接缝。
在其它特征中,所述抑制剂气体选自由分子氢、氨或其组合物组成的群组。所述特征具有大于4:1的深宽比和小于或等于30纳米的开口。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于沉积膜的衬底处理系统,包括:
处理室,所述处理室包括基架,所述基架被配置为支撑包括特征的衬底;
气体源,所述气体源被配置为选择性地将原子层沉积工艺气体供应到所述处理室并选择性地将抑制剂气体供应到所述处理室;
等离子体发生器,所述等离子体发生器被配置为在所述处理室中选择性地产生抑制剂等离子体;和
控制器,所述控制器被配置为控制所述气体源和所述等离子体发生器的输出,其中,所述控制器还被配置为:
在所述处理室中执行第一原子层沉积周期,以在所述衬底上沉积膜;
在所述第一原子层沉积周期之后,在所述处理室中将所述衬底暴露于利用所述抑制剂气体产生的所述抑制剂等离子体以在所述特征中生成变化的钝化表面;和
在所述特征中生成所述变化的钝化表面之后,在所述处理室中执行第二原子层沉积周期以在所述衬底上沉积膜。
2.根据条款1所述的衬底处理系统,其中,所述控制器被配置为在执行所述第一原子层沉积周期之前将所述衬底暴露于所述抑制剂等离子体。
3.根据条款1所述的衬底处理系统,其中,在所述第一原子层沉积周期和所述第二原子层沉积周期中,所述控制器被配置为:
将所述衬底暴露于第一反应物;
在将所述衬底暴露于所述第一反应物之后,从所述处理室排出反应物;
将所述衬底暴露于不同于所述第一反应物的第二反应物;以及在将所述衬底暴露于所述第二反应物之后,从所述处理室排出反应物。
4.根据条款1所述的衬底处理系统,其中,所述膜包括二氧化硅。
5.根据条款1所述的衬底处理系统,其中,当将所述衬底暴露于所述抑制剂等离子体时,所述控制器被配置成:
供应抑制剂气体;
在执行所述第一原子层沉积周期之前,激发所述抑制剂等离子体;在执行所述第二原子层沉积周期的同时,将所述抑制剂等离子体保持在所述处理室中;以及
在所述第二原子层沉积周期期间将所述膜沉积在所述衬底上之后,熄灭所述抑制剂等离子体。
6.根据条款1所述的衬底处理系统,其中,所述抑制剂等离子体随着所述特征的深度减小以渐增方式抑制所述特征中的后续的膜沉积。
7.根据条款1所述的衬底处理系统,其中,所述抑制剂等离子体使用分子氮作为抑制剂气体而生成。
8.根据条款1所述的衬底处理系统,其中,所述控制器还被配置成在所述第二原子层沉积周期之后将所述衬底暴露于所述抑制剂等离子体并执行附加原子层沉积周期以自下而上填充所述特征却没有接缝。
9.根据条款1所述的衬底处理系统,其中,所述抑制剂气体选自由分子氢、氦、氩、氨或其组合物组成的组。
10.根据条款1所述的衬底处理系统,其中,所述特征具有大于4:1的深宽比和小于或等于30纳米的开口。
11.根据条款1所述的衬底处理系统,其中,所述抑制剂等离子体利用第一等离子体源来产生且所述第一原子层沉积周期利用所述第一等离子体源来执行。
12.根据条款1所述的衬底处理系统,其中,所述抑制剂等离子体利用第一等离子体源来产生且所述第一原子层沉积周期利用不同于所述第一等离子体源的第二等离子体源来执行。
13.根据条款1所述的衬底处理系统,其中,所述抑制剂等离子体利用第一等离子体源来产生且所述第一原子层沉积周期和所述第二原子层沉积周期在不使用等离子体的情况下执行。
14.根据条款1所述的衬底处理系统,还包括在所述第一原子层沉积周期之前执行一个或多个原子层沉积周期,而不在其间使用抑制剂等离子体。
15.根据条款1所述的衬底处理系统,还包括使用不同于所述第一原子层沉积周期和所述第二原子层沉积周期的工艺来在执行所述第一原子层沉积周期和所述第二原子层沉积周期之后以材料填充所述特征的至少一部分。
16.根据条款15所述的衬底处理系统,其中:
所述第一原子层沉积周期和所述第二原子层沉积周期沉积介电膜;且
所述材料包括不同于所述介电膜的其他介电膜。
17.根据条款15所述的衬底处理系统,其中,所述材料包括金属。
18.根据条款1所述的衬底处理系统,其中,所述特征包括沟槽。
19.根据条款1所述的衬底处理系统,其中,所述特征包括孔。
通过此处所提供的描述,进一步的应用领域会变得显而易见。本概要中的描述和具体实施例仅仅旨在说明的目的而非旨在限制本公开的范围。
附图说明
图1A-1D示出了根据现有技术使用HDPCVD的沟槽间隙填充的一实施例;
图2A-2D示出了根据现有技术使用ALD的沟槽间隙填充的一实施例;
图3是根据本公开的用于沟槽间隙填充的衬底处理系统的一实施例的功能框图。
图4示出了利用抑制剂等离子体对衬底进行的定向轰击;
图5A-5D示出了根据本公开使用ALD和抑制剂等离子体的沟槽间隙填充的一实施例;以及
图6和7示出了使用ALD和抑制剂等离子体进行沟槽间隙填充的方法的实施例。
在附图中,参考标号可重复使用以标识相似和/或相同的元件。
具体实施方式
本公开描述了用于填充衬底上的特征的系统和方法。在一些实施例中,所述特征形成于衬底的场区下面。在一些实施例中,使用原子层沉积(ALD)工艺消除在间隙填充期间形成在孔或沟槽(例如浅沟槽绝缘体(STI))中的接缝。尽管STI应用已被公开,但本发明适用于需要特征填充的其它应用,例如但不限于,预金属电介质、3D NAND、深沟槽等。本文所述的系统和方法在ALD工艺期间,通过在于特征填充过程中执行的至少一些ALD周期之间将衬底暴露于抑制剂等离子体,增强自下而上的特征填充。
在一些实施例中,特征基本上通过ALD周期填充。在其他实施例中,特征不是完全通过ALD周期填充,并且其它材料被用于填充特征。其它材料的实施例包括具有不同成分的金属或电介质。
抑制剂等离子体创建钝化表面,并增加所沉积的ALD膜的成核屏障。当抑制剂等离子体与特征中的材料相互作用时,由于几何遮蔽效应,因此,相比位置更接近特征或场的顶部的材料,在特征底部的材料接受少得多的等离子体处理。其结果是,在特征顶部的沉积被选择性地抑制,而在特征底部的沉积在进行中受到较少的抑制或没有受到抑制。其结果是,自下而上的填充被增强,从而创建了减轻接缝效果的较有利的倾斜轮廓。
在一些实施例中,分子氮(N2)可以用作抑制剂等离子体的源气体,尤其是当沉积膜为SiO2时。如可以理解的,ALD的SiO2在氮化物表面上以较慢的速率成核。在其它实施例中,所述抑制剂等离子体源气体选自由N2、氩(Ar)、氦(He)、分子氢(H2)、氨(NH3),或它们的组合物组成的群组。
本文所描述的系统和方法使得ALD的Si氧化物处理可以在STI或其它应用中使用。由于共形沉积的性质,因此相比HDPCVD氧化物,利用N2抑制剂等离子体的ALD氧化物处理能够填充难处理得多的结构,例如具有更高的AR或更窄的特征尺寸的那些结构。在一些实施例中,AR大于或等于4:1、6:1或8:1。在一些实施例中,特征开口小于或等于30纳米、25纳米或20纳米。就湿法蚀刻耐性和破损行为而言,相比可流动氧化物处理,利用抑制剂等离子体的ALD氧化物处理提供了改善的膜质量。
现在参考图3,示出用于执行ALD沉积的系统300的一实施例。该系统300包括处理室324,处理室324包围系统300的其他部件,并包含RF等离子体。RF等离子体可通过电容式等离子体发生器产生。例如,喷头314可连接到RF导线,而加热器块320可以接地,反之亦然。替代地,也可以使用其它类型的等离子体发生器,如感应式等离子体发生器,或远程等离子体发生器,如等离子体管。在这个实施例中,高频RF发生器302和低频RF发生器304被连接到匹配网络306并被连接到喷头314。通过匹配网络306供给的功率和频率足以从工艺气体产生RF等离子体。
基架318支撑衬底316。基架318一般包括卡盘、叉、或升降销以在沉积和/或等离子体处理反应期间以及在沉积和/或等离子体处理反应之间保持并传送衬底。卡盘可以是静电卡盘、机械卡盘或其它类型的卡盘。工艺气体经由入口312引入。多个源气体管线310连接到歧管308。气体可以预先混合或可以不预先混合。适当的阀和质量流量控制机构被用来确保在工艺的沉积和等离子体处理阶段期间输送适当的气体。
工艺气体经由出口322排出室324。真空泵326将工艺气体抽出并通过诸如节流阀或摆阀之类的流量限制装置保持系统内的合适的低压。控制器340可以被提供来监控工艺参数并对气体混合物、等离子体、基架加热、卡盘和/或从处理室去除反应物进行控制。
在一些实施例中,ALD周期可包括等离子体增强ALD(PEALD)。如果使用PEALD,用于ALD周期和抑制等离子体的等离子体源可以是相同的等离子体源。在其它实施例中,可使用不同的等离子体源。对于抑制剂等离子体,具有一定的方向性会是理想的,而对于沉积源,具有很少或没有方向性会是理想的。换言之,可以有2种等离子体源,或1种共用的等离子体源,或仅用于抑制剂等离子体的1种等离子体源。
现在参考图4,在启动ALD之前和/或在至少两个连续的ALD周期之间,根据本公开的系统和方法使衬底400暴露于抑制剂等离子体。抑制剂等离子体增强自下而上的间隙填充并改善高湿法蚀刻率耐性。抑制剂等离子体创建钝化表面,并增加所沉积的ALD膜的成核屏障。当抑制剂等离子体与特征402中的材料相互作用时,由于几何遮蔽效应,因此,相比位置更接近特征或场的顶部的材料,在特征402的底部406的材料接受少得多的等离子体处理。其结果是,在特征顶部的沉积被选择性地抑制,而在特征底部的沉积在进行中受到较少的抑制(例如,沿着侧壁404)或没有受到抑制(例如,在底部406)。其结果是,自下而上的填充被增强,从而创建了减轻接缝效果的较有利的倾斜轮廓。
如可从图4看出的,由抑制剂等离子体生成的钝化表面在场和上部特征区域中被增强,并随着进入特征的距离增加而减弱。如可以理解的,在每个后续的ALD周期之后,类似的图案在所沉积的层上通过抑制剂等离子体创建。以这种方式,在特征顶部的沉积被选择性地抑制,而在特征其它部分的沉积在进行中受到较少的抑制或没有受到抑制。其结果是,自下而上的填充被增强,从而创建了减轻接缝效果的较有利的倾斜轮廓。
现在参考图5A-5D,示出了根据本公开的通过使用ALD、利用抑制剂等离子体进行间隙填充的一实施例。在图5A中,衬底500包括具有侧壁504和底部506的特征502。衬底500可在第一ALD周期前用抑制剂等离子体进行处理。图5B示出了氧化物层512,如通过第一ALD周期沉积的SiO2。更多的膜沉积在特征底部522和侧壁504的下部520。氧化物层512在诸如场之类的区域中具有减小的厚度,并且具有随着特征502的深度增加而增大的厚度。在图5C和5D所示出的附加ALD周期之后,衬底500的间隙填充在无接缝的情况下完成。
现在参考图6,示出了使用抑制剂处理的ALD方法600的一实施例。在608,在第一ALD周期之前,将衬底任选地暴露于抑制剂等离子体,或者在不含抑制剂等离子体的情况下,执行一个或多个ALD周期。在610,执行ALD周期。在一些实施例中,每个ALD周期可包括暴露于第一反应物持续第一预定时间段并在第一预定时间段之后通过吹扫或抽排去除反应物、暴露于第二反应物持续第二预定时间段并在第二预定时间段之后通过吹扫或抽排去除反应物。如果前述ALD周期在614完成,那么,接着在618将衬底暴露于抑制剂等离子体。在622,任选地通过吹扫或抽排从处理室去除反应物。在626,如果有剩余的至少两个ALD周期,则在610重复该过程。否则,该过程继续进行到630,并完成最后的ALD周期。在632,可任选执行无抑制剂等离子体的附加ALD周期以完全或部分地填充特征和/或可用不同的材料填充特征的至少一部分。
现在参考图7,示出了用于供应抑制剂等离子体的方法650的一实施例。在654中,抑制剂气体被供应到处理室中。在658,在室内激发等离子体。在660,当定时器已到时,在664,控制熄灭等离子体。正如可以理解的,等离子体可以以不同的方式产生。如果使用PEALD,则类似的方法用于激发等离子体,但在654,是供给等离子体工艺气体,而不是抑制剂气体。
前面的描述在本质上仅仅是说明性的并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以多种形式来实现。因此,虽然本公开包括特定实施例,但本公开的真实范围不应受限于此,因为在研究附图、说明书和随附权利要求书后,其它的修改方案将变得显而易见。如本文所用,短语“A、B和C中的至少一个”应该被理解为是指使用非排他性逻辑“或”(OR)的逻辑(A或B或C)。应当理解的是,方法中的一个或多个步骤可以以不同的顺序(或同时)执行,而不改变本公开的原理。
在本申请中,包括下面的定义,术语控制器可以被替换为术语电路。术语控制器可以指以下器件、以下器件的一部分、或包括以下器件:专用集成电路(ASIC);数字、模拟或混合模拟/数字分立电路;数字、模拟或混合模拟/数字集成电路;组合逻辑电路;现场可编程门阵列(FPGA);执行代码的处理器(共享的、专用的或群组的);存储由处理器执行的代码的存储器(共享的、专用的或群组的);提供所描述的功能的其它合适的硬件组件;或上述器件的部分或全部的组合,如在片上系统(system-on-chip)。
如上述使用的术语代码可以包括软件、固件和/或微代码,并且可以指程序、例程、函数、类和/或对象。术语共享处理器包括执行来自多个控制器的部分或全部代码的单个处理器。术语群组处理器包括与另外的处理器组合来执行来自一个或多个控制器的一些或全部代码的处理器。术语共享存储器包括存储来自多个控制器的部分或全部代码的单个存储器。术语群组存储器包括与另外的存储器组合来存储来自一个或多个控制器的一些或全部代码的存储器。术语存储器可以是术语计算机可读介质的子集。如本文所使用的术语计算机可读介质不包括通过介质(例如在载波上)传播的暂时性的电信号或电磁信号;因此术语计算机可读介质可以被认为是有形的和非暂时性的。非暂时性的有形计算机可读介质的非限制性实施例包括非易失性存储器(如闪存)、易失性存储器(例如静态随机存取存储器和动态随机存取存储器)、磁存储器(例如磁带或硬盘驱动器)和光学存储器。
本申请中描述的装置和方法可以部分或完全通过由一个或多个处理器执行的一个或多个计算机程序来实现。这些计算机程序包括存储在至少一个非暂时性的有形计算机可读介质上的处理器可执行指令。这些计算机程序也可以包括和/或依赖于所存储的数据。

Claims (10)

1.一种用于沉积膜的衬底处理系统,包括:
处理室,所述处理室包括基架,所述基架被配置为支撑包括特征的衬底;
气体源,所述气体源被配置为选择性地将原子层沉积工艺气体供应到所述处理室并选择性地将抑制剂气体供应到所述处理室;
等离子体发生器,所述等离子体发生器被配置为在所述处理室中选择性地产生抑制剂等离子体;和
控制器,所述控制器被配置为控制所述气体源和所述等离子体发生器的输出,其中,所述控制器还被配置为:
在所述处理室中执行第一原子层沉积周期,以在所述衬底上沉积膜;
在所述第一原子层沉积周期之后,在所述处理室中将所述衬底暴露于利用所述抑制剂气体产生的所述抑制剂等离子体以在所述特征中生成变化的钝化表面;和
在所述特征中生成所述变化的钝化表面之后,在所述处理室中执行第二原子层沉积周期以在所述衬底上沉积膜。
2.根据权利要求1所述的衬底处理系统,其中,所述控制器被配置为在执行所述第一原子层沉积周期之前将所述衬底暴露于所述抑制剂等离子体。
3.根据权利要求1所述的衬底处理系统,其中,在所述第一原子层沉积周期和所述第二原子层沉积周期中,所述控制器被配置为:
将所述衬底暴露于第一反应物;
在将所述衬底暴露于所述第一反应物之后,从所述处理室排出反应物;
将所述衬底暴露于不同于所述第一反应物的第二反应物;以及
在将所述衬底暴露于所述第二反应物之后,从所述处理室排出反应物。
4.根据权利要求1所述的衬底处理系统,其中,所述膜包括二氧化硅。
5.根据权利要求1所述的衬底处理系统,其中,当将所述衬底暴露于所述抑制剂等离子体时,所述控制器被配置成:
供应抑制剂气体;
在执行所述第一原子层沉积周期之前,激发所述抑制剂等离子体;
在执行所述第二原子层沉积周期的同时,将所述抑制剂等离子体保持在所述处理室中;以及
在所述第二原子层沉积周期期间将所述膜沉积在所述衬底上之后,熄灭所述抑制剂等离子体。
6.根据权利要求1所述的衬底处理系统,其中,所述抑制剂等离子体随着所述特征的深度减小以渐增方式抑制所述特征中的后续的膜沉积。
7.根据权利要求1所述的衬底处理系统,其中,所述抑制剂等离子体使用分子氮作为抑制剂气体而生成。
8.根据权利要求1所述的衬底处理系统,其中,所述控制器还被配置成在所述第二原子层沉积周期之后将所述衬底暴露于所述抑制剂等离子体并执行附加原子层沉积周期以自下而上填充所述特征却没有接缝。
9.根据权利要求1所述的衬底处理系统,其中,所述抑制剂气体选自由分子氢、氦、氩、氨或其组合物组成的组。
10.根据权利要求1所述的衬底处理系统,其中,所述特征具有大于4:1的深宽比和小于或等于30纳米的开口。
CN201910128259.7A 2014-02-26 2015-02-26 用于沉积膜的衬底处理系统 Active CN110129764B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461944871P 2014-02-26 2014-02-26
US61/944,871 2014-02-26
US14/630,852 US9425078B2 (en) 2014-02-26 2015-02-25 Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US14/630,852 2015-02-25
CN201510088812.0A CN104928654B (zh) 2014-02-26 2015-02-26 用于无缝特征填充的抑制剂等离子体介导的原子层沉积

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510088812.0A Division CN104928654B (zh) 2014-02-26 2015-02-26 用于无缝特征填充的抑制剂等离子体介导的原子层沉积

Publications (2)

Publication Number Publication Date
CN110129764A true CN110129764A (zh) 2019-08-16
CN110129764B CN110129764B (zh) 2021-12-14

Family

ID=53882921

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910128259.7A Active CN110129764B (zh) 2014-02-26 2015-02-26 用于沉积膜的衬底处理系统
CN201510088812.0A Active CN104928654B (zh) 2014-02-26 2015-02-26 用于无缝特征填充的抑制剂等离子体介导的原子层沉积

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510088812.0A Active CN104928654B (zh) 2014-02-26 2015-02-26 用于无缝特征填充的抑制剂等离子体介导的原子层沉积

Country Status (4)

Country Link
US (2) US9425078B2 (zh)
KR (2) KR102459788B1 (zh)
CN (2) CN110129764B (zh)
TW (1) TWI684671B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115595556A (zh) * 2021-06-28 2023-01-13 韩华株式会社(Kr) 对空隙或接缝的发生进行抑制的基板处理装置以及方法

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6436887B2 (ja) * 2015-09-30 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6800004B2 (ja) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 シリコン窒化膜の形成方法
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102092120B1 (ko) * 2016-04-19 2020-03-24 극동대학교 산학협력단 반도체 제조 장치
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6661487B2 (ja) * 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) * 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) * 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102112705B1 (ko) * 2016-12-09 2020-05-21 주식회사 원익아이피에스 박막 증착 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6832808B2 (ja) * 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN110544617B (zh) 2018-05-28 2021-11-02 联华电子股份有限公司 周边电路区内的氧化层的制作方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US20210398780A1 (en) * 2018-11-30 2021-12-23 Lam Research Corporation Method andd apparatus for atomic layer deposition or chemical vapor deposition
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) * 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
US20220181141A1 (en) * 2019-03-28 2022-06-09 Lam Research Corporation Etch stop layer
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN111799329A (zh) * 2019-04-08 2020-10-20 三星电子株式会社 半导体器件
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US20230154754A1 (en) * 2020-04-10 2023-05-18 Lam Research Corporation Loss prevention during atomic layer deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195609A (ja) * 2020-06-17 2021-12-27 東京エレクトロン株式会社 成膜方法及び成膜装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN115735261A (zh) * 2020-07-28 2023-03-03 朗姆研究公司 含硅膜中的杂质减量
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022142579A (ja) 2021-03-16 2022-09-30 キオクシア株式会社 半導体記憶装置及びその製造方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220389580A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Non-conformal plasma induced ald gapfill
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024006092A1 (en) * 2022-06-27 2024-01-04 Applied Materials, Inc. Method for formation of conformal alp sio2 films
WO2024102763A1 (en) * 2022-11-08 2024-05-16 Lam Research Corporation A robust icefill method to provide void free trench fill for logic and memory applications
WO2024107567A1 (en) * 2022-11-17 2024-05-23 Lam Research Corporation Nonconformal films deposited within a recess using atomic layer deposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013148444A1 (en) * 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6746930B2 (en) * 2001-07-11 2004-06-08 Micron Technology, Inc. Oxygen barrier for cell container process
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP4778660B2 (ja) * 2001-11-27 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE10234735A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum vertikalen Strukturieren von Substraten in der Halbleiterprozesstechnik mittels inkonformer Abscheidung
US8372757B2 (en) * 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7285312B2 (en) * 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US20060068603A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR101170597B1 (ko) * 2006-05-10 2012-08-02 주성엔지니어링(주) 진폭변조 알에프 전력을 이용한 갭필 방법 및 이를 위한갭필 장치
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
KR20080112790A (ko) * 2007-06-22 2008-12-26 삼성전자주식회사 반도체 소자의 박막 형성 방법
KR20090011765A (ko) * 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
US8017183B2 (en) * 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
KR101069630B1 (ko) * 2008-04-28 2011-10-05 성균관대학교산학협력단 흡착억제제를 이용한 반도체 소자의 금속배선 형성방법
US7611963B1 (en) * 2008-04-29 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a multi-layer shallow trench isolation structure in a semiconductor device
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
JP4886021B2 (ja) * 2008-12-16 2012-02-29 エルピーダメモリ株式会社 半導体装置及びその製造方法
US7998878B2 (en) * 2009-11-20 2011-08-16 Eastman Kodak Company Method for selective deposition and devices
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8043884B1 (en) * 2010-05-24 2011-10-25 Nanya Technology Corporation Methods of seamless gap filling
US9196473B2 (en) * 2010-12-27 2015-11-24 Hitachi Kokusai Electric Inc. Method of manufacturing an oxynitride film for a semiconductor device
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US20140065838A1 (en) * 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US9530674B2 (en) * 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013148444A1 (en) * 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115595556A (zh) * 2021-06-28 2023-01-13 韩华株式会社(Kr) 对空隙或接缝的发生进行抑制的基板处理装置以及方法

Also Published As

Publication number Publication date
CN104928654A (zh) 2015-09-23
KR20220149487A (ko) 2022-11-08
CN104928654B (zh) 2019-03-22
TW201546324A (zh) 2015-12-16
CN110129764B (zh) 2021-12-14
KR20150101431A (ko) 2015-09-03
KR102459788B1 (ko) 2022-10-26
KR102594209B1 (ko) 2023-10-25
US9966299B2 (en) 2018-05-08
US20160329238A1 (en) 2016-11-10
US20150243545A1 (en) 2015-08-27
TWI684671B (zh) 2020-02-11
US9425078B2 (en) 2016-08-23

Similar Documents

Publication Publication Date Title
CN104928654B (zh) 用于无缝特征填充的抑制剂等离子体介导的原子层沉积
TWI447784B (zh) 使用原子層沈積方法形成材料層之方法
CN108461374B (zh) 用于远程等离子体处理的室调节
TWI602283B (zh) 鎢特徵部塡充
TW201719756A (zh) 蝕刻製程中施加脈衝予射頻功率以提升鎢間隙填充功能
JP2020516060A (ja) 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス
JP2020529736A (ja) 水平表面上におけるSiNの選択的堆積
JP2016046532A (ja) コバルトの間隙をボイドなしで充填する方法及び装置
CN106489190B (zh) 在具有高纵横比的凹陷部上蒸镀绝缘膜的方法
JP2024045236A (ja) プラズマ処理装置および基板処理装置
CN107408493A (zh) 脉冲氮化物封装
CN112384643B (zh) 用于基于等离子体的沉积的表面改性的深度受控沉积
CN107424955B (zh) 用于钴的锰阻挡层和粘附层
JP7391830B2 (ja) Tcpエッチングチャンバ内での統合原子層パッシベーションおよびインサイチュエッチング-alp方法
JP2021077843A (ja) 基板処理方法および基板処理装置
TW201701349A (zh) 蝕刻方法(一)
TW201732892A (zh) 在氫電漿處理之表面上使用摻雜物氣體之保形摻雜
JP2021103727A (ja) 基板処理方法および基板処理装置
US11380555B2 (en) Etching method and etching apparatus
CN111244025A (zh) 填充方法
CN112385013A (zh) 碳间隙填充膜
TW201709282A (zh) 作為金屬擴散阻隔層之非晶碳的高選擇性沉積
JP2022539699A (ja) 選択的カーボン堆積
KR20240058202A (ko) 티탄 막을 형성하는 방법 및 티탄 막을 형성하는 장치
CN118176564A (zh) 等离子体增强的成膜方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant