CN107408493A - 脉冲氮化物封装 - Google Patents

脉冲氮化物封装 Download PDF

Info

Publication number
CN107408493A
CN107408493A CN201680016144.3A CN201680016144A CN107408493A CN 107408493 A CN107408493 A CN 107408493A CN 201680016144 A CN201680016144 A CN 201680016144A CN 107408493 A CN107408493 A CN 107408493A
Authority
CN
China
Prior art keywords
conformal
substrate
substrate processing
precursor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680016144.3A
Other languages
English (en)
Other versions
CN107408493B (zh
Inventor
P·J·赖利
D·A·贝思克
M·巴尔西努
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107408493A publication Critical patent/CN107408493A/zh
Application granted granted Critical
Publication of CN107408493B publication Critical patent/CN107408493B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本公开的多个方面涉及在图案化的基板上形成保形衬垫的方法,所述图案化的基板具有高的高宽纵横比的间隙。已发现根据本文所概述的实施例形成的层抑制跨保形衬垫的扩散和电泄漏。根据实施例,衬垫可包含氮并且被描述为氮化物层。在实施例中,保形衬垫可包含硅和氮并且可由硅和氮组成。本文所述的方法可包含将含硅前体和含氮前体引入基板处理区域中,并且同时将脉冲等离子体功率电容性地施加至基板处理区域以形成保形层。

Description

脉冲氮化物封装
相关申请案之交叉引用
本申请案请求2015年3月18日由Reilly等人提交的、题为“PULSED NITRIDEENCAPSULATION”(“脉冲氮化物封装”)的美国临时专利申请No.62/134,964的权益,所述专利申请全文出于所有目的以引用方式并入本文中。
技术领域
本申请的主题涉及在图案化的基板上形成保形层。
背景技术
增加集成电路的密度可增加速度并且实现新的应用。许多应用依赖于形成高宽纵横比随器件的密度增加而增加的间隙。间隙典型地填充有适用于特定应用的材料。间隙填充物可以是导体或电介质。在任一情形中,在沉积间隙填充材料之前,可能需要保形的衬垫。保形层意欲在器件制造期间或在器件的有效寿命期间避免跨保形衬垫的不期望的扩散或电泄漏。高的高宽纵横比的间隙使得难以施加保形衬垫。保形衬垫应当是均匀的,相对无缺陷的,并且相对于间隙宽度是薄的。
已用于在间隙填充应用中形成保形衬垫的技术是化学气相沉积(“CVD”)或等离子体增强型CVD(“PECVD”)技术。CVD和PECVD方法可开始形成非保形衬垫,并且与间隙内的向下深处相比可在间隙开口附近沉积更多材料。原子层沉积(ALD)可通过交替暴露至前体来重新获得期望的高保形性。然而,典型地用于ALD的沉积速率是低的并增加了处理时间。
需要用于形成非常薄的保形衬垫层以抑制扩散并抑制电泄漏同时维持成本有效的沉积速率的技术。
发明内容
本公开的多个方面涉及在图案化的基板上形成保形衬垫的方法,所述图案化的基板具有高的高宽纵横比的间隙。已发现根据本文所概述的实施例形成的层抑制跨保形衬垫的扩散和电泄漏。根据实施例,衬垫可包含氮并且被描述为氮化物层。在实施例中,保形衬垫可包含硅和氮并且可由硅和氮组成。本文所述的方法可包含将含硅前体和含氮前体引入基板处理区域中,并且同时将脉冲等离子体功率电容性地施加至基板处理区域以形成保形层。
本文所述的实施例包括在图案化的基板上形成保形氮化硅层的方法。所述方法包括:将图案化的基板放置在基板处理腔室的基板处理区域中。所述方法进一步包括:使含硅前体流入基板处理区域中。所述方法进一步包括:将含硅前体与含氮前体组合。所述方法进一步包括:通过将RF功率的方波施加至基板处理区域来形成脉冲等离子体。所述方法进一步包括:在脉冲等离子体中激发含硅前体与含氮前体的组合。所述方法进一步包括:形成保形氮化硅层。保形氮化硅层包括硅和氮两者。
将含硅前体和含氮前体组合可发生在基板处理区域中。将含硅前体和含氮前体组合可发生在基板处理区域之前,并且含硅前体与含氮前体的组合一起流入基板处理区域中。保形氮化硅层可由硅和氮组成。
本文所述的实施例包括在图案化的基板上的间隙中形成保形氮化物层的方法。所述方法包括:将图案化的基板放置在基板处理腔室的基板处理区域中。所述方法进一步包括:使前体流入基板处理区域中。所述方法进一步包括:将脉冲RF功率施加至基板处理区域以由前体形成脉冲等离子体。所述方法进一步包括:形成保形氮化物层。保形氮化物层包括氮。
脉冲RF功率的占空比可以在80%与99%之间。保形氮化物层可进一步包括硅、钛和钽中的至少一个。保形氮化物层可具有小于50%的氮原子百分数。保形氮化物层可以是氮化钽、氮化钛或氮化硅中的一个。脉冲RF功率可以是关于射频频率的方波包络。脉冲RF功率可具有峰值和最小值,并且所述最小值低于峰值的25%。
本文所述的实施例包括在图案化的基板上的间隙中形成保形气密层的方法。所述方法包括:将图案化的基板放置在基板处理腔室的基板处理区域中。所述方法进一步包括:使前体流入基板处理区域中。所述方法进一步包括:通过在基板处理区域内将脉冲RF功率施加至前体来形成脉冲等离子体。所述方法进一步包括:形成保形气密层。
保形气密层可包含氮。保形气密层的厚度可以在之间。脉冲RF功率的射频频率可以在1000Hz与50000Hz之间。
附加的实施例和特征在下文描述中部分加以阐述,并且对于本领域的技术人员来说在阅读说明书之后将变得部分显而易见或通过实践所公开的实施例而习得。借助于本说明书中描述的手段、组合和方法可以实现并获得所公开实施例的特征和优点。
附图说明
对实施例的性质和优点的进一步理解可通过参考本说明书的剩余部分和附图来实现。
图1是根据实施例的保形层的膜形成工艺的流程图。
图2是根据实施例的在形成保形层期间在基板处理区域中施加至等离子体的电压的曲线。
图3是根据实施例的保形层的膜形成工艺的流程图。
图4示出根据本发明的实施例的基板处理系统。
图5示出根据本发明的实施例的种基板处理腔室。
在附图中,相似部件和/或特征可具有相同参考标记。进一步地,相同类型的各个部件可用参考标记后加上连接号和区别相似部件的第二标记来区别。如果在说明书中仅使用第一参考标记,则描述可适用于具有相同第一参考标记的相似部件中的任何一个,而与第二参考标记无关。
具体实施方式
本公开的多个方面涉及在图案化的基板上形成保形衬垫的方法,所述图案化的基板具有高的高宽纵横比的间隙。已发现根据本文所概述的实施例形成的层抑制跨保形衬垫的扩散和电泄漏。根据实施例,衬垫可包含氮并且被描述为氮化物层。在实施例中,保形衬垫可包含硅和氮并且可由硅和氮组成。本文所述的方法可包含将含硅前体和含氮前体引入基板处理区域中,并且同时将脉冲等离子体功率电容性地施加至基板处理区域以形成保形层。
本文所述的保形层可在图案化的基板上形成,所述图案化的基板具有高的高宽纵横比的间隙,所述间隙包括沟槽和孔。在实施例中,保形层可以是氮化物层,并且可以是氮化硅层或氮化钛层或氮化钽层。根据实施例,保形层可由硅和氮组成、由钽和氮组成或由钛和氮组成。保形层可以是气密的,并且不允许于操作温度下的可测量扩散。保形层可不允许跨保形层的电泄漏。保形层可使用局部等离子体沉积,所述局部等离子体在多个水平下脉冲或供能的以改善保形性。
如本文所使用的脉冲等离子体呈现为允许未反应的前体穿透图案化的基板上的特征,在此点处后续脉冲可促进沿着例如复杂表面轮廓产生均匀反应的反应。均匀反应产生非常保形的层,所述非常保形的层甚至当保形层如本文所述非常薄时仍防止化学扩散。已发现保形层呈现非常小的电泄漏或扩散,这可能涉及高保形性和/或在极薄膜中没有针孔或其他缺陷。在实施例中,保形层可在氧化硅或低k电介质层上形成,并且在随后处理期间或在操作完成的集成电路期间保护下层不受有害化学反应损害。实施例的益处包括由于在制造期间减少的扩散而增加的良率。实施例的益处包括由于在集成电路寿命期间减少的扩散而增加的所得集成电路的耐久性。实施例的益处也可包括由于缺乏减少的扩散和/或减少的电泄漏而增加的所得集成电路的性能。
为了便于描述包括高的高宽纵横比的间隙和沟槽的特征,“顶部(Top)”和“向上(Up)”将在本文中用于描述垂直地远离基板平面并且在垂直方向上进一步远离基板质心的部分/方向。“竖直”将用以描述在朝向“顶部”的“向上”方向中对准的物品。“深度”将用于描述在竖直方向上的长度,并且“宽度”将用于描述平行于基板平面的长度。本文所述的方法可避免与沟槽内深处相比在间隙顶部附近沉积更多材料的问题。常规CVD的此类缺点可能甚至导致在沟槽底部沉积有益的量之前切断间隙。本实施例的益处涉及避免以此类方式切断沉积。本文所述的实施例的进一步益处涉及更均匀的沉积,所述更均匀的沉积允许使用较小净量的衬垫材料来形成扩散密封或气密密封。所需衬垫材料的量减少增加了功能性间隙填充材料的净量,这改善了器件性能。
为了更好地理解并认识本文所述的实施例,参考图1,图1是根据实施例的用于形成保形层101的膜形成工艺的流程图。所述方法开始于在图案化的基板上形成高的高宽纵横比的间隙(操作110)。高的竖直高宽比的间隙具有高的高宽纵横比,所述高宽纵横比被定义为至图案化的基板中的高度/深度与平行于图案化的基板的平面的最窄尺寸的比例。在实施例中,竖直高宽纵横比可以大于10:1、大于20:1或大于30:1。如果间隙也具有如后文所述的高的长宽纵横比,则高的竖直高宽纵横比的间隙可被称为沟槽。根据实施例,间隙的宽度可以小于25nm、小于22nm或小于17nm。根据实施例,间隙的高度(又称为深度)可以大于150nm、大于250nm或大于500nm。在操作120中,将图案化的基板放置在基板处理腔室的基板处理区域中。
在操作130中,使图案化的基板暴露于前体。在实施例中,(多种)前体包含氮,并且可进一步包含硅。使用平行板在基板处理区域中形成等离子体,并且此等离子体被称为电容耦合的等离子体。根据实施例,可使用电感耦合的等离子体。等离子体是通过以现在将描述的脉冲方式施加RF(射频)频率(操作140)而形成的。根据实施例,RF等离子体脉冲可以是如图2所示的方波形式。在施加RF等离子体脉冲期间,(多种)前体不中断地流入基板处理区域中。
图2的y轴表示方波的峰等离子体功率的百分数,并且在实施例中,所述峰等离子体功率可以在200瓦与3000瓦之间、在500瓦与2500瓦之间、或在1000瓦与2000瓦之间。根据实施例,方波(或“脉冲”)的频率可以在0Hz与100000Hz之间、在1000Hz与50000Hz之间或在5000Hz与20000Hz之间。占空比描述了在方波期间处于高功率水平或靠近高功率水平的时间百分数。在实施例中,占空比可以是80%与90%、在85%与97%之间或在90%与95%之间。
图2中所示的图指示RF等离子体脉冲的低等离子体功率水平不是零。已发现使低等离子体功率水平一直下降至零或靠近零导致工艺性能问题,特别是导致粒子检测计数增加。在实施例中,低等离子体功率水平可以不是零。根据实施例,低等离子体功率水平可以在峰等离子体功率的1%与25%之间、在峰等离子体功率的2%与20%之间、在峰等离子体功率的3%与15%之间或在峰等离子体功率的4%与10%之间。在一些实施例中,低等离子体功率水平可以低于峰等离子体功率的25%、低于峰等离子体功率的20%、低于峰等离子体功率的15%或低于峰等离子体功率的10%以确保超过0%或靠近0%包括在内。较低的低等离子体功率水平呈现为改善在沟槽以及特别地在孔内深处的沉积速率,并且由此与保形气密层的较高保形性相关。
在操作150中,在图案化的基板上形成保形气密层。保形气密层可填充高的高宽纵横比的间隙,使得在此高的高宽纵横比的间隙的底部处或附近的厚度可以在此高的高宽纵横比的间隙的顶部处或附近的厚度的25%内、20%内或15%内。根据实施例,保形气密层的厚度可以在之间、在之间或在之间。在实施例中,在形成保形气密层期间的沉积速率可以在/秒与/秒之间、在/秒与/秒之间、或在/秒与/秒之间。沉积速率可以显著高于使用ALD可获得的沉积速率,同时仍提供一般与ALD相关联的许多保形性和膜质量益处。在操作160中,从基板处理区域移除图案化的基板。保形气密层可以没有或基本上没有氧以改善保形气密层的气密密封,并且还阻止氧扩散至相邻层中。无氧层相比具有氧的膜在高完整性的情况下更难做得薄和保形。本文所述的保形层解决了此需求。本文所述的保形层是无氧的,但仍提供保形性、气密性和作为非常薄的层被施加的能力。
保形气密层的组成可使用各种技术(诸如,缩写为XPS的X射线光电子能谱)来确定,并且能以各种元素的原子百分数记录。在实施例中,保形气密层中的氮的原子百分数可以小于50%或小于49.5%。略微较低的氮浓度增加了保形气密层抑制扩散的能力。在实施例中,硅也可存在于保形气密层中,并且硅的原子百分数可以大于50%或大于50.5%。
根据实施例,在形成本文所述的保形层期间(例如,操作150或将简短描述的操作350),图案化的基板的温度可以在100℃与约700℃之间、在150℃与500℃之间或在200℃与300℃之间。在形成期间,在基板处理腔室中的基板处理区域中的压力可以在100mTorr与100Torr之间、在1Torr与20Torr之间或在2Torr与12Torr之间。脉冲等离子体可由传递至腔室的喷淋头电极和基板支撑电极的脉冲RF功率来提供。根据实施例,能以在100kHz与1MHz之间、在200kHz与750kHz之间、在300kHz与400kHz之间、大于1MHz、或在13MHz与14MHz之间的频率来提供RF功率。能以混合频率来提供RF功率,所述混合频率包括在100kHz与1MHz之间、在300kHz与400kHz之间的第一频率以及在1MHz与60MHz之间或在13MHz与14MHz之间的第二频率。
现在参考图3,图3是根据实施例的用于形成保形层301的膜形成工艺的流程图。本文所给出的全部化学品、材料、工艺性状和参数适用于本文提及的全部实施例,例如,在讨论图3期间可不重复先前给出的工艺参数。当在操作310中,将具有高的高宽纵横比的间隙的图案化的基板放置在基板处理腔室的基板处理区域中时,所述方法开始。在操作320中,使含硅前体流入基板处理区域中,并且在操作330中,使含氮前体流入基板处理区域中。在操作340中,将等离子体功率方波电容性地施加至基板处理区域以激发含硅前体与含氮前体的组合。在操作350中,使图案化的基板暴露于等离子体激发的前体,并且在图案化的基板上形成保形氮化硅衬垫。保形氮化硅衬垫在图案化的基板上大体上均匀地涂覆深孔。在操作360中,从基板处理区域移除图案化的基板。
在实施例中,含硅前体可包含SiH4或Si2H6。根据实施例,含氮前体可包含NH3、N2H4或N2H2。所述任一种或两种前体可伴有惰性气体或与惰性气体组合以改善点燃等离子体的容易性或其他等离子体品质。惰性气体可包括He、Ar和/或N2。在实施例中,含硅前体可在20sccm(标准立方厘米/分钟)与1slm(标准升/分钟)之间、在50sccm与300sccm之间或在100sccm与200sccm之间流动。含氮前体可在100sccm与1.5slm之间流动。氦可在1slm与10.0slm之间流动,并且N2可在500sccm与5slm之间流动。含硅前体的流率可以是含氮前体的流率的八分之一至二分之一。根据实施例,Si至基板处理区域中的原子流率可以是N原子流率的八分之一至二分之一。
本文所述的方法的实施例可使用如图4的示例中所示的基板处理系统并且使用如图5的示例中所示的基板处理腔室来执行。基板处理腔室可结合至基板处理系统中以用于生产集成电路芯片。图4示出根据所公开的实施例的由沉积、烘焙和固化腔室构成的一个此类基板处理系统1000。一对FOUP(前开式联合晶片盒)1002供应基板(例如,300mm直径晶片),所述基板在被放置到基板处理腔室1008a至1008f中的一个中之前,由机器人臂1004接收并被放置到低压力固持区域1006中。第二机器人臂1010可用于将基板从固持区域1006运输至处理腔室1008a至1008f并返回。
基板处理腔室1008a至1008f可包括用于在基板上沉积、退火、固化和/或蚀刻脉冲PECVD膜的一个或更多个系统部件。在一个配置中,两对处理腔室(例如,1008c至1008d和1008e至1008f)可用于使用如本文所述的脉冲局部等离子体在基板上沉积材料,并且第三对处理腔室(例如,1008a至1008b)可用于退火已沉积的材料。在另一配置中,相同的两对基板处理腔室(例如,1008c至1008d和1008e至1008f)可被配置以在基板上既沉积材料又对材料退火,同时第三对腔室(例如,1008a至1008b)可用于材料的UV或电子束固化。在又一配置中,全部三对腔室(例如,1008a至1008f)可被配置以在基板上沉积并处理材料。所述工艺中的任何一个或更多个可在与根据实施例所示的制造系统分离的(多个)腔室上执行。
现在参考图5,示出基板处理腔室1100的竖直横截面图并且包括腔室主体1100a和腔室盖1100b。基板处理腔室1100含有气体供应系统1105,所述气体供应系统1105可将若干种前体通过腔室盖1100b提供至上部腔室区域1115中。前体散布在上部腔室区域1115内,并且可通过区隔板组件1123均匀地引入基板处理腔室1120中。在基板处理期间,基板处理区域1120容纳已被转移至基板支撑底座1130上的基板1125。支撑底座1130可在处理期间将热提供至基板1125以促进沉积反应。
根据实施例,区隔板组件1123的底表面可由导电材料形成以用作形成电容性等离子体的电极,并且可用于形成脉冲等离子体。在处理期间,基板(例如,半导体晶片)定位在底座1130的平坦(或可能略微弯曲)的表面上。基板支撑底座1130可在下部装载/卸载位置(图5中所描绘)与上部处理位置(由虚线1133指示)之间受控地移动。在虚线与区隔板组件1123的底表面之间的间隔是在处理期间帮助控制等离子体功率密度的参数。当通过在腔室主体1100a的侧中的插入/移除开口1150由机器人托板(未示出)将晶片移进并移出基板处理区域1120时,升降机构和电机提升和降低支撑底座1130和其晶片升降杆1145。电机在处理位置1133与图示的下部晶片装载位置之间提升和降低支撑底座1130。
在进入上部腔室区域1115之前,沉积和运载气体从气体供应系统1105通过组合或分离的传递管线流动。用于各种工艺气体的供应管线可包括:(i)安全切断阀1106,所述安全切断阀可用于自动或手动地切断工艺气体流入腔室中;以及(ii)质量流控制器(未示出),所述质量流控制器可测量并控制通过供应管线的气体流动。一些气体在进入上部腔室区域1115之前可流过远程等离子体系统(RPS)1110。
在流入并通过上部腔室区域1115中之后,通过穿孔的圆形气体分配面板1124中的通孔将沉积和运载气体引入基板处理区域1120中,所述气体分配面板1124形成区隔板组件1123的下部。区隔板组件1123也可包括穿孔的区隔板以增加前体至基板处理区域1120中的分配均匀性。
在基板处理腔室1100中执行的沉积工艺可以是等离子体增强型工艺,并且可由此被称为等离子体增强型化学气相沉积(PECVD)。在等离子体增强型工艺中,RF电源1140可在气体分配面板1124与支撑底座1130之间施加电功率以激发工艺气体混合物,进而在气体分配面板1124与由支撑底座1130支撑的基板1125之间的圆柱形区域内形成等离子体。气体分配面板1124可具有导电表面和/或可具有金属插入物。在图5中,气体分配面板1124的金属部分经由电介质插入物与基板处理腔室1100的剩余部分电隔离。此电隔离使面板1124的电压相对于特别是支撑底座1130变化,以施加等离子体功率。
与在面板1124与支撑底座1130之间施加脉冲RF功率结合地使前体流入上部腔室区域1115中并随后流入基板处理区域1120中在面板1124与基板1125之间产生等离子体。等离子体产生等离子体流出物,所述等离子体流出物发生反应以在底座1130上支撑的半导体晶片的表面上沉积所期望的膜。RF电源1140可以是混合频率RF电源,所述RF电源典型地以13.56MHz的高RF频率(RFl)和360kHz的低射频频率(RF2)供应功率以增强引入基板处理区域1120中的反应物质的分解。随后在支撑底座1130上支撑的半导体晶片的表面上沉积所期望的膜。可电阻式加热支撑底座1130以将热能提供至基板1125来辅助反应。
在脉冲等离子体增强型沉积工艺期间,脉冲等离子体可加热处理腔室1100,包括加热围绕排气通路(未示出)的腔室主体1100a壁,所述排气通路用于从基板处理腔室1100排气。当断开等离子体时,热流体可循环通过基板处理腔室1100壁以将腔室维持在升高的温度。将基板处理腔室1100壁预热至大致在脉冲等离子体处理期间的温度可允许膜以接近其稳态沉积速率、密度、孔隙度和其他膜性质开始。通道(未示出)可在基板处理腔室1100的腔室壁内提供以用于热流体流动。在实施例中,用于加热腔室主体1100a和可能地腔室盖1100b的流体可包括水基乙二醇或油基热传递流体。腔室加热可降低反应剂产物的冷凝,所述反应剂产物否则可能往回迁移到处理腔室中并且不利地影响工艺中沉积或后续沉积。由真空泵通过腔室主体1100a中的孔口(未示出)从基板处理腔室1100抽空未在层中沉积的气体混合物(包括反应副产物)的剩余部分。
在实施例中,支撑底座1130可由铝、阳极化铝、陶瓷、或组合制成。支撑底座1130的晶片支撑盘可使用嵌入的单循环嵌入式加热器元件电阻式加热,所述加热器元件被配置以使多个匝呈平行同心圆的形式。加热器元件的外部部分可靠近支撑盘的周边沿行,而内部部分可沿具有较小半径的同心圆的路径沿行。至加热器元件的接线可穿过支撑底座1100的主干。腔室内衬、气体入口歧管面板、和各种其他反应器硬件中的一些、任一个或全部由诸如铝、阳极化铝、或陶瓷之类的材料制成。
远程等离子体系统1100可被安装在基板处理腔室1100的腔室盖1100b上。远程等离子体系统1110可被安装在腔室盖1100b上。等离子体可分离工艺气体,所述工艺气体包括含氟气体(诸如,NF3)和运载气体(诸如,氩)以生成游离氟,所述游离氟用于在预防性维护过程期间清洁在基板处理腔室1100(诸如,内部表面)中的膜沉积。
基板处理系统由系统控制器控制。在示例性实施例中,系统控制器包括存储介质和处理器(例如,通用微处理器或专用IC)。处理器可以是存在于单片集成电路上、分离但仍位于单板计算机(SBC)上或位于分离的印刷电路卡上的处理器核心,所述分离的印刷电路卡可能位于围绕基板处理系统的不同位置处。处理器彼此通信,并且使用标准通信协议与模拟和数字输入/输出板、接口板和步进电机控制器板通信。系统控制器控制CVD机器的全部活动。系统控制器执行系统控制软件,所述系统控制软件是存储在计算机可读介质中的计算机程序。计算机程序包括规定时序、气体混合物、腔室压力、腔室和基板温度、RF功率水平、支撑底座位置、和特定工艺的其他参数的指令集。
在先前描述中,出于解释的目的,已阐述许多细节以提供对本文所述的主题的实施例的理解。然而,对本领域技术人员显而易见的是,某些实施例可在没有这些细节中的一些的情况下实践,或使用附加细节实践。
如本文所使用的“基板”可以是其上形成或不形成层的支撑基板。图案化的基板可以是各种掺杂浓度和轮廓的绝缘体或半导体,并且可以例如是用于制造集成电路的类型的半导体基板。图案化的基板的“硅”或“多晶硅”主要是Si,但可包括少量浓度的其他元素成分,诸如氮、氧、氢和碳。“硅”或“多晶硅”可以由硅组成或基本上由硅组成。图案化的基板的暴露的“氮化硅”主要是硅和氮,但也可包括少量浓度的其他元素成分,诸如氧、氢和碳。“氮化硅”可以基本上由硅和氮组成或由硅和氮组成。图案化的基板的“氧化硅”主要是SiO2,但也可包括少量浓度的其他元素成分,诸如氮、氢和碳。在实施例中,氧化硅膜基本上由硅和氧组成或由硅和氧组成。应理解类似定义适用于其他元素或化合物。
术语“前体(precursor)”用于指参与反应以从表面移除材料或将材料沉积至表面上的任何工艺气体。短语“惰性气体(inert gas)”指当蚀刻或结合至膜中时不形成化学键的任何气体。示例性惰性气体包括稀有气体,但也可包括其他气体,只要当(典型地)在膜中捕获痕量时不形成化学键即可。
使用术语“间隙(gap)”不暗示图案化的基板的特征具有大长宽纵横比。从表面上方观察,间隙可呈现圆形、椭圆形、多边形、矩形、或各种其他形状。术语“间隙”指“沟槽(trench)”或“孔(hole)”。孔的长宽纵横比可以是约1:1,如从上方观察到的,而沟槽的长宽纵横比可以大于10:1。本文所述的方法具体地非常适合于在大高宽纵横比的孔上形成保形衬垫层,所述孔与沟槽相比更难以均匀成线。沟槽可以是围绕材料岛的壕沟的形状,在此情形中长宽的纵横比应是圆周除以围绕圆周均分的间隙的宽度。术语“孔(hole)”用于指低长宽纵横比的沟槽,所述沟槽可以填充有或可以不填充有用以形成互连或DRAM单元的材料。如本文所使用,保形膜形成工艺指在表面上以与所述表面相同的形状大致均匀地累积材料,即,所形成层的表面和预形成表面大致平行。本领域的技术人员将意识到,界面很可能不能100%保形并且因此术语“大致(generally)”允许可接受的容差。
已经公开了若干实施例,本领域的技术人员将意识到,可使用各种修改、替代构造和等同方案,而不背离所公开实施例的精神。另外,未描述多个熟知工艺和元件以避免不必要地混淆本文所述的实施例。由此,上文描述不应被视为限制权利要求书的范围。
当提供数值范围时,应理解,除非本文另外明确指明,在所述范围的上限和下限之间的每个中间值直到下限单位的十分之一也被具体地公开。在所述范围中的任何所述值或中间值与在彼所述范围中的任何另一所述值或中间值之间的各个更小范围均涵盖在内。这些更小范围的上限和下限可独立地包括在所述范围内或排除在所述范围外,并且任一个限值、没有一个限值或者两个限值包括在更小范围中的各个范围也涵盖在所述实施例内,但受制于所述范围中的任何具体排除限制。在所述范围包括限值中的一个或两个的情况下,排除那些所包括的限值的任一个或两个的范围也包括在内。
如在本文和随附权利要求书中所使用,除非本文另外明确指明,单数形式“一(a/an)”和“所述(the)”包括复数个指代物。因此,例如,提及“工艺(a process)”包括多个此类工艺,并且提及“所述电介质材料(the dielectric material)”包括提及本领域的技术人员已知的一种或更多种电介质材料和其等同物,以此类推。
此外,当用于此说明书和以下权利要求书中时,字词“包含”、“包括”和“含有”旨在指定存在所述特征、整数、部件、或步骤,但是它们不排除一个或更多个其他特征、整数、部件、步骤、动作、或组的存在或添加。

Claims (15)

1.一种在图案化的基板上形成保形氮化硅层的方法,所述方法包含:
将所述图案化的基板放置在基板处理腔室的基板处理区域中;
使含硅前体流入所述基板处理区域中;
将所述含硅前体与含氮前体组合;
通过将RF功率的方波施加至所述基板处理区域来形成脉冲等离子体;
在所述脉冲等离子体中激发所述含硅前体与所述含氮前体的组合;以及
形成所述保形氮化硅层,其中所述保形氮化硅层包含硅和氮两者。
2.如权利要求1所述的方法,其中将所述含硅前体与所述含氮前体组合发生在所述基板处理区域中。
3.如权利要求1所述的方法,其中将所述含硅前体与所述含氮前体组合发生在所述基板处理区域之前,并且所述含硅前体与所述含氮前体的组合一起流入所述基板处理区域中。
4.如权利要求1所述的方法,其中所述保形氮化硅层由硅和氮组成。
5.一种在图案化的基板上的间隙中形成保形氮化物层的方法,所述方法包含:
将所述图案化的基板放置在基板处理腔室的基板处理区域中;
使前体流入所述基板处理区域中;
将脉冲RF功率施加至所述基板处理区域以由所述前体形成脉冲等离子体;以及
形成所述保形氮化物层,其中所述保形氮化物层包含氮。
6.如权利要求5所述的方法,其中所述脉冲RF功率的占空比在80%与99%之间。
7.如权利要求5所述的方法,其中所述保形氮化物层进一步包含硅、钛和钽中的至少一个。
8.如权利要求5所述的方法,其中所述保形氮化物层具有小于50%的氮原子百分数。
9.如权利要求5所述的方法,其中所述保形氮化物层是氮化钽、氮化钛或氮化硅中的一个。
10.如权利要求5所述的方法,其中所述脉冲RF功率是关于RF频率的方波包络。
11.如权利要求5所述的方法,其中所述脉冲RF功率具有峰值和最小值,并且所述最小值低于所述峰值的25%。
12.一种在图案化的基板上的间隙中形成保形气密层的方法,所述方法包含:
将所述图案化的基板放置在基板处理腔室的基板处理区域中;
使前体流入所述基板处理区域中;
通过在所述基板处理区域内将脉冲RF功率施加至所述前体来形成脉冲等离子体;以及
形成所述保形气密层。
13.如权利要求12所述的方法,其中所述保形气密层包含氮。
14.如权利要求12所述的方法,其中所述保形气密层的厚度在 之间。
15.如权利要求12所述的方法,其中所述脉冲RF功率的射频频率在1000Hz与50000Hz之间。
CN201680016144.3A 2015-03-18 2016-03-18 脉冲氮化物封装 Active CN107408493B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562134964P 2015-03-18 2015-03-18
US62/134,964 2015-03-18
US15/071,523 2016-03-16
US15/071,523 US9748093B2 (en) 2015-03-18 2016-03-16 Pulsed nitride encapsulation
PCT/US2016/023035 WO2016149573A1 (en) 2015-03-18 2016-03-18 Pulsed nitride encapsulation

Publications (2)

Publication Number Publication Date
CN107408493A true CN107408493A (zh) 2017-11-28
CN107408493B CN107408493B (zh) 2021-10-08

Family

ID=56920334

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680016144.3A Active CN107408493B (zh) 2015-03-18 2016-03-18 脉冲氮化物封装

Country Status (4)

Country Link
US (1) US9748093B2 (zh)
KR (1) KR102503141B1 (zh)
CN (1) CN107408493B (zh)
WO (1) WO2016149573A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102569956B1 (ko) * 2017-07-25 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 개선된 박막 캡슐화
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7420752B2 (ja) * 2018-06-19 2024-01-23 アプライド マテリアルズ インコーポレイテッド パルスプラズマ堆積エッチングのステップカバレッジ改善
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US12029144B2 (en) 2021-03-24 2024-07-02 Eugenus, Inc. Encapsulation layer for chalcogenide material

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100099271A1 (en) * 2008-10-17 2010-04-22 Novellus Systems, Inc. Method for improving process control and film conformality of pecvd film
US20100221925A1 (en) * 2009-01-21 2010-09-02 Asm Japan K.K. METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
CN102906305A (zh) * 2010-04-15 2013-01-30 诺发系统公司 气体和液体的喷射的方法和装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877641A (en) 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20050233092A1 (en) 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20090255759A1 (en) 2008-04-10 2009-10-15 Barnes Gregory K Ladder stabilizing and standoff system
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7790635B2 (en) 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100099271A1 (en) * 2008-10-17 2010-04-22 Novellus Systems, Inc. Method for improving process control and film conformality of pecvd film
US20100221925A1 (en) * 2009-01-21 2010-09-02 Asm Japan K.K. METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
CN102906305A (zh) * 2010-04-15 2013-01-30 诺发系统公司 气体和液体的喷射的方法和装置

Also Published As

Publication number Publication date
US20160284567A1 (en) 2016-09-29
KR20170128572A (ko) 2017-11-22
US9748093B2 (en) 2017-08-29
CN107408493B (zh) 2021-10-08
WO2016149573A1 (en) 2016-09-22
KR102503141B1 (ko) 2023-02-24

Similar Documents

Publication Publication Date Title
CN107408493A (zh) 脉冲氮化物封装
JP7509548B2 (ja) 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11476109B2 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US7482247B1 (en) Conformal nanolaminate dielectric deposition and etch bag gap fill process
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
KR102120527B1 (ko) 오목부의 매립 방법
US7718553B2 (en) Method for forming insulation film having high density
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TWI479044B (zh) 硼膜界面工程
JP4935687B2 (ja) 成膜方法及び成膜装置
CN111593329A (zh) 包括处理步骤的循环沉积方法及用于其的装置
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US20090325391A1 (en) Ozone and teos process for silicon oxide deposition
JP2015180768A (ja) 基板処理装置及び半導体装置の製造方法並びに記録媒体
TW201437416A (zh) 低收縮介電薄膜
JP2012506149A (ja) 低いエッチング速度の誘電体ライナを用いたギャップ充填の改善
TW201432085A (zh) 使用高密度電漿之金屬處理
JP2008306093A (ja) 成膜方法及び成膜装置
US20150110975A1 (en) Method for forming manganese-containing film
JP6800015B2 (ja) 3dフラッシュメモリ応用のための誘電体金属スタック
US7129189B1 (en) Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
CN106653651B (zh) 基板处理装置、基板处理方法以及基板保持构件
US9748366B2 (en) Etching oxide-nitride stacks using C4F6H2
JP2010016136A (ja) 薄膜の成膜方法及び成膜装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant