CN112384643B - 用于基于等离子体的沉积的表面改性的深度受控沉积 - Google Patents

用于基于等离子体的沉积的表面改性的深度受控沉积 Download PDF

Info

Publication number
CN112384643B
CN112384643B CN201980045632.0A CN201980045632A CN112384643B CN 112384643 B CN112384643 B CN 112384643B CN 201980045632 A CN201980045632 A CN 201980045632A CN 112384643 B CN112384643 B CN 112384643B
Authority
CN
China
Prior art keywords
feature
plasma
fluorine
ald
cycles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980045632.0A
Other languages
English (en)
Other versions
CN112384643A (zh
Inventor
约瑟夫·阿贝尔
阿德里安·拉沃伊
普鲁肖塔姆·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202311334762.0A priority Critical patent/CN117646190A/zh
Publication of CN112384643A publication Critical patent/CN112384643A/zh
Application granted granted Critical
Publication of CN112384643B publication Critical patent/CN112384643B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于执行衬底上的特征的间隙填充的方法,其包括:(a)移动所述衬底至处理室中;(b)执行ALD工艺的多个循环;(c)从所述处理室清扫来自所述ALD工艺的处理气体;(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理;(e)从所述处理室清扫来自所述等离子体处理的处理气体;(f)重复操作(b)至(e),直到执行预定循环次数。

Description

用于基于等离子体的沉积的表面改性的深度受控沉积
背景技术
已证明沉积-蚀刻-沉积(DED)可以填充高深宽比的通孔(via)、孔洞和沟槽。DED方法在被用于填充在结构顶部下方具有增大的内凹部(reentrancy)的特征时面临间隙填充限制。与开口尺寸相比具有大的内凹特征或与大开口相比具有任何大的内凹特征的结构使得在特征夹断之前没有足够的材料生长于用于蚀刻的离子的视线范围内。
就是在该背景下产生了本发明的实施方案。
发明内容
在一些实施方案中,提供了一种用于执行衬底上的特征的间隙填充的方法,其包括:(a)移动所述衬底至处理室中;(b)执行ALD工艺的多个循环;(c)从所述处理室清扫来自所述ALD工艺的处理气体;(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理;(e)从所述处理室清扫来自所述等离子体处理的处理气体;(f)重复操作(b)至(e),直到执行预定循环次数。
在一些实施方案中,所述氟等离子体钝化所述衬底上的所述特征的一部分,从而抑制在所述特征的被钝化的所述部分上通过所述ALD工艺的沉积。
在一些实施方案中,所述特征的被钝化的所述部分从所述特征的顶部向下延伸至所述特征中的预定目标水平。
在一些实施方案中,所述预定目标水平由所述等离子体处理的一或更多参数控制。
在一些实施方案中,所述等离子体处理的所述参数包括下列的一或更多者:所述等离子体处理的持续时间、所述等离子体处理的温度、所述含氟气体的压强以及所述RF功率的电平。
在一些实施方案中,所述特征包含内凹部,且其中所述预定目标水平限定为约在所述内凹部的水平处,以通过所述等离子体处理实质上抑制所述内凹部的所述水平上方处的通过所述ALD工艺进行的沉积。
在一些实施方案中,所述氟等离子体通过沿着所述特征的所述部分的表面形成氟终端物质来钝化所述特征的所述部分。
在一些实施方案中,所述方法还包括:(g)执行所述ALD工艺的一或更多个循环。
在一些实施方案中,操作(e)的所述预定循环次数被配置成影响间隙填充,以去除所述特征中的内凹部。
在一些实施方案中,操作(g)的所述ALD工艺的所述一或更多个循环被配置成完成所述特征的所述间隙填充。
在一些实施方案中,所述ALD工艺被配置成在所述衬底的所述特征中沉积氧化物。
在一些实施方案中,所述含氟气体为CH3F、CHF3、CF4、C2H4F2、C2H2F4、C3H2F6、C4H2F8、C4F8、NF3、或SF6
在一些实施方案中,提供了一种用于执行衬底上的特征的间隙填充的方法,其包括:(a)移动所述衬底至处理室中;(b)执行ALD工艺的多个循环,所述ALD工艺被配置成在所述衬底的所述特征中沉积氧化物;(c)从所述处理室清扫来自所述ALD工艺的处理气体;(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理;(e)从所述处理室清扫来自所述等离子体处理的处理气体;(f)重复操作(b)至(e),直到执行预定循环次数;(g)执行所述ALD工艺的多个循环。
在一些实施方案中,所述氟等离子体钝化所述衬底上的所述特征的一部分,从而抑制在所述特征的被钝化的所述部分上通过所述ALD工艺的沉积。
在一些实施方案中,所述特征的被钝化的所述部分从所述特征的顶部向下延伸至所述特征中的预定目标水平。
在一些实施方案中,所述预定目标水平由所述等离子体处理的一或更多参数控制。
在一些实施方案中,所述等离子体处理的所述参数包括下列的一或更多者:所述等离子体处理的持续时间、所述等离子体处理的温度、所述含氟气体的压强以及所述RF功率的电平。
在一些实施方案中,提供了一种用于执行衬底上的特征的间隙填充的方法,其包括:(a)移动所述衬底至处理室中;(b)执行ALD工艺的多个循环;(c)从所述处理室清扫来自所述ALD工艺的处理气体;(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理,所述RF功率以在约200至600kHz的范围中的频率施加;(e)从所述处理室清扫来自所述等离子体处理的处理气体;(f)重复操作(b)至(e),直到执行预定循环次数。
在一些实施方案中,所述等离子体处理的压强是在约0.5至8托的范围中。
在一些实施方案中,所述等离子体处理的持续时间是在约0.1至3秒的范围中。
通过以下结合附图的详细描述,本公开内容的其他方面和优点将变得显而易见,所述附图通过示例的方式说明本公开内容的原理。
附图说明
图1A、1B和1C概念性地示出了根据本发明的实施方案的呈现内凹部的特征的截面图。
图2A和2B示出了根据本发明的实施方案的多层堆叠件上的间隙填充应用。
图3A、3B、3C和3D示出了用于实现内凹特征的间隙填充的基于抑制的技术。
图4示出了根据本发明的实施方案利用氟等离子体抑制来执行间隙填充工艺的方法。
图5A是说明根据本发明的实施方案的抑制处理对于后续沉积循环的影响的图。
图5B是示出了根据本发明的实施方案的抑制深度与抑制处理时间的关系图。
图6A、6B和6C概念性地示出了衬底上特征的截面图,并且显示了根据本发明的实施方案的间隙填充工艺。
图7A至图7F示出了具有多个内凹部的特征的截面图,其显示根据本发明的实施方案用于间隙填充的工艺。
图8示出了根据本发明的实施方案用于供应处理气体至处理室以进行ALD工艺的供气系统。
图9A和9B示出了现有系统与根据本发明的实施方案具有用于输送抑制/钝化气体及清洁/蚀刻气体的分开歧管的系统之间的区别。
图10A、10B和10C示出了利用根据本发明的实施方案的技术而得以改善间隙填充性能。
图11示出了根据本发明的实施方案用于处理衬底的群集工具系统1100。
图12是用于实施本公开内容的实施方案的计算机系统的简化概要图。
具体实施方式
在以下描述中,提出了许多具体细节以便提供对示例性实施方案的透彻理解。然而,对于本领域的技术人员将显而易见的是,可在没有这些具体细节中的一些的情况下实践示例性实施方案。在其他情况下,如果已经众所周知,则没有详细描述工艺操作和实施方案细节。
如本文中所使用,术语“约”和“大致”意指特定参数可在合理的公差内变动,例如在一些实施方案中为±10%、在一些实施方案中为±15%、或在一些实施方案中为±20%。
广义地说,本发明的实施方案提供了能够在孔洞或沟槽内具有大内凹结构的高深宽比特征上进行电介质间隙填充的方法、系统和设备。在一些实施方案中,所公开的技术是利用通过使用低频(LF:例如200至600Hz)及高频(HF:例如13至14MHz)等离子体以氟进行改性的表面。表面改性使得能在生长工艺期间控制特征内的沉积深度。氟表面处理可应用于多层堆叠件上的通孔、孔洞、浅沟槽隔离(STI)结构和沟槽的间隙填充(不仅是在单一材料中制作的特征),其包括但不限于多种材料,包括硅/多晶硅、二氧化硅、锗、氮化物、氧化物或多层压堆叠材料。该改性使得能在需要重新施加表面改性之前进行多个等离子体循环。
一般而言,内凹特征是具有侧壁轮廓的特征,其中侧壁的一些部分比在较浅深度处的正上方的另一部分有更大程度地横向蚀刻/去除。因此,第一部分可以说是被在较浅深度处的第二部分“遮盖”。内凹特征通常是由于蚀刻工艺偏离直线而产生底切或遮盖,而发生的区域就是内凹部。这可发生在特征内的任何地方。内凹特征可能有诸多来源。例如,在蚀刻工艺期间,可能有侧壁钝化,若钝化改变或不一致,由于沿着侧壁的蚀刻不一致,一些区域可能会弯曲。在一些情况下,具有不同材料的层,其可能以不同速率被蚀刻,由于材料的蚀刻速率不同,导致所得的侧壁蚀刻轮廓呈现凸起。
图1A、图1B和图1C概念性地示出了根据本发明的实施方案的具有内凹部的特征的截面图。例如,如图1A所示,某些内凹部表现为特征100,其顶部较小,且底部展开(较大)。有时将其描述为具有负倾斜或锥形(tapering)的侧壁102。例如,在一些工艺中,有两分层式蚀刻,其可在顶部产生内凹部,且在特征中间某处产生内凹部。
如图1B所示,在一些情况下,由于线形特征104中的弯曲而出现内凹部。例如,这些弯曲可能是由于膜中不适当蚀刻或应力而产生。该弯曲使得特征的一侧相对于相反侧内凹。应理解,在所示的实施方案中,特征104的来回弯曲在特征104的上部区域中沿着一侧产生内凹部106,而在特征104的下部区域中沿着相对侧产生另一内凹部108。
如图1C所示,内凹部的另一示例是由于特征110的侧壁形貌化造成的。例如但不限于,这可能发生在NAND装置、鳍式场效晶体管(FINFET)装置、氧化物/氮化物(ONON)堆叠件、氧化物/多晶硅(OPOP)堆叠件等。例如,侧壁可具有鳍片112或在特征中产生内凹部的其他特征。
蚀刻工艺去除不想要的内凹部和侧壁轮廓以进行间隙填充的能力可能取决于进入的结构。具有“缩颈”(necking)特征的结构或里面有内凹部的结构可能在DED的蚀刻部分可去除导致夹断的沉积材料之前便夹断。
图2A和2B示出了根据本发明的实施方案的多层堆叠件上的间隙填充应用。例如但不限于,多层堆叠件可为ONON或OPOP材料堆叠件。在所示的实施方案中,第一材料202层与第二材料204层交替以形成多层堆叠件。当蚀刻例如这样的多层堆叠件时,经常包含有内凹部,这导致有部分处底切。例如,第一材料202可能以比第二材料204慢的速率蚀刻,因此沿着特征200的侧壁,相比于第一材料202层,第二材料204层变得凹陷。
图2B示出了沉积在特征200中的间隙填充206。当在这些区域中进行沉积时,利用一般的间隙填充工艺(例如,原子层沉积(ALD)或其他方式),内凹部可能变成空隙。
图3A、3B、3C和3D示出了基于抑制的技术,其用于实现内凹特征的间隙填充。如图3A所示,特征300可具有内凹部302。在所示的示例中,内凹部302呈现于特征300的顶部,但是在各种特征中,内凹部可发生在特征顶部到底部的任何地方。存在这种内凹部的地方,一旦间隙填充,它们可能变成空隙。
利用现有技术,可使用例如氮(N2)等离子体的抑制剂来应用抑制剂填充工艺。这种工艺的目的在于抑制生长并以不同速率填充,从而使特征底部处的沉积以快于顶部的速率生长,这使得内凹部内的生长在夹断之前可以更快。即,在每个ALD循环中都施加抑制剂,使得靠近特征顶部的沉积速率下降。
在一些情况下,抑制剂填充工艺执行多个循环,直到达到一定厚度,从而导致如图3A所示的部分抑制剂填充层304。任选地,接着可执行蚀刻步骤以移除材料,如图3C所示。随后,利用一般ALD(无抑制剂)的填充工艺,以完成特征的间隙填充,如图3D所示。
然而,上述抑制剂填充工艺的问题在于,必须在流动的每个ALD循环中施加抑制剂。每次以循环方式沉积材料时,都需施加抑制剂,这是漫长的附加步骤,因此变成非常缓慢的工艺。例如但不限于,对于使用例如氮(N2)等离子体之类的抑制剂的抑制剂填充工艺,ALD循环本身可以是约1.5秒;然而,每个循环可能需要额外的20秒,以执行N2等离子体抑制。因此,沉积循环时间可能从1.5秒的循环时间增加至21.5秒的循环时间。生长速率一般可以是每秒约0.8埃,且典型地所需厚度可接近200埃,因此循环次数通常为约200-300个循环的量级。因此,增加的循环时间被放大,并且由于抑制工艺而导致的产能降低可能非常显著。蚀刻步骤的目的在于,通过使填充过程能够切换回一般ALD而不会有夹断风险,以尝试并使得工艺更快。因此,现有的程序可能必需进行抑制剂填充工艺(例如20到25秒的循环,这是一个非常缓慢的填充)直到内凹部被填充到不再有遮盖,接着再执行长的蚀刻(例如接近30秒),然后切换到一般ALD沉积以完成填充。然而,尽管回蚀并切换到一般ALD填充可助于提高产能,但由于抑制剂填充工艺的抑制部分,整个工艺仍然受到很大的阻碍。
然而,根据本发明的实施方案,使用抑制剂使得抑制效果可在ALD等离子体循环的多次迭代中存续。这能够连续执行多个ALD循环,而无需重新施加抑制剂。先前施加的抑制剂无法在多个ALD循环中存续。然而,本发明的实施方案提供能够实现持续多个ALD循环的抑制效果的抑制剂。
在一些实施方案中,利用氟化气体以处理衬底表面,并提供抑制效果。广义地说,工艺流程可能必需执行多个ALD循环,然后清扫(purge)ALD气体,接着施加氟化气体来处理表面;重复该工艺达多次迭代。氟化气体提供持续多个ALD循环的抑制效果,因此不需要在每个ALD循环中重新施加。
注意,氟化气体一般不在沉积工艺中使用,而是倾向于在蚀刻工艺中使用。在室清洁过程中使用氟化剂是已知的。然而,在这种情况下,氟的用途是实行清洁并被去除。而根据本发明的实施方案,氟被用于选择性地保留在衬底的某些区域中,因而在间隙填充沉积期间提供抑制效果。
图4示出了根据本发明的实施方案,以氟等离子体抑制来进行间隙填充工艺的方法。在方法操作400中,将晶片(衬底)引入处理室中。在方法操作402,任选地,可执行具有热浸泡(thermal soak)步骤的晶片加热或冷却,以使晶片达到所期望的温度。在方法操作404,引入用于ALD的气体预流。例如,此可包括使惰性气体流入处理室,并平衡流入及流出处理室的气体流。
在方法操作406,执行一或更多个ALD循环。每个ALD循环通常由第一反应物注入、第一清扫、第二反应物注入以及第二清扫组成。在一些实施方案中,第一反应物或第二反应物注入也可以是等离子体工艺。在完成ALD循环之后,接着在方法操作408,从处理室清扫来自ALD的所有处理气体(例如,使用惰性气体)。应理解,在方法操作406执行的ALD循环的具体次数可根据应用、待填充的特征尺寸以及其中的任何内凹部而变化。在一些实施方案中,ALD循环次数在接近5至25个循环的范围内;在一些实施方案中,在接近10至20个循环的范围内;在一些实施方案中,在接近10至15个循环的范围内。
在方法操作410,将含氟气体引入处理室中。在方法操作412,施加RF功率至处理室,以从含氟气体产生等离子体,因而实行氟等离子体处理。在等离子体处理之后,接着在方法操作414清扫处理室。在方法操作416,如果尚未达到预定循环次数,则该方法接着返回到方法操作406。因此,重复ALD沉积和氟等离子体处理的循环,直到达到预定循环次数为止。
完成预定循环次数后,接着在方法操作420使晶片离开处理工具。
任选地,在一些实施方案中,在方法操作416完成预定循环次数之后,执行额外的预定ALD循环次数(不进行方法操作410、412和414的抑制处理)。这在方法操作406至416的ALD+抑制处理循环足以达到沉积至内凹部的水平而使内凹部去除(或充分减少)时是有用的,从而可采用一般ALD间隙填充以填充特征的其余部分。
氟等离子体处理的工艺参数可根据本发明的多种实施方案来改变。在一些实施方案中,施加双频RF功率,其组合了RF功率的相对较低频率(LF;例如,由LF产生器产生)与相对较高频率(HF;例如,由HF产生器产生)。在一些实施方案中,低频在大约400到430kHz的范围内。在一些实施方案中,频率在约200到600kHz的范围内。在一些实施方案中,高频在大约13至14兆赫(MHz)的范围内。在一些实施方案中,高频范围可从约10MHz延伸至120MHZ。在一些实施方案中,高频是13.56MHz。
在一些实施方案中,用于氟等离子体处理的功率在约500至2500瓦的范围内。在一些实施方案中,功率在约50瓦到5千瓦的范围内。一般而言,增加功率与抑制效果的增加有关,该抑制效果更深地延伸到特征内。
在一些实施方案中,用于氟等离子体处理的温度在约摄氏75至550度的范围内。在一些实施方案中,温度在约摄氏20至800度的范围内。应理解,最佳温度可取决于特定应用,例如,已位于给定衬底/晶片上的合适位置的是什么电路。例如,可在较低水平处使用较高温度,而在较高水平处可使用较低温度,以保护现有结构。
在一些实施方案中,氟等离子体处理的压强在约0.5至8托(Torr)的范围内。广义地说,增大压强与更大的抑制效果相关,该抑制效果更深地延伸至特征中。
在一些实施方案中,氟等离子体处理的时间在约0.1至3秒的范围内。一般而言,增加时间与抑制效果的增加有关,该抑制效果更深地延伸到特征内。
此外,增大含氟气体的流量会引起抑制效果的提高。
鉴于如上所述,应理解,由方法操作406至416限定的ALD+等离子体抑制处理循环的参数可从一个循环至下一个循环或从一组循环至下一组循环而变化,以根据特征的结构(包括深度和任何内凹部的严重度)以及特征的轮廓或结构随着填充工艺进行时的变化,使抑制效果的量和水平优化。
例如,在一些实施方案中,使用第一组参数,对第一循环次数执行方法操作406至416,以填充特征达第一内凹部的水平作为目标,随后,使用第二组参数,对第二循环次数执行方法操作406至416,以填充特征达到第二内凹部的水平作为目标。应理解,该构思可扩展到以填充达到额外内凹部的水平为目标,并且可选地随后进行如上所述的无抑制处理的ALD填充。
在一些实施方案中,可在多个ALD+抑制处理循环的过程中逐渐改变氟等离子体抑制处理的参数。在一些实施方案中,氟等离子体抑制处理可在这若干循环过程中逐步停止,使得抑制的效果和深度逐渐减小。
图5A是说明根据本发明的实施方案的抑制处理对于后续沉积循环的影响的图。所示的图显示了沉积厚度与ALD循环次数的关系。曲线500示出了不进行抑制处理的情况,其证实随着ALD沉积循环次数的增加,沉积厚度近似线性地增加。曲线502示出了根据本发明的实施方案的氟等离子体抑制处理后的沉积厚度。如曲线502所示,抑制处理后的一定循环次数的沉积厚度没有增加,因此在这些循环期间ALD沉积被抑制。此后,随着ALD循环次数进一步增加,最终沉积厚度增加,表示抑制处理的抑制效果逐渐减弱。
例如但不限于,曲线502可对应于抑制剂的1秒施用,且可能发生接近不生长的情况直到抑制剂施用后大约15个循环。因此,这显示抑制(例如,在特征的顶部)达到扩大的循环数。
图5B为示出根据本发明的实施方案的抑制深度与抑制处理时间的关系图。如曲线510显示的,随着氟等离子体抑制处理的持续时间增加,抑制效果在特征内延伸的深度也增加。所示的图表示直壁特征,且如图所示,对于这种特征,该效果接近于线性,使得抑制深度随着氟等离子体处理时间呈近似线性地增加。应理解,对于其他类型的特征轮廓,效果可能不是线性的。
如图所示,通过调整例如氟等离子体抑制处理的持续时间的某些参数,即可调整抑制的量和深度,因而瞄准特征内能够进行沉积生长的位置。因此,可利用时间(或另一可调整的参数)来瞄准内凹部,使得生长抑制到下降至内凹部的水平,但低于内凹部的水平则发生正常的ALD生长。对于位于较浅深度的内凹部,可使用较短的抑制处理时间。而对于位于较深深度的内凹部,可使用较长的时间以瞄准内凹部,并阻止ALD生长到下降至内凹部的水平。因此,根据内凹部的深度,可通过对上部内凹部使用较短时间或者对下部内凹部使用较长时间,来瞄准内凹部。
应注意,不同于先前技术的抑制技术,根据本发明的实施方案提供的氟等离子体处理所提供的抑制效果持续多个ALD循环。不受理论束缚,假设等离子体中最初有含氟气体,且键会均裂以产生氟局域化自由基。由于自由基是高反应性的,故氟自由基对衬底具有增强的反应性。因此,理论上来说,可能不仅有物理吸附,而且具有终端氟物质的化学吸附。这产生非常惰性的表面结构,其可承受多个ALD循环。
此外,注意,某些蚀刻可能是氟等离子体抑制处理所导致。因此,理论上来说,等离子体处理也可通过选择性蚀刻到特征内的一定深度来实现选择性沉积。
应理解,本文公开的技术可应用于沟槽(STI)、孔洞、通孔等中的间隙填充应用。例如但不限于,沉积的材料可包括氮化物、氧化物、多晶硅、硅等。例如但不限于,本文公开的技术在用于创造NAND装置、DRAM、逻辑、STI、水平间隙填充、竖直间隙填充等的工艺中可能是有用的。
根据本发明的实施方案的技术提供产能上的大幅改善,并且实现内凹结构的完全间隙填充而不会有夹断或空隙形成。为了处理特征顶部处的内凹部,先前的沉积技术需执行非常冗长的抑制剂填充(例如20-25秒循环,每个ALD循环中进行抑制剂处理);接着,当间隙填充达到特征中不再有遮盖时,再执行长的蚀刻(例如30秒),随后进行一般ALD沉积以完成填充。然而,与先前方法相反,例如但不限于,根据本发明的实施方案,可通过执行大约十个ALD填充循环,随后进行0.1-0.3秒抑制,接着再重复该工艺约60至100次,来进行间隙填充的方法,以获得完全填充的结构。
如目前所述,等离子体抑制可用于控制孔洞和沟槽中的沉积深度。等离子体抑制持续多个ALD循环,且无需重新处理表面。如已描述的氟等离子体,应理解,可使用适于产生氟等离子体的任何含氟前体,其包括但不限于以下示例:CH3F、CHF3、CF4、C2H4F2、C2H2F4、C3H2F6、C4H2F8、C4F8、NF3、SF6等。此外,尽管已具体描述氟等离子体,但应理解,可使用其他抑制剂,包含下列:NH3、乙二胺、甲胺、二甲胺、三甲胺、叔丁胺、乙胺、双二乙胺、三甲胺、甲醇、乙醇、丙醇、异丙醇、乙二醇、醇胺、乙醇胺等。
图6A、6B和6C概念性地示出了衬底上的特征的截面图,并且显示了根据本发明的实施方案的间隙填充工艺。如图6A所示,特征600被限定于衬底中。特征600包括内凹部602。为了填充特征600,期望将内凹部602上方的生长最小化或降低,并且使得生长能达到并且包括内凹部602。因此,广义地说,当沿着特征600向下,如图所示,内凹部602开始处的水平定义了目标抑制水平,使得高于目标抑制水平处的生长被抑制,然而允许低于目标抑制水平处的生长。
因此,可采用根据本发明的实施方案的间隙填充程序来填充特征600,包括内凹部602的间隙填充,同时避免因内凹部602导致夹断或空隙形成。即,如图6B所示,可调节ALD+抑制处理循环,使得内凹部602上方处的ALD生长被抑制,然而允许内凹部602下方且直到内凹602处有较快的生长。应理解,每一循环包含多个ALD循环配上单一抑制处理。在一些实施方案中,间隙填充工艺在内凹部上方填充更缓慢,从而在内凹部上方的区域被填充之前先填充内凹部。该工艺使得能完全间隙填充特征600而没有空隙,如图6C所示。
图7A至图7F示出了具有多个内凹部的特征的截面图,其显示了根据本发明的实施方案用于间隙填充的工艺。如图7A所示,特征700包括位于特征700内深处的第一内凹部702以及位于朝向特征700中间处的第二内凹部704。第一内凹部702定义出第一抑制目标水平,且抑制被瞄准于此,以使得生长能达到并包含第一内凹部702,同时抑制该水平上方的生长。
因此,如图7B所示,以第一抑制目标水平作为目标,进行ALD+抑制处理的第一循环。因此,特征700被填充达到并包含第一内凹部702,且未形成空隙,如图7C所示。
类似于第一内凹部702相关叙述,第二内凹部704也定义出第二抑制目标水平,且抑制被瞄准于此,以使得生长能达到并包含第二内凹部704,同时抑制该水平上方的生长。
因此,如图7D所示,以第二抑制目标水平为目标,进行第二次ALD+抑制处理循环。因此,特征700被填充达到并包含第二内凹部704,且未形成空隙,如图7E所示。
完成第一和第二内凹部填充后,接着在一些实施方案中,执行一般ALD(无抑制处理)以完成特征700的间隙填充,如图7F所示。
已证明基于沉积-蚀刻-沉积(DED)(例如,ALD氧化物→蚀刻→重复)和抑制(例如,如上所述的氟抑制)的沉积工艺得以填充高深宽比的通孔、孔洞和沟槽,如上所述。然而,与基于标准ALD的生长技术相比,DED/抑制方法由于现有硬件配置而遭遇产能限制。
因此,根据本发明的实施方案,硬件增强与DED/抑制技术结合,以填充具有内凹特征的高深宽比结构,从而提供与标准ALD填充工艺相当或更好的产能。标准ALD工艺条件下生长的ALD膜的总产能也获得改善。为了达到较高产能,将新的生长技术与新的硬件结合起来使用。更具体地说,就硬件而言,采用一组新的歧管,以允许蚀刻/抑制/钝化气体的快速循环时间。蚀刻/抑制/钝化气体被用于重新塑形/处理该表面,以实现无间隙生长。
图8示出了根据本发明的实施方案用于供应处理气体至处理室以进行ALD工艺的供气系统。中央供气装置800被配置成输送系统的处理气体至喷头856。中央供气装置800由能够将各种气体提供至喷头856并因而提供至处理室中的若干区段和歧管所定义。
如图所示,中央供气装置800包括进料管线806,其中惰性气体802通过该进料管线806而提供至中央供气装置800。惰性气体802进入中央供气装置800的流动可由阀804控制。
中央供气装置800还包含歧管808,其配置成使得氧化剂812能够输送至中央供气装置800中。氧化剂812通过连接至歧管808的进料管线810输送。此外,氧化剂进入歧管808的流动可由阀814控制。此外,在一些实施方案中,氧化剂可通过如图所示的阀816而转向。
区段818连接于歧管808与歧管820之间。歧管820配置成使得抑制或钝化气体824能够输送至中央供气装置800中。抑制/钝化气体824通过连接至歧管820的进料管线822输送。抑制/钝化气体824的输送由阀826控制。在所示的实施方案中,致动器828被配置成用于控制阀826的开启/关闭。
区段830连接在歧管820与歧管832之间。歧管832被配置成使得清洁或蚀刻气体836能够输送至中央供气装置800中。清洁/蚀刻气体836通过与歧管832连接的进料管线834输送。清洁/蚀刻气体836的输送由阀838控制。在所示的实施方案中,致动器840被配置成用于控制阀838的开启/关闭。
区段842连接于歧管832与歧管844之间。歧管844被配置成使得ALD前体气体848能够输送至中央供气装置800中。ALD前体气体848通过连接至歧管844的进料管线846输送。ALD前体气体848的输送由阀850控制。在所示的实施方案中,惰性气体802也可如由阀852控制而通过进料管线846来输送。在注入ALD前体之后,可使用该惰性气体,以清扫进料管线846中任何残留的前体。
区段854连接至歧管844,并将气体引导到喷头856,喷头856被配置成输送处理气体至处理室中。
如图所示,该抑制/钝化气体以及清洁/蚀刻气体通过独立的歧管提供,这两者完全独立于ALD前体气体848和氧化剂812的输送。
图9A和9B示出了现有系统与具有用于输送抑制/钝化气体和清洁/蚀刻气体的分开歧管的系统之间的区别。
图9A示出了现有系统的配置。如图所示,气体以两个歧管输送至处理室。氧化剂(例如用于ALD工艺的第二注入步骤)通过一歧管输送。然而,沉积(ALD前体)以及蚀刻/清洁(例如,含氟)气体通过另一歧管输送。由于沉积与清洁/蚀刻气体共享歧管,故在沉积与蚀刻-清洁工艺之间切换时因必须清除前体/清洁-蚀刻歧管而导致处理延迟。例如,在ALD前体与含氟气体之间切换可能需要长时间的清扫,其花费约100至300秒的总时间。
图9B示出了根据本发明的实施方案的具有用于诸多处理气体的独立歧管的系统配置。为克服清扫要求,通过使用独立的抑制、清洁-蚀刻、氧化剂和前体歧管,以增加产能。长的清扫时间(例如几分钟)可减缩至几秒钟,以实现类似ALD的沉积-蚀刻-抑制/钝化循环时间。
例如,在如先前所述的含氟抑制气体的情况下,含氟气体可通过独立于ALD前体歧管的清洁-蚀刻歧管来提供,因此不再需要先前要求的长时间清扫。另外,该系统可容纳其他抑制性气体的使用,其也配置有独立抑制/钝化歧管以进行输送。
清洁-蚀刻与抑制/钝化歧管两者都独立于ALD前体歧管,因此整个ALD工艺在气体输送方面都独立于抑制或氟处理气体。这加快了多种工艺的切换时间,从而能够以最小的切换时间快速连续地执行ALD、蚀刻以及抑制/钝化工艺的组合,从而提高系统的产能。
图10A、10B和10C示出了利用根据本发明的实施方案的技术而可以改善间隙填充性能。图10A概念性地示出了根据最著名的方法,采用标准ALD工艺进行间隙填充工艺后的特征1000的截面图。所得的间隙填充1002可以包括空隙1004。广义地说,希望将这种空隙最小化,使它们尽可能地深,但也使得间隙填充沉积尽可能快地进行。图10B示出了使用高产能ALD工艺的特征1000的间隙填充,例如,高产能ALD工艺的处理时间缩减至标准ALD工艺的0.3倍。然而,如图所示,间隙填充1006呈现较差的结果,空隙1008延伸得比标准ALD工艺的空隙更高且更宽。尽管改善了产能,但却损害了间隙填充性能。
然而,图10C示出了利用根据本发明的实施方案的技术和硬件,采用DED工艺之后接着进行高产能ALD工艺(例如,DED以克服内凹,接着是高产能的ALD以完成填充)的特征的间隙填充。在所得的间隙填充1010中,观察到最小的空隙1012或完全没有空隙,且产能改善至标准ALD的0.5倍的工艺时间。
图11示出了根据本发明的实施方案用于处理衬底的群集工具系统1100。群集工具系统通常安装在制造设施中。利用输送容器1102(例如,前开式晶片传送盒(FOUP)),将衬底(例如,晶片)运入或运出群集工具系统。设备前端模块(EFEM)1104包括配置成用于在输送模块1102与装载锁1108之间转移晶片的机械手1106。转移模块1110包括配置成用于在装载锁1108与若干处理工具1114中的一个之间转移晶片的机械手1112。在所示的实施方案中,每个处理工具1114为具有多个处理站1116的多站处理工具,以能够同时处理多个晶片。例如,在所示的实施方案中,每个多站处理工具1114具有四个处理站1116,其能够同时处理四个晶片(例如,根据本发明的实施方案所述进行ALD工艺)。
在一些实施方案中,控制器是系统的一部分,其可配置来根据公开内容的实施方案执行操作或方法。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
图12是用于实现本公开内容的实施方案的计算机系统的简化示意图。应当理解的是,本文描述的方法可以与数字处理系统一起执行,例如与常规的通用计算机系统一起执行。被设计或编程成执行仅一个功能的专用计算机可在替代方案中使用。计算机系统1800包括中央处理单元(CPU)1804,其通过总线1810耦合到随机访问存储器(RAM)1828、只读存储器(ROM)1812和大容量存储设备1814。系统控制器程序1808驻留在随机访问存储器(RAM)1828内,但也可以驻留在大容量存储设备1814内。
大容量存储设备1814表示持久的数据存储设备,如软盘驱动器或固定盘驱动器,其可以是本地或远程的。网络接口1830提供经由网络1832的连接,允许与其它设备通信。但应当理解的是,CPU 1804可体现在通用处理器、专用处理器或专门编程逻辑设备内。输入/输出(I/O)接口1820提供与不同的外围设备的通信,并且通过总线1810与CPU 1804、RAM1828、ROM 1812和大容量存储设备1814连接。外围设备实例包括显示器1818、键盘1822、光标控制1824、可移动媒体设备1834,等等。
显示器1818被配置成显示本文所描述的用户接口。键盘1822、光标控制(鼠标)1824、可移除媒体设备1834和其它外围设备被耦合到I/O接口1820,以便在命令选择中向CPU 1804传送信息。应该理解的是,出入外部设备的数据可通过I/O接口1820传输。实施方案也可以在分布式计算环境内实施,在该分布式计算环境中任务由通过有线或无线网络连接的远程处理设备执行。
实施方案可与各种计算机系统配置一起执行,所述各种计算机系统配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费电子产品、小型计算机、大型计算机等。这些实施方案也可以在分布式计算环境中实施,在该分布式计算环境中任务由通过网络连接的远程处理设备执行。
考虑到上述实施方案,应当理解的是,实施方案可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。构成实施方案一部分的本文所描述的任何操作是有用的机器操作。实施方案还涉及用于执行这些操作的设备或者装置。该装置可以被特别地构造用于所需目的,诸如专用计算机。当被定义为专用计算机时,该计算机也可以执行并非专用目的一部分的其他的处理、程序执行或例程,同时仍然能够操作用于专用目的。可替代地,操作可以由通用计算机执行,该通用计算机通过存储在计算机存储器、高速缓存或通过网络得到的一个或多个计算机程序选择性地启动或配置。当数据通过网络获得时,数据也可以通过网络上的其他计算机进行处理,例如云计算资源。
一个或多个实施方案也可以构造为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,这些数据可随后由计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带以及其他光学和非光学式数据存储设备。计算机可读介质可包括分布在网络耦合的计算机系统上的计算机可读有形介质,从而计算机可读代码被分布式存储和执行。
虽然该方法的操作被以特定顺序描述,但应当理解的是,其他内务操作可以在操作之间执行,或者操作可以被调整使得它们能够在稍微不同的时刻发生,或者可以在系统中分配,从而允许处理操作发生在与处理相关联的不同间隔,只要重叠操作的处理以所期望的方式执行即可。
因此,示例性实施方案的公开旨在于说明而非限制本公开的范围,本公开的范围在所附权利要求及其等同方案中阐明。尽管为了清楚理解的目的已经详细描述了本公开的示例性实施方案,但是显而易见的是,可以在所附权利要求的范围内进行某些改变和修改。除非在权利要求书中明确指出或本公开内容暗含要求,否则在所附权利要求书中,元件和/或步骤并不暗示任何特定的操作顺序。

Claims (20)

1.一种用于执行衬底上的特征的间隙填充的方法,其包括:
(a)移动所述衬底至处理室中;
(b)执行ALD工艺的多个循环;
(c)从所述处理室清扫来自所述ALD工艺的处理气体;
(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理;
(e)从所述处理室清扫来自所述等离子体处理的处理气体;
(f)重复操作(b)至(e),直到执行预定循环次数。
2.根据权利要求1所述的方法,其中所述氟等离子体钝化所述衬底上的所述特征的一部分,从而抑制在所述特征的被钝化的所述部分上通过所述ALD工艺的沉积。
3.根据权利要求2所述的方法,其中所述特征的被钝化的所述部分从所述特征的顶部向下延伸至所述特征中的预定目标水平。
4.根据权利要求3所述的方法,其中所述预定目标水平由所述等离子体处理的一或更多参数控制。
5.根据权利要求4所述的方法,其中所述等离子体处理的所述参数包括下列的一或更多者:所述等离子体处理的持续时间、所述等离子体处理的温度、所述含氟气体的压强以及所述RF功率的电平。
6.根据权利要求3所述的方法,其中所述特征包含内凹部,且其中所述预定目标水平限定为在所述内凹部的水平处,以通过所述等离子体处理实质上抑制所述内凹部的所述水平上方处的通过所述ALD工艺进行的沉积。
7.根据权利要求2所述的方法,其中所述氟等离子体通过沿着所述特征的所述部分的表面形成氟终端物质来钝化所述特征的所述部分。
8.根据权利要求1所述的方法,其还包括:
(g)执行所述ALD工艺的一或更多个循环。
9.根据权利要求8所述的方法,其中操作(e)的所述预定循环次数被配置成影响间隙填充,以去除所述特征中的内凹部。
10.根据权利要求9所述的方法,其中操作(g)的所述ALD工艺的所述一或更多个循环被配置成完成所述特征的所述间隙填充。
11.根据权利要求1所述的方法,其中所述ALD工艺被配置成在所述衬底的所述特征中沉积氧化物。
12.根据权利要求1所述的方法,其中所述含氟气体为CH3F、CHF3、CF4、C2H4F2、C2H2F4、C3H2F6、C4H2F8、C4F8、NF3、或SF6
13.一种用于执行衬底上的特征的间隙填充的方法,其包括:
(a)移动所述衬底至处理室中;
(b)执行ALD工艺的多个循环,所述ALD工艺被配置成在所述衬底的所述特征中沉积氧化物;
(c)从所述处理室清扫来自所述ALD工艺的处理气体;
(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理;
(e)从所述处理室清扫来自所述等离子体处理的处理气体;
(f)重复操作(b)至(e),直到执行预定循环次数;
(g)执行所述ALD工艺的多个循环。
14.根据权利要求13所述的方法,其中所述氟等离子体钝化所述衬底上的所述特征的一部分,从而抑制在所述特征的被钝化的所述部分上通过所述ALD工艺的沉积。
15.根据权利要求14所述的方法,其中所述特征的被钝化的所述部分从所述特征的顶部向下延伸至所述特征中的预定目标水平。
16.根据权利要求15所述的方法,其中所述预定目标水平由所述等离子体处理的一或更多参数控制。
17.根据权利要求16所述的方法,其中所述等离子体处理的所述参数包括下列的一或更多者:所述等离子体处理的持续时间、所述等离子体处理的温度、所述含氟气体的压强以及所述RF功率的电平。
18.一种用于执行衬底上的特征的间隙填充的方法,其包括:
(a)移动所述衬底至处理室中;
(b)执行ALD工艺的多个循环;
(c)从所述处理室清扫来自所述ALD工艺的处理气体;
(d)通过将含氟气体引入至所述处理室中并施加RF功率至所述含氟气体以在所述处理室中产生氟等离子体,而对所述衬底进行等离子体处理,所述RF功率以在200至600kHz的范围中的频率施加;
(e)从所述处理室清扫来自所述等离子体处理的处理气体;
(f)重复操作(b)至(e),直到执行预定循环次数。
19.根据权利要求18所述的方法,其中所述等离子体处理的压强是在0.5至8托的范围中。
20.根据权利要求18所述的方法,其中所述等离子体处理的持续时间是在0.1至3秒的范围中。
CN201980045632.0A 2018-07-06 2019-06-21 用于基于等离子体的沉积的表面改性的深度受控沉积 Active CN112384643B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311334762.0A CN117646190A (zh) 2018-07-06 2019-06-21 用于基于等离子体的沉积的表面改性的深度受控沉积

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/029,466 2018-07-06
US16/029,466 US10727046B2 (en) 2018-07-06 2018-07-06 Surface modified depth controlled deposition for plasma based deposition
PCT/US2019/038589 WO2020009818A1 (en) 2018-07-06 2019-06-21 Surface modified depth controlled deposition for plasma based deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311334762.0A Division CN117646190A (zh) 2018-07-06 2019-06-21 用于基于等离子体的沉积的表面改性的深度受控沉积

Publications (2)

Publication Number Publication Date
CN112384643A CN112384643A (zh) 2021-02-19
CN112384643B true CN112384643B (zh) 2023-11-07

Family

ID=69059941

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311334762.0A Pending CN117646190A (zh) 2018-07-06 2019-06-21 用于基于等离子体的沉积的表面改性的深度受控沉积
CN201980045632.0A Active CN112384643B (zh) 2018-07-06 2019-06-21 用于基于等离子体的沉积的表面改性的深度受控沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202311334762.0A Pending CN117646190A (zh) 2018-07-06 2019-06-21 用于基于等离子体的沉积的表面改性的深度受控沉积

Country Status (7)

Country Link
US (3) US10727046B2 (zh)
JP (1) JP2021528571A (zh)
KR (1) KR20210018959A (zh)
CN (2) CN117646190A (zh)
SG (1) SG11202100013TA (zh)
TW (1) TW202016339A (zh)
WO (1) WO2020009818A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202246575A (zh) * 2021-04-02 2022-12-01 荷蘭商Asm Ip私人控股有限公司 用於填充間隙之方法以及相關半導體處理設備
US11380691B1 (en) * 2021-04-14 2022-07-05 Applied Materials, Inc. CMOS over array of 3-D DRAM device
KR102417431B1 (ko) * 2021-06-28 2022-07-06 주식회사 한화 보이드 또는 심의 발생을 억제하는 기판 처리 장치 및 방법
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
WO2023164717A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Surface inhibition atomic layer deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI243214B (en) * 2000-08-24 2005-11-11 Applied Materials Inc Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
CN101079379A (zh) * 2006-04-17 2007-11-28 应用材料股份有限公司 集成工艺调制一种利用hdp-cvd间隙填充的新型方法
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN106952799A (zh) * 2016-01-07 2017-07-14 朗姆研究公司 使用基于等离子体的工艺消除氟残余物的系统和方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5983162B2 (ja) 2012-01-25 2016-08-31 東京エレクトロン株式会社 半導体装置の製造方法
CN104272440B (zh) * 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
KR20180018510A (ko) 2015-06-18 2018-02-21 인텔 코포레이션 반도체 구조체들을 위한 금속 피처들의 BUF(Bottom-Up Fill)
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI243214B (en) * 2000-08-24 2005-11-11 Applied Materials Inc Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
CN101079379A (zh) * 2006-04-17 2007-11-28 应用材料股份有限公司 集成工艺调制一种利用hdp-cvd间隙填充的新型方法
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN106952799A (zh) * 2016-01-07 2017-07-14 朗姆研究公司 使用基于等离子体的工艺消除氟残余物的系统和方法

Also Published As

Publication number Publication date
US11373862B2 (en) 2022-06-28
KR20210018959A (ko) 2021-02-18
WO2020009818A1 (en) 2020-01-09
JP2021528571A (ja) 2021-10-21
TW202016339A (zh) 2020-05-01
CN117646190A (zh) 2024-03-05
US10727046B2 (en) 2020-07-28
US20200357636A1 (en) 2020-11-12
SG11202100013TA (en) 2021-01-28
US20220301866A1 (en) 2022-09-22
US20200013616A1 (en) 2020-01-09
CN112384643A (zh) 2021-02-19

Similar Documents

Publication Publication Date Title
CN112384643B (zh) 用于基于等离子体的沉积的表面改性的深度受控沉积
US11236418B2 (en) Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
US9640409B1 (en) Self-limited planarization of hardmask
US20160322229A1 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
TW201303063A (zh) 用於控制各種材料的蝕刻選擇性的系統及方法
US20220205096A1 (en) Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
KR102297695B1 (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
JP7176106B2 (ja) 誘電体材料の堆積方法
US20220028680A1 (en) Multi-layer deposition and treatment of silicon nitride films
US10224235B2 (en) Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
CN111244025A (zh) 填充方法
US20220181141A1 (en) Etch stop layer
CN116982139A (zh) 使用脉冲高频射频(hfrf)等离子体的间隙填充工艺
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US20230131213A1 (en) Film forming method and film forming system
WO2023136913A1 (en) Method to control etch profile by rf pulsing
WO2024054441A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill
WO2024010688A1 (en) Nitrogen plasma treatment for bottom-up growth

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant