CN104350185A - 用于沉积系统的气体注入部件及相关方法 - Google Patents

用于沉积系统的气体注入部件及相关方法 Download PDF

Info

Publication number
CN104350185A
CN104350185A CN201380030040.4A CN201380030040A CN104350185A CN 104350185 A CN104350185 A CN 104350185A CN 201380030040 A CN201380030040 A CN 201380030040A CN 104350185 A CN104350185 A CN 104350185A
Authority
CN
China
Prior art keywords
precursor gases
middle plate
air injector
top board
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380030040.4A
Other languages
English (en)
Inventor
C·卡尼扎瑞斯
R·贝尔特拉姆
D·古拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soitec SA filed Critical Soitec SA
Publication of CN104350185A publication Critical patent/CN104350185A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种气体注入器包括底板、中板和顶板。底板、中板和顶板被构造成使净化用气体在底板与中板之间流动,并且使前体气体在中板与顶板之间流动。另一气体注入器包括前体气体进口、侧部前体气体流动通道,以及多个前体气体流动通道。所述多个前体气体流动通道从所述至少一个侧部前体气体流动通道延伸至气体注入器的出口。在衬底上形成材料的方法包括:使前体在气体注入器的中板与顶板之间流动,以及使净化用气体在气体注入器的底板与中板之间流动。

Description

用于沉积系统的气体注入部件及相关方法
技术领域
本发明公开涉及用于将气体注入沉积系统的化学沉积腔的气体注入部件,例如气体注入器,以及包含这些部件的系统和利用这些部件及系统在衬底上形成材料的方法。
背景技术
半导体结构是制作半导体器件时所使用或形成的结构。半导体器件包括,例如,电子信号处理器、电子存储器件、感光器件(例如发光二极管(LEDs)、光伏(PV)器件等等),以及微机电(MEM)器件。这些结构和材料经常包括一种或多种半导体材料(例如硅、锗、碳化硅、III-V族半导体材料等等),且可包括集成电路的至少一部分。
由元素周期表中III族元素与V族元素的组合所形成的半导体材料称为III-V族半导体材料。III-V族半导体材料的范例包括III族氮化物材料,例如氮化镓(GaN)、氮化铝(AlN)、氮化铝镓(AlGaN)、氮化铟(InN)和氮化铟镓(InGaN)。氢化物气相外延(HVPE)是用于在衬底上形成(例如生长)III族氮化物材料的一种化学气相沉积(CVD)技术。
在用于形成GaN的一范例HVPE工艺中,包含碳化硅(SiC)或氧化铝(Al2O3,通常称为“蓝宝石”)的衬底被置于一化学沉积腔内并加热至高温。化学前体氯化镓(例如GaCl、GaCl3)及氨(NH3)在该腔内混合并反应以形成GaN,其以外延方式在该衬底上生长而形成一层GaN。该前体中的一个或多个可在该腔内(亦即原位)形成,例如使氯化氢(HCl)蒸汽沿熔融镓流过以形成GaCl,或者,前体中的一个或多个可在注入腔前形成(亦即异位)。
在先前已知的构造中,前体GaCl可经由大致平坦的气体注入器注入前述腔,气体注入器设有发散的内部侧壁(通常称为“遮挡(visor)”或“遮挡式注入器(visorinjector)”)。前体NH3则可经由一多接口注入器(multi-port injector)注入腔。这些前体注入前述腔后,首先会被遮挡式注入器的顶板分开,该顶板延伸至靠近前述衬底边缘的位置。当前体到达顶板的端部时,前体会混合并反应,以在衬底上形成一层氮化镓材料。
发明内容
本概要旨在以简要形式介绍所选定的概念。这些概念将在下文于本发明的示范性实施方式中进一步详述。本概要的用意并非指出所主张主题的主要特点或基本特点,亦非用于限制所主张主题的范围。
在一些实施方式中,本发明包括用于化学沉积腔的气体注入器,该气体注入器包括底板、被定位在底板的上方的中板以及在中板的与底板相反的那一侧定位在中板的上方的顶板。底板、中板和顶板被构造成使净化用气体在底板与中板之间流动,并且使前体气体在中板与顶板之间流动。
在其它实施方式中,本发明包括用于化学沉积腔的气体注入器,该气体注入器包括前体气体进口、与该前体气体进口流体连通的至少一个侧部前体气体流动通道,以及与所述至少一个侧部前体气体流动通道流体连通的多个前体气体流动通道。所述多个前体气体流动通道从所述至少一个侧部前体气体流动通道延伸至所述气体注入器的出口。
在一些实施方式中,本发明包括在衬底上形成材料的方法。依照这种方法,第一前体气体在气体注入器的中板与顶板之间流动。净化用气体在气体注入器的底板与中板之间流动。第一前体气体流出气体注入器,并且朝向被定位成靠近遮挡式注入器的衬底流动。
附图说明
虽然本说明书以权利要求书作结,且权利要求书详细指出及明确主张可认为是本发明的实施方式,但配合所附图式阅读本发明的实施方式的某些范例的叙述,将更容易确知本发明实施方式的优点,在附图中:
图1为化学沉积腔的气体注入器的底板的简化示意图,该图示出了前体气体流及净化用气体流;
图2示出了图1的底板,在中央腔与净化用气体通道之间有一漏隙;
图3为依照本发明的实施方式的气体注入器的分解立体图,该气体注入器包括底板、中板及顶板;
图4为图3的底板的俯视图;
图5为图3的顶板的俯视图;
图6为图3的中板的仰视图,该图示出了形成于该中板内的净化用气体流动通道;
图7为图3的中板的俯视图,该图示出了形成于该中板内的前体气体流动通道;
图8为图3的气体注入器经组装后的一部分的局部剖面图,该部分包括底板、中板、顶板及焊接部,该焊接部沿中板和顶板的周边边缘将中板联接至顶板;
图9呈现了气体流过图3的气体注入器;以及
图10为计算机模型及仿真产生的图表,该图表示出了在沉积工艺期间流过图3的气体注入器的平均前体质量流。
具体实施方式
这里描述的说明,并非意在对任何特定材料、结构或器件的实际意见,而仅是用来描述本发明实施方式的理想化陈述。
如本说明书中使用的,当用语“大体上”涉及给定的参数、特性或条件时,指就本领域的技术人员能够理解的程度而言,该给定参数、特性或条件在一定差异程度内获得满足,例如在可接受的制造公差内。
如本说明书中使用的,任何关联性质用语,例如“第一”、“第二”、“在...上面”、“在...上方”、“在...下方”、“顶部”、“底部”、“上部”、“相反”等等,是为清楚说明及便于理解本发明及所附图示而使用的,除上下文另有明确指出者外,这些用语并不暗示或取决于任何特定的偏好、方位或顺序。
如本说明书中使用的,用语“气体”意指并且包括既不具独立形状亦无体积的流体。气体包括蒸汽。因此,在本说明书中用语“气体”可解释成意指“气体或蒸汽”。
如本说明书中使用的,词语“氯化镓”意指并包含一氯化镓(GaCl)及三氯化镓当中的一种或多种,其可以以单体(GaCl3)或二聚体(Ga2Cl6)的形式存在。举例而言,氯化镓可实质上由一氯化镓构成、实质上由三氯化镓构成,或实质上同时由一氯化镓及三氯化镓构成。
本发明包括可用于使气体流向衬底的结构及方法,如在该衬底的表面上沉积或以其它方式形成材料(例如,半导体材料、III-V族半导体材料、氮化镓((GaN)材料、碳化硅材料等等)。在特定实施方式中,本发明涉及气体注入器及其部件、使用该气体注入器的沉积系统、利用该气体注入器在衬底上沉积或以其它方式形成材料的方法,以及使气体流过气体注入器的方法。在一些实施方式中,本发明的气体注入器可包括底板、中板及顶板,并有一焊接部将中板的至少一个周边外缘密封至顶板的至少一个相应周边外缘。在一些实施方式中,本发明的气体注入器可包括多个前体气体流动通道,以使前体气体从气体注入器的前体气体进口流至气体注入器的出口侧。下文将进一步详细描述该结构及方法。
图1呈现了供沉积系统的化学沉积腔(例如HVPE沉积腔)用的气体注入器的底板10的示意图,并且该底板包括形成于其中以使前体气体及净化用气体流过该底板10的特征部。举例而言,底板10可包括中央腔12,其设有发散的侧壁14,以使前体气体(例如氯化镓(例如GaCl、GaCl3)气体)从前体气体进口16流向衬底(未示出),材料(例如III-V族半导体材料、GaN材料等等)将经由化学沉积工艺(例如化学气相沉积工艺、HVPE工艺等等)形成于该衬底上。该底板10还可包括净化用气体通道18,以使净化用气体(例如H2、N2、SiH4,、HCl等等)从净化用气体进口20流入化学沉积腔。净化用气体通道18可被定位成位于中央腔12的外侧部并邻接该中央腔12。底板10还可包括位于中央腔12与净化用气体通道18之间的密封表面22。
顶板(未示出)可被定位在底板10的上方,并且可在密封表面22处抵靠底板10。理想地,密封可在密封表面22与顶板之间形成,以将中央腔12与净化用气体通道18分开,并抑制前体气体和/或净化用气体流动而越过密封表面22。如图1中的箭头24所示,前体气体理想上会从前体气体进口16经过中央腔12流向该衬底,并相对均匀地分布于中央腔12的整个宽度。在操作期间,顶板可将流过底板10的中央腔12的前体气体(例如氯化镓)与另一前体气体(例如NH3)分开。在这些前体气体到达顶板的靠近衬底的末端后,这些前体气体便可混合并反应,以在衬底上形成包括各前体气体的至少一部分的材料(例如GaN材料,其包括来自氯化镓前体的Ga,以及来自NH3前体的N)。如图1中的箭头26所示,净化用气体理想上会从净化用气体进口20经过净化用气体通道18流向化学沉积腔。在操作期间,流过净化用气体通道18的净化用气体可在这些前体气体流动之前或之后流动,以例如将非所需的化学物从化学沉积腔清除。作为替代方案,或除此之外,净化用气体可在这些前体气体流动的同时流动,以例如作为载体气体,将化学沉积工艺的副产物(例如HCl)带出化学沉积腔。净化用气体可沿化学沉积腔的侧壁受到导引,以用作气体帘幕,限制来自这些前体气体的材料在沉积腔的侧壁发生寄生沉积。
本发明描述的示例虽是使氯化镓及NH3在化学沉积腔中流动以在衬底上形成GaN,但本发明亦适用于其它气体的流动以形成GaN以外的材料(例如AIN、AlGaN、InN、InGaN等等)。事实上,本领域的技术人员将会认可,本发明的结构和方法以及这些结构与方法的部件及元件,皆可用在涉及使一种或多种气体流入及流过化学沉积腔的许多应用。
参照图2,由于密封表面22和/或顶板的表面中存在瑕疵,因此在底板10的密封表面22与顶板的紧靠密封表面22的表面之间可能存在漏隙28。瑕疵可能在底板10和/或顶板形成时出现,或因后续行为而产生。作为非限定性质的示例,底板10可包括经火焰抛光的石英,以使该底板10的本体得以耐受操作期间可预期的高温及低压。在一些实施方式中,底板10在其使用期限内可经多次火焰抛光。该火焰抛光可造成密封表面22翘曲或以其它方式变形,从而导致产生该漏隙28。
一些前体气体可能会流过漏隙28,因而改变前体气体流过中央腔12的气流。举例而言,前体气体可流过漏隙28并沿着靠近漏隙28的侧壁14流动,如图2的箭头30所示。但是,几乎没有或完全没有前体气体可沿着远离漏隙的侧壁14流动,如图2的虚线箭头32所示。因此,该漏隙28可能造成流过中央腔12并越过衬底的前体气体流的不均匀分布,从而导致由该前体气体在衬底上所形成的材料(例如GaN)的厚度不均匀。此外,前体气体的流过漏隙28及净化用气体通道18的那些部分可能不会流过衬底的中央区域的上方,且对于给定时间和/或给定前体气体流率,于该衬底上所形成的材料的平均厚度可能会减少。为抵消漏隙28的影响,需要更多时间和/或前体气体来在衬底上形成所需厚度的材料,这增加了生产成本。此外,漏隙28可能会降低经过化学沉积腔的气体流的可控制性及可预测性,及在该衬底上形成材料的工艺的可控制性及可预测性。漏隙28还可能影响化学沉积工艺的效率,因为前体气体的一部分会流过漏隙28而远离衬底。这样一来,在衬底上形成所需数量的材料而使用的前体气体数量及成本,将因该漏隙28而增加。
图3呈现了依照本发明一实施方式的气体注入器100的分解立体图。该气体注入器100可包括底板102、底板102上方的中板104,以及中板104上方的顶板106。气体注入器100可被构造成将前体气体及净化用气体中的一者或多者注入化学沉积腔(例如HVPE沉积腔),以在被定位成靠近气体注入器100的衬底(未示出)上形成材料。在操作期间,前体气体可在经由气体注入器100注入化学沉积腔之前被加热。2010年2月17日提交的、名称为“GAS INJECTORS FOR CVD SYSTEMS WITH THESAME”、公开号为WO 2010/101715 A1的国际专利申请公开了一种将氯化镓前体气体在注入化学沉积腔前加热的方法,该申请的公开通过引用整体被合并引入这里。前体气体可被预热至超过大约500℃。在一些实施方式中,这些前体可被预热至超过大约650℃,例如介于大约700℃及大约800℃之间。在加热前,氯化镓前体可实质上由三氯化镓构成,其可以以单体((GaCl3)或二聚体(Ga2Cl6)的形式存在。一经加热和/或注入化学沉积腔,该GaCl3的至少一部分可热分解为,举例而言,一氯化镓(GaCl)及其它副产物。因此,在化学沉积腔中,氯化镓前体可实质上由GaCl构成,但也可存在一些GaCl3。此外,衬底也可在前体气体注入器前加热至举例而言超过大约500℃。在一些实施方式中,衬底可被预热至介于大约900℃及大约1000℃之间的温度。
衬底可包括任何材料,在该材料上可形成(例如生长、外延生长、沉积等等)GaN或其他所需材料((例如另一种III-V族半导体材料)。举例而言,衬底可包括碳化硅(SiC)及氧化铝(Al2O3,经常称为“蓝宝石”)当中的一种或多种。衬底可为所谓的单一材料“晶圆”,供GaN在其上形成,或者,衬底可为一晶座(susceptor)(例如覆有SiC的蓝宝石晶座)以固持多个较小的材料衬底,供GaN在其上形成。
气体注入器100的部件,包括底板102、中板104及顶板106,可由在操作条件(例如化学物质、温度、流率、压力等等)下能够充分保持其形状的任何材料形成。此外,气体注入器100的部件的材料可加以选定,使其能抑制与流过气体注入器100的气体(例如前体)反应。作为非限定性质的范例,这些部件中的一个或多个,可由金属、陶瓷及聚合物中的一者或多者形成。在一些实施方式中,这些部件中的一个或多个可至少实质上由石英构成,例如经火焰抛光的透明熔融石英。在一些实施方式中,这些部件中的一个或多个可包括SiC材料。这些部件中的一个或多个可经过清洗以减少化学沉积腔中的污染物,例如以10%的氢氟酸(HF)溶液清洗,接着以蒸馏水和/或去离子水冲洗。
参照图4并结合图3,底板102可具有实质上平坦的上部表面108。多个侧壁110可从上部表面108沿底板102的周边边缘延伸。净化用气体进口112可穿过底板102,净化用气体进口112按尺寸和构造形成为使净化用气体得以从化学沉积腔的外部流过净化用气体进口112。孔洞114也可穿过底板102,该孔洞114按尺寸和构造形成为以便接纳中板104的前体气体进口杆(precursor gas inlet stem),如下文所详述。底板102的出口侧116可至少部分由大致半圆形的表面限定,该表面按尺寸和构造形成为以便靠近衬底,该衬底供材料于其上形成。
参照图5并结合图3,顶板106可为实质上平坦的部件,其按尺寸和构造形成为与底板102和中板104组装在一起。在一些实施方式中,顶板106可按尺寸和构造形成为固定于中板104上方并至少部分地位于底板102的侧壁110的范围内。顶板106可具有出口侧118,该出口侧118至少部分地由大致半圆形的表面限定,该表面按尺寸和构造形成为以便靠近将在其上形成材料的衬底。在操作时,可使第一前体气体(例如氯化镓)沿顶板106的底部表面流动,并使第二前体气体(例如NH3)沿顶板106的上部表面流动。当这些第一及第二前体气体到达顶板106的出口侧118时,这些第一及第二前体气体便可混合并反应,以在被定位成靠近出口侧118的衬底上形成(例如生长、外延生长、沉积等等)材料。多个凹口120可沿着顶板106的出口侧118形成,以便顶板106与中板104之间的焊接部在这些凹口120处形成。
参照图6和图7并结合图3,中板104可具有底部表面122(图6)和上部表面124(图7),其中底部表面122形成有用于流动净化用气体的一个或多个特征部,上部表面124形成有用于流动前体气体的一个或多个特征部。举例而言,如图6所示,多个净化用气体流动通道126可形成于底部表面122,以使净化用气体可从底板102的净化用气体进口112(图3及图4)流至净化用气体出口128。这样,当中板104与底板102组装在一起后,这些净化用气体流动通道126便可与底板102的净化用气体进口112(图3及图4)流体连通。可选择地,倘若要使净化用气体从气体注入器100的中央区域流动,也可在中板104的底部表面122形成多个中央位置净化用气体通道130。中板104可具有出口侧132,该出口侧132至少部分地由大致半圆形的表面限定,该表面按尺寸和构造形成为靠近将在其上形成材料的衬底。唇缘134可从底部表面122沿出口侧132延伸。与底板102组装在一起后,中板104的唇缘134可悬在并延伸于底板102的大致半圆形出口侧116上方。如图6所示,这些中央位置净化用气体通道130可具有出口136,这些出口136靠近但不穿过唇缘134。相应地,在操作期间,流过中央位置净化用气体通道130的净化用气体可受到唇缘134的导引,以流过定位成靠近中板104的出口侧132的前体的整个底部表面。
如图6所示,前体气体进口杆138可从中板104的底部表面122延伸而出。前体气体进口杆138可按尺寸和构造形成为至少部分地设置在底板102中的孔洞114(图3及图4)内(例如穿过该孔洞)。前体入口140(即,孔洞)可延伸穿过前体气体进口杆138,以为中板104的上部表面124提供流体连通。中板104可按尺寸和构造形成为以便与底板102和顶板106组装在一起,以形成气体注入器100。举例而言,与底板102和顶板106组装在一起后,中板104可至少部分地位于底板102的侧壁110(图3及图4)的范围内,且中板104实质上完全位于顶板106的下方。
参照图7并结合图3,中板104的上部表面124可包括一个或多个特征部,其用于使前体气体从前体入口140流至中板104的出口侧132,并在最后遍布于靠近气体注入器100定位的衬底的上方。举例而言,如图3及图7所示,多个前体气体流动通道142可形成于中板104的上部表面124。至少一个侧部前体气体流动通道144可提供前体入口140和各前体气体流动通道142之间的流体连通。如图3及图7所示,至少一个侧部前体气体流动通道144延伸的方向可至少实质上垂直于多个前体气体流动通道142延伸的方向。在一些实施方式中,各前体气体流动通道142可在至少一个侧部前体气体流动通道144处相对狭窄,并在中板104的出口侧132相对宽阔,如图3及图7所示。在一些实施方式中,各前体气体流动通道142可由相对狭窄的进口部分、相对宽阔的出口部分,以及进口部分与出口部分之间的发散的中间部分限定,如图3及图7所示。
多个前体气体流动通道142可改善前体气体在衬底上的分布。举例而言,在中板104的整个出口侧132及最后在整个衬底上,前体气体可更均匀地分布,如下文参照图9及图10所述。此外,与包含单个中央通道以流动前体气体的先前已知构造相比,这些前体气体流动通道142可被定位成涵盖中板104的较广的出口侧132。因此,前体气体流过衬底上方的部分会更大,而衬底上有材料(例如GaN)形成的部分也会更大。此外,多个前体气体流动通道142可搭配其尺寸供在相对较大衬底上形成材料而作成的气体注入器100使用。因此,这些前体气体流动通道142的设计可适用于各种尺寸和构造的气体注入器及衬底。
参照图8,图示了气体注入器100经组装后的一部分的局部剖面图。焊接部146可沿中板104及顶板106的至少一个周边外缘而形成,以将中板104联接至顶板106。除沿顶板106的出口侧118及中板104的出口侧132之外,焊接部146可至少大体上连续地沿中板104及顶板106的整个周边外缘而形成。焊接部146可将顶板106密封至中板104,并可将沿中板104的上部表面124的前体气体流与沿中板104的下部表面122的净化用气体流分开。因此,焊接部146可抑制(例如减少或消除)顶板106与中板104之间的漏隙形成,并且抑制从这些前体气体流动通道142流进净化用气体流动通道126的非所需前体气体流。形成气体注入器100时,可先将顶板106及中板104焊接在一起后,再与底板102组装。作为非限定性质的示例,焊接部146可由石英形成,其经熔融以黏着至中板104及顶板106并在之后固化。如前所指出,在一些实施方式中,为机械稳定度的目的,在顶板106与中板104之间,额外的焊接部可在形成于顶板106的凹口120处(图3及图5)形成。
再参照图8,焊接部146可为所谓“冷焊件”,其通过在焊接部146的一侧(例如沿着顶板106及中板104的周边外缘的一侧)施加热量而形成。对照之下,所谓“热焊件”,则是从焊接部的相对两侧施加热量而形成。一般而言,热焊件的机械稳定度比冷焊件好。因此,当焊接件预期要承受高机械应力时,例如来自高温、高压力梯度等等的机械应力,通常会使用热焊件。在先前已知的构造中,由于底板在操作期间预期承受的高机械应力,因此会考虑在气体注入器的顶板和底板间使用热焊件。然而,这种热焊件的形成十分困难或无法做到,因为不易使足以形成热焊件的热源接触焊接件的相对两侧。另一方面,在先前已知的构造中,由于底板在操作期间预期承受的高机械应力,因此不太可能使用冷焊件。基于至少这些原因,先前已知的气体注入器通常由顶板紧靠底板而形成,没有使用任何焊接件。如前文参照图2所述,此种构造在顶板与底板间有形成漏隙的可能。
使用本发明的中板104,可使焊接部146作为冷焊件而形成,因为中板104及顶板106的预期机械应力不如底板那么多,且冷焊件预期能够耐受中板104及顶板106的预期机械应力。如前所指出,焊接部146可抑制漏隙的形成。
虽然上文参照图6所描述的净化用气体流动通道126及(作为一个选项)中央位置净化用气体通道130形成于中板104的底部表面122,但本发明并不受此所限。作为一替代方案,或除此之外,这些净化用气体流动通道126及中央位置净化用气体通道130中的一个或多个可形成于底板102的上部表面108。在这样的构造中,中板104的底部表面122可大体上为平坦的,或者还可包括形成于其中的净化用气体流动通道。同样地,虽然上文参照图3及图7所描述的前体气体流动通道142及至少一个侧部前体气体流动通道144形成于中板104的上部表面124,但本发明并不受此所限。作为一替代方案,或除此之外,这些前体气体流动通道142及至少一个侧部前体气体流动通道144中的一个或多个可形成于顶板106中。在这种构造中,中板104的上部表面124可实质上为平坦的,或者还可包括形成于其中的前体气体流动通道。不论在何种情况下,中板与顶板之间的漏隙的形成均可如上文所述被焊接部146所抑制,漏隙可造成非所需的前体气体流进净化用气体流动通道。
参照图9,图示了流过图3和图8的气体注入器100的前体气体流的计算流体力学(CFD)模型。如图9的多条流动线148所呈现,前体气体(例如GaCl3)可从前体入口140流过至少一个侧部前体气体流动通道144,然后流过多个前体气体流动通道142。
参照图10,其示出了前体气体流过气体注入器100的中板104的各前体气体流动通道142的前体气体平均质量流的图表。在图10的图表中,标示“1”的出口对应于图9右上方的前体气体流动通道142,标示“2”的出口所对应的前体气体流动通道142是邻接标示“1”的出口,其余依此类推。
从图9的流动线148和图10的图表可看出,前体气体相对均匀地分布于这些前体气体流动通道142之间。相应的,可预期的是,在被定位成靠近这些前体气体流动通道142的出口的衬底上,由前体气体所形成的材料将在整个衬底具有相对均匀的厚度。
虽然本发明的附图包括八个前体气体流动通道142,但本发明并不受此所限。可使用任何数目的前体气体流动通道142。事实上,本发明的一个或多个好处可经由包含先前已知的单个中央腔(例如图1及图2的中央腔12)的中板而实现。举例而言,焊接部146和/或在中板的底部表面上所形成的净化用气体流动通道126,可如前所述抑制漏隙的形成。
虽然本发明的附图包括带有多个前体气体流动通道142形成于其中的中板104,但本发明并不受此所限。举例而言,在一些实施方式中,中板104可以省略,且这些前体气体流动通道142及这些净化用气体流动通道126可同时形成于底板和顶板中的一个或多个。这种构造虽然排除了焊接部的使用且较有可能导致漏隙,但与先前已知的、包含单个中央腔用于前体气体流动的气体注入器的构造相较,仍可实现多个前体气体流动通道142的好处。举例而言,与单个中央腔相较,多个前体气体流动通道142可如前所述,使前体气体更均匀和/或更大面积地流过整个衬底。
在一些实施方式中,本发明还包括在衬底上形成材料(例如半导体材料,如III-V族半导体材料)的方法。再次参照图3至图9,底板102、中板104及顶板106可如前所述加以组装而形成气体注入器100,且组装后的气体注入器100可安置在化学沉积腔内。衬底(未示出)可被定位成靠近气体注入器100。衬底在化学沉积腔内可旋转。衬底可被加热至高温,例如大约500℃以上。在一些实施方式中,衬底可被预热至介于大约900℃及大约1000℃之间的温度。
第一前体气体(例如气态氯化镓)可流过前体入口140并进入中板104与顶板106之间的空间,空间由形成于中板104的上部表面124的侧部前体气体流动通道144所限定,如前所述。从至少一个侧部前体气体流动通道144,第一前体气体可流过多个前体气体流动通道142,并流向被定位成靠近中板104的出口侧132的衬底。第一前体气体的速度可因通过多个前体气体流动通道142而降低。接着,第一前体气体可流向衬底并流过衬底上方。
第二前体气体(例如气态NH3)可注入化学沉积腔,例如经由本领域的技术人员已知的多接口注入器注入化学沉积腔,并在第一前体气体的对面沿顶板106的上部表面流动,且其流动方向与第一前体气体的流动方向大致相同。一种或多种净化用气体(例如H2、N2、SiH4、HCl等等)也可流入化学沉积腔,如经由这些净化用气体流动通道126和/或形成于中板104的底部表面122的这些中央位置净化用气体通道130流入化学沉积腔,例如同前所述。第一前体气体、第二前体气体及净化用气体中的一个或多个可在进入化学沉积腔之前、进入化学沉积腔的同时,和/或进入化学沉积腔之后被加热。举例而言,第一前体气体、第二前体气体及净化用气体中的一个或多个可被预热至大约500℃以上的温度。在一些实施方式中,第一前体气体、第二前体气体及净化用气体中的一个或多个可被预热至超过大约650℃,例如介于大约700℃及大约800℃之间的温度。
在第一前体气体离开包括底板102、中板104及顶板106的气体注入器100之后,以及在第二前体气体到达顶板118的靠近衬底的出口侧118之后,这些第一及第二前体气体可混合并反应,以在衬底上形成(例如生长、外延生长、沉积等等)材料。衬底108上所形成的材料可为包括化合物(例如GaN化合物)的半导体材料,化合物有至少一个原子来自第一前体气体(例如Ga),且至少一个原子来自第二前体气体(例如N)。这些第一及第二前体气体未在衬底上形成材料的部分(例如Cl及H,其以诸如HCl的形式存在)则可与净化用气体一起流出沉积腔。利用包括如前所述的中板104、焊接部146及多个前体气体流动通道142中的一者或多者的气体注入器100,可降低形成漏隙的可能性、改善衬底上所形成材料的厚度均匀性、增加第一前体气体流过衬底的面积,和/或增加前体气体的耗用效率。
上述这些示范性实施方式并不会限制本发明的范围,因这些实施方式仅为本发明实施方式的范例,而本发明由所附的权利要求及其法律等效范围所定义。任何等效的实施方式均属本发明的范围。事实上,对于本领域的技术人员而言,除本说明书所示及所述者外,对于本发明的各种修改,例如替换所述组件的有用组合,都会因本说明书的叙述而变得显而易见。这类修改及实施方式亦落在所附的权利要求范围内。

Claims (14)

1.一种用于化学沉积腔的气体注入器,该气体注入器包括:
底板;
中板,其被定位在所述底板的上方;以及
顶板,其在所述中板的与所述底板相反的那一侧被定位在所述中板的上方,其中所述底板、所述中板和所述顶板被构造成使净化用气体在所述底板与所述中板间流动并且使前体气体在所述中板与所述顶板之间流动。
2.如权利要求1所述的气体注入器,其中,所述中板包括形成于该中板的底部表面的一个或多个净化用气体流动通道,以使所述净化用气体从净化用气体进口流至所述中板的出口侧。
3.如权利要求1所述的气体注入器,其中,所述中板包括形成于该中板的上部表面的多个前体气体流动通道,以使所述前体气体从前体气体进口流至所述中板的出口侧。
4.如权利要求3所述的气体注入器,其中,每个前体气体流动通道均包括相对狭窄的进口部分、相对宽阔的出口部分以及位于所述进口部分与所述出口部分之间的发散的中间部分。
5.如权利要求1所述的气体注入器,该气体注入器还包括焊接部,所述焊接部沿所述中板及所述顶板的至少一个周边外缘形成,以将所述中板联接至所述顶板。
6.如权利要求5所述的气体注入器,其中,所述焊接部被配置为将所述中板和所述顶板之间的前体气体流与所述底板和所述中板之间的净化用气体流分开。
7.如权利要求5所述的气体注入器,其中,除了沿所述顶板的出口侧和所述中板的出口侧之外,所述焊接部至少大体上连续地沿所述中板和所述顶板的整个周边外缘形成。
8.如权利要求1所述的气体注入器,其中,所述底板包括贯穿该底板延伸的净化用气体进口以及贯穿该底板的孔洞,所述孔洞按尺寸和构造形成为以便接纳所述中板的前体气体进口杆。
9.如权利要求1所述的气体注入器,其中,所述底板、所述中板以及所述顶板中的每一个均大体由石英构成。
10.一种在衬底上形成材料的方法,该方法包括:
使第一前体气体在气体注入器的中板与顶板之间流动;
使净化用气体在所述气体注入器的底板与所述中板之间流动;以及
使所述第一前体气体流出所述气体注入器,并且使所述第一前体气体朝向被定位成靠近所述气体注入器的衬底流动。
11.如权利要求10所述的方法,该方法还包括:
使第二前体气体在所述第一前体气体的对面沿所述顶板的上部表面流动;以及
使所述第一前体气体和所述第二前体气体反应,以在所述衬底上形成材料。
12.如权利要求10所述的方法,其中,使第一前体气体在气体注入器的中板与顶板之间流动的步骤包括:使所述第一前体气体流动穿过形成在所述中板的上部表面中的多个前体气体流动通道。
13.如权利要求10所述的方法,其中,使净化用气体在所述气体注入器的底板与所述中板之间流动的步骤包括:使所述净化用气体流动穿过形成在所述中板的下部表面中的至少一个净化用气体流动通道。
14.如权利要求10所述的方法,该方法还包括:利用沿所述中板的周边外缘并且至少部分地在所述中板和所述顶板之间形成的焊接部,抑制所述第一前体气体流入所述净化用气体的流路。
CN201380030040.4A 2012-06-07 2013-05-24 用于沉积系统的气体注入部件及相关方法 Pending CN104350185A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261656846P 2012-06-07 2012-06-07
US61/656,846 2012-06-07
PCT/IB2013/001054 WO2013182879A2 (en) 2012-06-07 2013-05-24 Gas injection components for deposition systems and related methods

Publications (1)

Publication Number Publication Date
CN104350185A true CN104350185A (zh) 2015-02-11

Family

ID=48670616

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380030040.4A Pending CN104350185A (zh) 2012-06-07 2013-05-24 用于沉积系统的气体注入部件及相关方法

Country Status (5)

Country Link
US (1) US20150167161A1 (zh)
CN (1) CN104350185A (zh)
DE (1) DE112013002820T5 (zh)
TW (1) TWI565825B (zh)
WO (1) WO2013182879A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI735293B (zh) * 2017-02-06 2021-08-01 美商應用材料股份有限公司 改良式半角噴嘴

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7453996B2 (ja) * 2019-06-10 2024-03-21 スウェガン、アクチボラグ 基板をガス処理するためのリアクタ
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113529055A (zh) * 2021-07-15 2021-10-22 合肥联顿恪智能科技有限公司 一种ald沉积装置及方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010055738A1 (en) * 2000-06-21 2001-12-27 Yutaka Takahashi Heat treatment apparatus and cleaning method of the same
CN101849042A (zh) * 2007-12-20 2010-09-29 硅绝缘体技术有限公司 向外延生长基片输送前体气体的装置
US8133806B1 (en) * 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
CN102388162A (zh) * 2009-03-03 2012-03-21 S.O.I.Tec绝缘体上硅技术公司 用于cvd系统的气体注射器以及具有该气体注射器的cvd系统

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3098763A (en) * 1961-05-29 1963-07-23 Raytheon Co Chemical reactor
US3862831A (en) * 1973-04-20 1975-01-28 Ibm Glass fabrication process
EP0276796B1 (en) * 1987-01-27 1992-04-08 Asahi Glass Company Ltd. Gas feeding nozzle for a chemical vapor deposition apparatus
US5091219A (en) * 1987-02-17 1992-02-25 Lam Research Corporation Chemical vapor deposition method
US4907534A (en) * 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5275686A (en) * 1991-09-25 1994-01-04 University Of New Mexico Radial epitaxial reactor for multiple wafer growth
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5772759A (en) * 1992-09-28 1998-06-30 Aixtron Gmbh Process for producing p-type doped layers, in particular, in II-VI semiconductors
GB9312634D0 (en) * 1993-06-18 1993-08-04 Tsl Group Plc Improvements in vitreous silica manufacture
DE69433656T2 (de) * 1993-07-30 2005-02-17 Applied Materials, Inc., Santa Clara Verfahren zum Einleiten reaktiven Gases in eine Substratbearbeitungsvorrichtung
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US20010032588A1 (en) * 2000-04-21 2001-10-25 Kenji Harafuji Semiconductor film deposition apparatus
JP3607664B2 (ja) * 2000-12-12 2005-01-05 日本碍子株式会社 Iii−v族窒化物膜の製造装置
US20060011135A1 (en) * 2001-07-06 2006-01-19 Dmitriev Vladimir A HVPE apparatus for simultaneously producing multiple wafers during a single epitaxial growth run
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7169233B2 (en) * 2003-11-21 2007-01-30 Asm America, Inc. Reactor chamber
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
DE102005056320A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
JP4193883B2 (ja) * 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
JP4973150B2 (ja) * 2006-11-27 2012-07-11 東京エレクトロン株式会社 ガス導入機構及び被処理体の処理装置
DE112008000279T5 (de) * 2007-01-31 2010-04-01 Sumitomo Chemical Co. Ltd. Verfahren zur Herstellung von Gruppe III-V-Verbindungshalbleitern
TW200849344A (en) * 2007-03-23 2008-12-16 Matsushita Electric Ind Co Ltd Apparatus and method for plasma doping
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010055738A1 (en) * 2000-06-21 2001-12-27 Yutaka Takahashi Heat treatment apparatus and cleaning method of the same
CN101849042A (zh) * 2007-12-20 2010-09-29 硅绝缘体技术有限公司 向外延生长基片输送前体气体的装置
CN102388162A (zh) * 2009-03-03 2012-03-21 S.O.I.Tec绝缘体上硅技术公司 用于cvd系统的气体注射器以及具有该气体注射器的cvd系统
US8133806B1 (en) * 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI735293B (zh) * 2017-02-06 2021-08-01 美商應用材料股份有限公司 改良式半角噴嘴
US11220746B2 (en) 2017-02-06 2022-01-11 Applied Materials, Inc. Half-angle nozzle
US11634813B2 (en) 2017-02-06 2023-04-25 Applied Materials, Inc. Half-angle nozzle

Also Published As

Publication number Publication date
WO2013182879A3 (en) 2014-03-06
US20150167161A1 (en) 2015-06-18
TWI565825B (zh) 2017-01-11
TW201350613A (zh) 2013-12-16
DE112013002820T5 (de) 2015-04-02
WO2013182879A2 (en) 2013-12-12

Similar Documents

Publication Publication Date Title
CN104350185A (zh) 用于沉积系统的气体注入部件及相关方法
US9644267B2 (en) Multi-gas straight channel showerhead
US20150099065A1 (en) Gas injection components for deposition systems, deposition systems including such components, and related methods
US20150292088A1 (en) Deposition systems having interchangeable gas injectors and related methods
US9624603B2 (en) Vapor phase growth apparatus having shower plate with multi gas flow passages and vapor phase growth method using the same
TWI478771B (zh) 多氣體同心注入噴頭
JP6199619B2 (ja) 気相成長装置
JP6153401B2 (ja) 気相成長装置および気相成長方法
US20130047918A1 (en) Deposition systems including a precursor gas furnace within a reaction chamber, and related methods
US20160145767A1 (en) Deposition systems having access gates at desirable locations, and related methods
TWI472645B (zh) 具有進氣擋板之有機金屬化學氣相沉積進氣擴散系統
TW201108305A (en) Gas phase growing apparatus for group III nitride semiconductor
KR101004903B1 (ko) 화학 기상 증착 장치
TWI546981B (zh) A gallium nitride template substrate manufacturing method and a gallium nitride template substrate
US20190169742A1 (en) GAS PIPING SYSTEM, CHEMICAL VAPOR DEPOSITION DEVICE, FILM DEPOSITION METHOD, AND METHOD FOR PRODUCING SiC EPITAXIAL WAFER
KR101481540B1 (ko) 화학기상 증착장치
TWI464293B (zh) 化學氣相沈積裝置
JPWO2011108640A1 (ja) 結晶成長装置、窒化物系化合物半導体結晶の製造方法及び窒化物系化合物半導体結晶
JP2021114541A (ja) 気相成長装置
JP2012084581A (ja) 気相成長装置
KR20130124869A (ko) 필름 두께 및 균일성 제어를 위한 다수의 주입구를 갖는 화학 기상 증착 장치
KR101245534B1 (ko) GaN 기판 제조용 성장로
KR101160935B1 (ko) 배치식 증착 장치의 가스 분사 장치
JP2004235543A (ja) 気相成長装置及び方法
JP2011077315A (ja) 窒化物薄膜成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150211