CN103890912A - 用于提供均匀气流的设备与方法 - Google Patents

用于提供均匀气流的设备与方法 Download PDF

Info

Publication number
CN103890912A
CN103890912A CN201280051129.4A CN201280051129A CN103890912A CN 103890912 A CN103890912 A CN 103890912A CN 201280051129 A CN201280051129 A CN 201280051129A CN 103890912 A CN103890912 A CN 103890912A
Authority
CN
China
Prior art keywords
gas distribution
gas
transfer passage
passage
distribution apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280051129.4A
Other languages
English (en)
Other versions
CN103890912B (zh
Inventor
J·约德伏斯基
M·常
F·京格尔
P·F·马
D·储
C-T·考
H·莱姆
D-Y·吴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201810659433.6A priority Critical patent/CN108796472B/zh
Priority to CN201710650874.5A priority patent/CN107365977B/zh
Publication of CN103890912A publication Critical patent/CN103890912A/zh
Application granted granted Critical
Publication of CN103890912B publication Critical patent/CN103890912B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7781With separate connected fluid reactor surface
    • Y10T137/7833With means for mounting or connecting to system

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

提供了一种具有输送通道的气体分配设备,其中输送通道具有一入口端、一出口端与沿着长度而分隔开的多个孔隙。入口端系可连接至一惰性气体源,且出口端系可连接于一真空源。同时提供了一种具有螺旋输送通道、互相缠绕的螺旋输送通道、分流的输送通道、汇合的输送通道、以及成形的输送通道的气体分配设备,其中入口端与出口端系配置以使气体在输送通道内快速交换。

Description

用于提供均匀气流的设备与方法
技术领域
本发明的实施例一般是与用于使气体流至处理腔室中的设备与方法有关。更具体而言,本发明的实施例是关于用于将气流引导至处理腔室(例如原子层沉积腔室或化学气相沉积腔室)中的线性流设备。
背景技术
在半导体处理、平板显示器处理或其他电子元件处理的领域中,气相沉积工艺已经在于基板上沉积材料中扮演了一项重要的角色。随着电子元件的几何尺寸持续在缩减、且元件密度持续在增加,特征结构的尺寸与深宽比(aspect ratio)变得更为激进,例如0.07μm的特征结构尺寸以及10或更大的深宽比。因此,材料的保形沉积以形成这些元件即变得更为重要。
在原子层沉积(ALD)处理期间,反应物气体被引入到含有基板的处理腔室中。一般而言,基板的一区域与基板表面上所吸收的第一反应物接触。基板接着接触第二反应物,该第二反应物与该第一反应物接触以形成沉积材料。在各反应物气体的输送之间引入除气气体,以确保反应仅在基板表面上发生。
气体分配设备(有时形状类似喷淋头且被称为喷淋头)分配处理气体至在接近邻近处的基板(也称为晶圆)。气体分配设备(包括喷淋头)具有大体积而会非常难以于气体之间清洁或除气。留在喷淋头中的任何气体会与后续的处理气体反应。对于ALD处理而言,在仰赖交替的气体脉冲(例如A脉冲、B脉冲、A脉冲、与B脉冲)类型输送的气体分配设备(包括喷淋头)内,气体的分离是重要的。因此,在本技术领域中正有改善的气体分配设备(包含喷淋头)的需要,这些改善的气体分配设备系易于清洁/除气,并且对基板提供均匀的气体供应源。
发明内容
本发明的一或多个具体实施例是与用于控制进入处理腔室中的气流的气体分配设备有关。该设备包含输送通道,该输送通道具有入口端、出口端与长度,该输送通道具有沿着该长度分隔开的多个孔隙。在该输送通道的该入口端上的入口可连接至气体源,其中该气流可由与该入口相通的气体阀加以控制。在该输送通道的该出口端上的出口可连接至真空源,其中通过该出口的真空压力可由出口阀门加以控制,以于该出口处提供降低的压力。控制器用以通过在该通道中的气体输送与除气期间开启与关闭该出口阀门来调节通过该输送通道与至该处理腔室中的该气流,以控制通过沿着该通道的该长度的该等孔隙的该气流。
在一些具体实施例中,通过该气体分配设备的气流在该气体分配设备的轴向长度上具有比通过无连接至该出口的该真空源的类似气体分配设备的该气流更均匀的气导。在一或多个具体实施例中,当气体阀关闭时,气体自输送通道中清除得会比不含真空源的类似气体分配设备更快。
在一些具体实施例中,该输送通道是在气体分配板的背侧中的凹陷通道,且该多个孔隙延伸通过该气体分配板而至该气体分配板的前侧。
在一或多个具体实施例中,该气体分配板是圆的,且该输送通道形成螺旋形,其中该入口端与出口端的其中一者是位于该气体分配板的外周区域,且该入口端与出口端的另一者是位于该气体分配板的中央区域。在一些具体实施例中,该入口端是位于该气体分配板的该外周区域,而该出口端是位于该气体分配板的该中央区域。在一或多个具体实施例中,该出口端是位于该气体分配板的该外周区域,而该入口端是位于该气体分配板的该中央区域。
在一些具体实施例中,在该气体分配板的该背侧中有两个凹陷的输送通道。在一些具体实施例中,各该等输送通道形成螺旋形,其中该入口端与出口端的其中一者是位于该气体分配板的外周区域,且该入口端与出口端的另一者是位于该气体分配板的中央区域。在一或多个具体实施例中,该两个输送通道沿着该螺旋形互相缠绕。在某些具体实施例中,各输送通道具有位于气体分配板的外周区域中的入口端以及位于气体分配板的中央区域中的出口端。在一些具体实施例中,各输送通道具有位于气体分配板的外周区域中的出口端以及位于气体分配板的中央区域中的入口端。在一或多个具体实施例中,一输送通道的入口端位于气体分配板的外周区域中,而另一输送通道的出口端位于气体分配板的外周区域中。
在一些具体实施例中,气体分配设备更包含在该气体分配板的该背侧上的背盖,该背盖覆盖该凹陷通道。在一或多个具体实施例中,该输送通道是具有实质平坦形态的管状螺旋。在部分具体实施例中,该气体分配设备包含多个输送通道,各输送通道实质直线地延伸且实质平行于相邻的输送通道。
在一或多个具体实施例中,一个以上的输送通道连接至该入口,使得流经该入口的气体流经各该等输送通道。在一些具体实施例中,连接至该入口的各该等输送通道汇合且连接至出口。在一些具体实施例中,连接至该入口的各该等输送通道具有连接至独立出口阀门的独立出口。在一或多个具体实施例中,该控制器独立地调整各该等出口阀门,以维持通过各该等输送通道的实质均匀气流。在一具体实施例中,该多个输送通道成形为形成一或多个文字或商标。
在一些具体实施例中,该多个输送通道成形为使得基板所见的孔洞图案在该气体分配设备间是均匀的。
本发明的其他具体实施例与包含所述气体分配设备的处理腔室有关。在一些具体实施例中,该气体分配设备包含管状螺旋,该管状螺旋具有实质平坦型态,该气体分配设备位于基板支座与气体分配板之间。
本发明的其他具体实施例与气体分配设备有关,该气体分配设备包含气体分配板、背盖、入口、出口与控制器。气体输送通道凹陷于气体分配板的背侧中。该凹陷的气体输送通道具有入口端、出口端、一长度以及多个孔隙,该等孔隙沿着延伸通过该气体分配板而至该气体分配板的前侧的长度而分隔开,使得流经该气体输送通道的气体可通过该等孔隙而离开该气体分配板。该背盖位于该气体分配板的该背侧上而覆盖该凹陷通道。该入口通过该背盖而连接至该气体输送通道的该入口端。该入口可连接至气体源,其中气流可由与该入口相通的气体阀加以控制。出口通过该背盖而连接至该气体输送通道的该出口端。该出口可连接至真空源,其中通过该出口的真空压力可由出口阀门加以控制,以于该出口处提供降低的压力。控制器通过在气体输送与除气期间开启与关闭该出口阀门来调节通过该输送通道与至该处理腔室中的该气流,以控制通过沿着该通道的该长度的该等孔隙的该气流。
在一些具体实施例中,该气体分配板为圆形且该输送通道形成螺旋形,其中该入口端与该出口端的其中一者是位于该气体分配板的外周区域中,且该入口端与该出口端的另一者是位于该气体分配板的中央区域中。在一或多个具体实施例中,在该气体分配板的该背侧中有两个凹陷的输送通道,该两个输送通道沿着该螺旋形互相缠绕。
本发明的还有一些具体实施例关于包含多个长形(elongate)输送通道的气体分配设备。各输送通道从入口端沿着一长度而延伸至出口端且具有沿着该长度分隔开的多个孔隙。该入口端可连接至气体源,其中气流为可通过与该入口端相通的气体阀而加以控制。该出口端可连接至真空源,其中通过该出口端的真空压力可通过一出口阀门而加以控制,以于该出口端处提供降低的压力。多个长形真空通道,各真空通道沿着一长度延伸。控制器通过在气体输送与除气期间开启和关闭该出口阀门来调节通过该气体输送通道且至处理腔室中的该气流,以控制通过沿着该通道的该长度的该等孔隙的该气流。各输送通道的该多个孔隙与相邻输送通道的该多个孔隙间被至少一长形真空通道隔开。
附图说明
为能详细理解可达成本发明的上述特征的方式,可参阅本发明的具体实施例来进行本发明的更具体说明(已简述如上),这些具体实施例说明于如附图式中。然而应注意的是,如附图式仅说明本发明的典型具体实施例,因此不被视为对其范围的限制,因为本发明也允许其他的等效具体实施例。
图1示出根据本发明的一或多个具体实施例的气体分配设备的视图;
图2示出根据本发明的一或多个具体实施例的气体分配设备的视图;
图3示出根据本发明的一或多个具体实施例的包含一或多个气体分配设备的处理腔室的视图;
图4示出根据本发明的一或多个具体实施例的气体分配设备的上视图;
图5示出根据本发明的一或多个具体实施例的气体分配设备的立体图的截面;
图6示出根据本发明的一或多个具体实施例的气体分配设备的立体图;
图7示出根据本发明的一或多个具体实施例的气体分配设备的下视图;
图8示出根据一或多个具体实施例的气体分配设备的部分截面图;
图9示出根据本发明的一或多个具体实施例的气体分配设备的上视图;
图10示出根据本发明的一或多个具体实施例的气体分配设备的部分截面图;
图11示出根据本发明的一或多个具体实施例的气体分配设备的分解部分截面图;
图12示出根据本发明的一或多个具体实施例的气体分配设备的立体图的截面;
图13示出根据本发明的一或多个具体实施例的气体分配设备的立体图;
图14示出根据本发明的一或多个具体实施例的气体分配设备的下视图;
图15示出根据本发明的一或多个具体实施例的气体分配设备的立体图;
图16A示出根据本发明的一或多个具体实施例的气体分配设备的部分截面图;
图16B示出根据本发明的一或多个具体实施例的气体分配设备的部分截面图;
图17示出根据本发明的一或多个具体实施例的气体分配设备;
图18示出根据本发明的一或多个具体实施例的气体分配设备;
图19示出根据本发明的一或多个具体实施例的气体分配设备;
图20示出根据本发明的一或多个具体实施例的气体分配设备;
图21示出根据本发明的一或多个具体实施例的气体分配设备;
图22A示出根据本发明的一或多个具体实施例的气体分配设备的背侧部分;以及
图22B示出图22A的气体分配设备的前侧。
具体实施方式
本发明的具体实施例是关于用于化学气相沉积类型工艺的气体分配设备。本发明的一或多个具体实施例是关于原子层沉积工艺以及包含所描述的气体分配设备的设备(也称为循环沉积)。所述气体分配设备也称为喷淋头或气体分配板,但本领域技术人士也将认可该设备的形状并不必须与喷淋头或板相似。用语“喷淋头”与“板”不应被用来限制本发明的范围。
本发明的第一具体实施例是关于一种具有单个螺旋气体输送通道的设备。所有的气体依序流经相同通道。入口是在螺旋的外径向边缘(也称为外周)上并可装设至气体源。真空附件连接至螺旋内部端。入口与出口可互反,气体源也可利用在螺旋外侧端处的出口阀门而连接至螺旋内侧。该第一具体实施例可使用如表1所示的顺序。
表1
Figure BDA0000492562670000051
第二具体实施例具有互相缠绕的两个螺旋通道。每一通道在螺旋外径向端上具有气体入口,且在各螺旋的内径向端上具有出口阀门。入口与出口可互反或混合。不同的通道可用于不同的前驱物。
在第三组具体实施例中,通道为线性气体管线。线性气体管线可采用任何适当形状而不只是线性。对于不同的前驱物可有多条线性气体管线。一些具体实施例针对依序的所有气体具有多条平行路径,其中气体通道的气导是实质相同的。
在一或多个具体实施例中,在一独立通道中,通过通道与通过孔隙的气体的气导是通过调整或改变出口端处的真空压力而加以控制。轮流改变真空压力可产生传统气体分配设备所无法达到的独特流体动力。在一些具体实施例中,沿各通道的长度上会有更均匀的气流、且有更均匀的气流通过沿通道长度上互相分隔开的多个孔隙。根据一或多个具体实施例的均匀气流代表实质上没有会抑制气体流经通道或抑制除气的死区(dead space)存在。在通道的一端上有或没有阀门、且在通道的另一端处设有阀门的真空提供允许不同类型的气体(例如前驱物或反应物气体)之间的快速切换。
在一些具体实施例中,在气体输送通道端部处的真空可使通道内快速除气。除气气体源可连接至气体输送通道的入口,并与通道出口处的真空协同运作,以更快速地从通道中移除反应性气体。此外,多个真空埠可沿着气体输送通道长度而互相分隔开,而不只是在通道端部处。
本发明的具体实施例可增加通过在气体输送通道上分隔开的孔洞的气体的气导。不受任何特定操作理论所限制,相信控制了通道的出口端处或中段中的真空压力,即可改变相对于传统喷淋头或气体分配板的流体动力。
参阅图1与图2。一或多个具体实施例是与用以输送气体至处理腔室(未示)的气体分配设备100有关。气体分配设备100包含具有入口端104与出口端106的输送通道102。输送通道102具有沿着输送通道102的长度而分隔开的多个孔隙108。入口110连接至输送通道102的入口端104,并与该入口端104流体相通。出口112连接至输送通道102的出口端106,并与该出口端106流体相通。入口110用以连接至气体源,并包含可控制进(或出)输送通道102的气流、或是完全截断气流的入口阀门114。出口112用以连接至真空源,且包含可控制进(或出)输送通道102的气流、或是完全截断气流的出口阀门116。出口112可连接至真空源(未示),使得通过出口112的真空压力可受出口阀门116控制,以于出口112处提供降低的压力。
控制器150调节通过输送通道102及进入处理腔室中的气流。控制器150通过在气体输送与除气期间开启与关闭(或是在完全开启与完全关闭之间的任一点)出口阀门而进行此调节。这可控制通过通道长度上分隔开的孔隙(例如见图4所示)的气流。
输送通道102的截面形状可受控制,使得流经输送通道的气体可经历最小的流动阻力。在一些具体实施例中,输送通道102具有圆形或椭圆形截面形状。在一或多个具体实施例中,输送通道102具有的截面形状为足以使弯曲、转向、扭转等实质提供无死区。
输送通道102的整体形状(相对于截面形状)可依需要而调整。举例而言,输送通道102可经成形以匹配特定区域内、或与基板的形状相符。输送通道102可为例如直线、圆形、方形、卵形、矩形或椭圆形(oblong)。此外,输送通道的整体形状可由彼此平行、垂直或同心的重复单元构成。在一或多个具体实施例中,输送通道具有一整体形状,在其中实质上并无抑制气流或除气的死区。如本说明书与所附权利要求书中所使用的,术语“实质上无死区”表示小于约10%或小于约5%的气流或除气是由于死区而受抑制。
在一些具体实施例中,输送通道102为具有实质平坦型态的管状螺旋。此特定形状系由图1与图2所示的具体实施例予以例示。如本说明书与如附权利要求书中所使用的,术语“实质平坦型态”表示输送通道102中的多个孔隙108位于几乎相同平面中。由于孔隙为共平面,因此图1与图2所示的具体实施例具有实质平坦型态,即使入口端与出口端、以及靠近入口端与出口端的部分输送通道并不与多个孔隙共平面。
输送通道102可用于等离子体处理。举例而言,输送通道102可相对于处理腔室的另一部分而极化,以点燃腔室内的等离子体。输送通道102可相对于腔室的一部分而偏置,或是腔室的一部分可相对于输送通道102而偏置。举例而言,输送通道102可相对于支座而极化,或是支座可相对于输送通道而极化。等离子体的频率也可被调节。在一或多个具体实施例中,等离子体是处于约13.56MHz的频率。在某些具体实施例中,等离子体的频率约为40MHz、50MHz、60MHz、70MHz、80MHz、90MHz、100MHz、110MHz或120MHz。
任何适当的材料都可用于输送通道、喷淋头或气体分配设备。适当的材料包含、但不限于不锈钢与惰性材料。在一些具体实施例中,输送通道、喷淋头或气体分配板是由不锈钢所制成。
图3示出了根据一或多个具体实施例的处理腔室的一部分的截面。气体分配设备100放置在基板支撑支座302与气体分配板306之间。基板支撑支座302被示为支撑基板304。基板支撑支座302可为静止或旋转,或对部分处理为静止、且对部分处理为旋转。旋转支撑支座302可通过最小化在处理腔室间发生的不同气流图案而使基板处理更为均匀。一些具体实施例的支撑支座302包含加热器或加热机制。加热器可为任何适当类型的加热器,包含电阻式加热器。
气体分配设备100被示为具有实质平坦型态的管状螺旋。基板304可以与气体分配板306或气体分配设备100中任一或两者加以处理。气体分配设备100可被成形为这样一种形状,使得其实质上不干涉流出气体分配板306的气体。如本说明书与如附权利要求书所使用的,术语“实质上干涉”表示气体分配设备100并不干涉超过气体分配板306流出的气体的30%。举例而言,气体分配板306的前表面308具有多个孔隙310让气体流动通过。气体分配设备100可经成形以避免阻挡孔隙310。
以类似于图3所示方式而设置的输送通道也可用于等离子体处理。设备100可相对于腔室的一部分而极化,或是腔室的一部分可相对于设备100而极化。举例而言,输送通道设备100可相对于支座302而极化,或是支座302可相对于设备100而极化。在一些具体实施例中,设备100是相对于气体分配板306而极化。在一或多个具体实施例中,气体分配板306是相对于支座302而极化,且自设备100流出的气体形成了等离子体。等离子体的频率也可被调节。在一或多个具体实施例中,等离子体的频率约为13.56MHz。在一些具体实施例中,等离子体的频率约为40MHz、50MHz、60MHz、70MHz、80MHz、90MHz、100MHz、110MHz或120MHz。
图4至图7示出了气体分配设备400的另一个具体实施例,其中输送通道402为在气体分配板403的背侧401中的凹陷通道。所示的具体实施例具有大的内截面,该内截面凹陷于气体分配板403的背侧401中,其中输送通道402甚至进一步凹陷。这可允许增加背盖407,该背盖407可放置在背侧401中的凹陷区域中而覆盖输送通道402。当背盖407被插入至某些具体实施例的凹陷的背侧401中时,背盖407产生气体分配板的实质齐平背侧表面。该领域技术人士将理解到背盖407并不需要匹配于气体分配板403的背侧401的凹陷区域内,但也可直接靠在气体分配板403的背侧401上。在此种类的具体实施例中,并无带有进一步凹陷的输送通道的大凹陷区域。取而代之,输送通道直接凹陷至气体分配板403的背侧401中。
背盖407可具有开口以供作入口与出口管件的通道,以与输送通道402流体相通。这可见于图5与图6中。入口与出口管件可为背盖407的整合部件,或可为连接至背盖407以避免或使流体泄漏降至最低的分离部件。多个孔隙408延伸通过气体分配板403而至气体分配板403的前侧405。这些孔隙可见于图4、图5与图7。多个孔隙408可沿着输送通道的长度均匀分隔,或可沿着通道长度而具有变化间隔。可变化的间隔可帮助于输送通道上各点处自输送通道产生更均匀的气流。举例而言,在具有精细形状的气体输送通道中,孔隙的间隔可沿着长度而变化。
在图4至图7所示的具体实施例中,气体分配板403为圆形且输送通道402形成螺旋形。入口端404被标示为在气体分配板403的外周区域420的螺旋外侧处,且出口端406是位于气体分配板403的中央区域422中的螺旋中心处。该领域技术人士将理解入口端404与出口端406也可互反,即入口端404位于螺旋中心处,而出口端406位于螺旋的外侧。在一些具体实施例中,入口端404与出口端406中的其中一者是位于气体分配板403的外周区域420中,而入口端404与出口端406中另一则位于气体分配板403的中央区域422中。在一或多个具体实施例中,入口端404是位于气体分配板403的外周区域420处,且出口端406是位于气体分配板403的中央区域422处。在某些具体实施例中,出口端406是位于气体分配板403的外周区域420处,而入口端404是位于气体分配板403的中央区域422处。
在图5与图6中,入口端404与出口端406被示为自气体分配板403的背盖407延伸的小管件。管件通过入口阀门414而延伸于入口410与背盖407之间。另一管件可通过出口阀门416而延伸于出口412与背盖407之间。管件可由该领域技术人士所知道的任何适当连接方式连接至背盖407,且可经密封以避免流动通过管件而至输送通道402中的流体泄漏。适当的密封装置包含,但不限于,位于凸缘424与背盖407之间的O型环。凸缘424可与管件一体成形,或可为使管件固定至背盖的独立部件。凸缘424可由任何适当机械性连接方式而连接至背盖407,该方式包含,但不限于,螺丝。
图8示出了根据本发明的一或多个具体实施例的输送通道402的一部分与在气体分配板403中的孔隙408的截面图。该领域技术人士将了解到图8所描述的输送通道与孔隙仅为例示之用,而不应被视为本发明范围的限制。该领域技术人士将了解到有许多方式可产生从输送通道402而通过气体分配板403的流动。图8所示的输送通道402具有两个部分:上方部分832与下方部分830。尽管这些部分是以分离的区域来绘示,但应了解在上方部分832与下方部分830之间可存在无接缝转衔。
此外,将理解到上方部分832是可选择的,且不需要被包含于输送通道402中。当没有上方部分832时,下方部分830即为唯一部分。因此,输送通道可具有任何适当形状。在一些具体实施例中,输送通道的形状使其不实质干涉通过通道的气体流动。
上方部分832可具有任何适当形状。在图8所示的具体实施例中,上方部分832具有延伸而与气体分配板403的背侧401的表面正交的壁部。然而,将理解的是上方部分832可具有与背侧401成直角方向倾斜的壁部。此倾斜可于气体分配板403的背侧401处提供较大开口,而渐缩为较小开口。此外,此倾斜可在背侧401处提供较小开口,而渐增为较大开口。上方部分832的长度可视需要而调整。
在一些具体实施例中,上方部分具有实质上垂直于气体分配板403的背侧401、且于背侧401的表面下方延伸一段长度L的侧部(长度L的范围为约0.01英寸至约0.3英寸)。如本说明书与如附权利要求书所使用的,术语“实质上垂直于”是指上方部分的壁部相对于气体分配板的背侧具有介于约85度至约95度范围的角度。在一些具体实施例中,上方部分于背侧表面下方延伸了介于约0.02英寸至约0.2英寸的范围、或介于约0.05英寸至约0.15英寸的范围、或介于约0.08英寸至约0.12英寸的范围的一段长度L。在一或多个具体实施例中,上方部分于背侧表面下方延伸了约为0.1英寸的一段长度。
成圆形的下方部分830可具有任何适当的截面,包含、但不限于半圆形与半椭圆形。成圆形的下方部分的宽度(也称为成圆形的下方部分的直径)可视需要而调整。上方部分的宽度可视需要而调整。一般而言,输送通道的直径对螺旋回圈数有影响。在一些具体实施例中,如图8所示,上方部分的宽度大致等于下方部分的直径。各种具体实施例的输送通道具有的直径介于约0.3英寸至约0.45英寸的范围内,或介于约0.325英寸至约0.425英寸的范围内,或介于约0.35英寸至约0.40英寸的范围内。在一或多个具体实施例中,输送通道具有约0.375英寸的直径。
孔隙408的具体形状可根据通过孔隙的所需气流而改变。在图8的具体实施例中,孔隙408具有三个明显的区段:第一区段834、第二区段836以及第三区段838。同样的,区段的数量与区段的形状仅为一具体实施例的示例,且区段的数量与区段的形状不应被视为对本发明范围的限制。第一区段834从输送通道402的成圆形的下方部分830朝向气体分配板403的前侧405延伸。第一区段834具有第一直径D1。第二区段836从第一区段834朝向前侧405延伸,且具有的直径系从第一直径D1渐缩至一般小于第一直径的第二直径D2。第三区段838从第二区段836的端部延伸,并终止于气体分配板403的前侧405处。在第三区段838与前侧405的交错处形成孔洞840。流经输送通道402的气体系通过此孔洞840离开气体分配板403而进入处理腔室中。孔洞840具有与第二直径D2大致相同的直径。在各种具体实施例中,孔洞840的直径是在介于约0.01英寸至约0.25英寸的范围内,或是在介于0.02英寸至约0.2英寸的范围内,或是在约0.03英寸至约0.15英寸的范围内,或是在约0.04英寸至约0.1英寸的范围内。在一些具体实施例中,孔洞840具有小于约0.1英寸的直径,或小于约0.08英寸,或小于约0.06英寸,或小于约0.04英寸,或小于约0.02英寸,或小于约0.01英寸。
由于输送通道是从气体分配板的外周边缘向中央区域形成螺旋(或反向亦可),在截面上可观察到外观意义上的多个相邻通道,即使该相邻通道可能是单个通道。图5示出这些外观意义上的多个通道。通道(或是在螺旋回圈之间的分隔)分隔一段距离。在一些具体实施例中,通道(或单个通道的回圈)之间的距离(从中心量起)是在介于约0.375英寸至约0.475英寸的范围内,或是在介于约0.40英寸至约0.45英寸的范围内,或是在介于约0.41英寸至约0.43英寸的范围内。在一或多个具体实施例中,相邻通道的中心之间的平均距离约为0.42英寸。
图4至图7中所示的气体通道的长度可根据数个因素而改变,这些因素包括,但不限于,通道的直径以及相邻通道之间的距离。在各种具体实施例中,输送通道具有的长度在介于140英寸至约340英寸的范围内,或在介于180英寸至约300英寸的范围内,或在介于200英寸至约280英寸的范围内,或在介于220英寸至约260英寸的范围内。在一或多个具体实施例中,输送通道具有约为240英寸的长度。
孔隙的数量也根据数个因素而定,这些因素包括,但不限于,输送通道的长度与孔隙的间隔。在具有单螺旋通道的一些具体实施例中,存在有介于大约300个至约900个的范围内的孔隙,或有介于大约400个至约800个的范围内的孔隙,或有介于大约500个至约700个的范围内的孔隙。在各种具体实施例中,在沿着通道的长度上有超过约300、400、500、600、700或800个孔隙。在一或多个具体实施例中,在沿着输送通道的长度上有大约600个孔隙。
在一具体实施例中,如图4所示,气体输送板403包括位于气体输送板材403的背侧中的单个输送通道402。输送通道402具有位于气体分配板403的外周区域420中的入口端404。输送通道402依循从入口端404至位于气体分配板403的中央区域422中的出口端406之间的向内螺旋路径。输送通道402具有一整体长度,定义为入口端404与出口端406之间的距离(约为240英寸)。多个孔隙408沿输送通道402的整体长度上分隔开。在沿着输送通道403的整体长度上,有介于大约500个至约700个的范围内的孔隙。输送通道403具有的平均直径约为0.375英寸,且螺旋通道的相邻部分中央处间隔约0.42英寸。
本发明的一些具体实施例包含一个以上的输送通道402。这些多个通道可视处理系统的需求而互相缠绕或分离。一些通道可凹陷至气体分配板中(如图4所示),或可为独立管件(如图1所示)。在一些具体实施例中,有独立管件与凹陷通道的组合。这种类型的一例示具体实施例示于图3中,其中气体分配板中具有至少一个凹陷于其中的输送通道,且附加输送通道位于气体分配板与基板表面之间。
图9至图14绘示了本发明的另一具体实施例。气体分配设备900包含凹陷于气体分配板903的背侧901中的两个输送通道902a、902b。将可理解输送通道可不需凹陷至气体分配板的背部中,而是可为如图1与图15所示的独立管件。第一输送通道902a具有第一入口端904a与第一出口端906a、以及在沿着第一输送通道902a的长度上分隔开的多个第一孔隙908a。第二输送通道902b具有第二入口端904b与第二出口端906b、以及在沿着第二输送通道902b的长度上分隔开的多个第二孔隙908b。
第一入口910a连接至第一输送通道902a的第一入口端904a。第一入口910a用以连接至气体源。第一出口912a连接至第一输送通道902a的第一出口端906a。第一出口912a用以连接至真空源。第二入口910b连接至第二输送通道902b的第二入口端904b。第二入口910b用以连接至气体源。第二出口912b连接至第二输送通道902b的第二出口端906b。第二出口912b用以连接至真空源。
在图9至图14所示的具体实施例中,各输送通道902a、902b形成螺旋形。如图式中所示的一或多个具体实施例具有沿着螺旋形的长度互相缠绕的两个输送通道902a、902b。本领域技术人员将了解,这两个输送通道902a、902b可具有螺旋形以外的形状,且不需要互相缠绕。在某些具体实施例中,多个第一孔隙908a与第二孔隙908b延伸通过气体分配板903而至气体分配板903的前侧905。
在一些具体实施例中,各输送通道902a、902b形成螺旋形,各输送通道902a、902b的入口端904a、904b与出口端906a、906b中的其中一者位于气体分配板903的外周区域920中,而入口端904a、904b与出口端906a、906b中另一者位于气体分配板903的中央区域922中。在一或多个具体实施例中,两通道902a、902b的入口端904a、904b位于外周区域920中,而两通道902a、902b的出口端906a、906b位于气体分配板903的中央区域922中。在某些具体实施例中,两通道902a、902b的入口端904a、904b位于中央区域922中,而两通道902a、902b的出口端906a、906b则位于气体分配板903的外周区域920中。在一或多个具体实施例中,入口端904a、904b的其中一个位于外周区域920中,入口端904a、904b中的另一个位于中央区域922中,而出口端906a、906b位于各独立输送通道902a、902b的另一端。
图11说明了如图9中所示的气体分配板903的背盖907。在背盖907中设有四个孔洞(未标号),这些孔洞大致对齐于输送通道902a、902b的入口端904a、904b以及出口端906a、906b。孔洞可用以提供一接入点以于入口910a、910b与出口912a、912b中连接至通道902a、902b。在一些具体实施例中,入口910a、910b与出口912a、912b系与背盖907一体成形。此外,如图12与图13所示,可有一或多个入口阀门914a、914b与出口阀门916a、916b。
图12与图13说明根据本发明各种具体实施例的气体分配设备900的立体图。入口910a、910b绘示为以凸缘924a、924b连接至背盖907。凸缘924a、924b的连接与气密性密封可由本领域技术人员所知的任何适当机制与技术而实现。出口912a、912b可以凸缘或挡块连接部925连接至背盖907。挡块925可与背盖907一体成形,或可为独立部件。挡块925为出口阀门916a、916b提供额外的支撑与空间,使连接管件自背盖907以一角度而突出。虽然入口910a、910b与入口阀门914a、914b被示为位于气体分配板903的外周区域920上,而出口912a、912b与出口阀门916a、916b被示为位于气体分配板903的中央区域922处,但应理解这些构件也可互反或互相混合,而图式仅为一个具体实施例的例示说明。
随着输送通道从气体分配板的外周边缘往中央区域形成螺旋(或反向亦可),在截面上可观察到外观意义上的多个相邻通道。由于螺旋互相缠绕,在每一相邻通道中的气体来自另一入口910a、910b。通道与相邻通道分隔一段距离。在一些具体实施例中,通道之间的距离(从通道的中心量起)是在介于约0.375英寸至约0.475英寸的范围内,或是在介于约0.40英寸至约0.45英寸的范围内,或是在介于约0.41英寸至约0.43英寸的范围内。在一或多个具体实施例中,在相邻通道的中心之间的平均距离约为0.42英寸。
图9至图14中所示的气体通道的长度可根据数个因素而改变,这些因素包括,但不限于,通道的直径与相邻通道之间的距离。在各种具体实施例中,各输送通道具有的长度在介于70英寸至约170英寸的范围内,或在介于90英寸至约150英寸的范围内,或在介于100英寸至约140英寸的范围内,或在介于110英寸至约130英寸的范围内。在一或多个具体实施例中,输送通道具有约为120英寸的长度。
孔隙的数量也根据数个因素而定,这些因素包括,但不限于,输送通道的长度与孔隙的间隔。在具有单螺旋通道的一些具体实施例中,存在有介于大约150个至约450个的范围内的孔隙,或有介于大约200个至约400个的范围内的孔隙,或有介于大约250个至约350个的范围内的孔隙。在各种具体实施例中,在沿着通道的长度上有超过约150、200、250、300、350或400个孔隙。在一或多个具体实施例中,在沿着输送通道的长度上有大约300个孔隙。
图4至图14中所示设备可用于等离子体处理。举例而言,输送通道、气体分配设备或喷淋头可相对于处理腔室的另一部分而极化,以点燃腔室内的等离子体。输送通道、气体分配设备或喷淋头可相对于腔室的一部分而极化,或是腔室的一部分可相对于输送通道、气体分配设备或喷淋头而偏置。举例而言,输送通道、气体分配设备或喷淋头可相对于支座而极化,或是支座可相对于输送通道、气体分配设备或喷淋头而极化。等离子体的频率也可被调节。在一或多个具体实施例中,等离子体是处于约13.56MHz的频率。在某些具体实施例中,等离子体的频率约为40MHz、50MHz、60MHz、70MHz、80MHz、90MHz、100MHz、110MHz或120MHz。
在图4至图14所例示的设备的一些具体实施例中,在气体分配设备的背盖与主要本体部分(亦即包含气体输送通道的部分)之间有绝缘材料(未示)。此绝缘材料提供了气体分配设备的背盖与主要本体部分之间的电气隔离,使得背盖可相对于主要本体部分而极化。这么做可使等离子体在气体分配设备内、或在输送通道内被点燃。等离子体可流经多个孔隙而至处理腔室的处理区域中,处理区域为气体分配设备与支座之间的区域。这种配置称为远端等离子体,因为等离子体是在处理区域的外部形成(例如点燃)。
图15、图16A与图16B示出气体分配设备1500的另一例示具体实施例。所示的气体分配设备对于空间上分隔的原子层沉积工艺特别有用,其中基板的不同部分同时暴露至不同沉积气体,且基板1544相对于气体分配设备而移动,使得基板的所有部分都可依序暴露至各沉积气体。在这些具体实施例中,气体分配设备1500包含多个输送通道1502,各输送通道1502实质直线地延伸且实质平行于相邻输送通道。各输送通道1502具有入口端1504与出口端1506,入口端1504与出口端1506间具有多个分隔开的孔隙1508。
在图15、图16A与图16B中所示的气体分配设备具有多个长形(elongate)输送通道1502与多个长形真空通道1550。各输送通道1502与真空通道1550于气体分配设备的前表面处连接至输出通道1552。各输送通道1502用以使一或多种反应性气体与除气气体流动。各输送通道1502经由多个分隔开的孔隙1508而连接至输出通道1552。各真空通道1550经由多个分隔开的真空孔隙1558而连接至入口通道1554。各输送通道1502的多个孔隙1508经由来自真空通道1550的多个真空孔隙1558中的至少其中一个而与各相邻输送通道1502的多个孔隙1508分隔。
在图16A所示的具体实施例中,各中央真空通道1550(非端部真空通道)是经由真空孔隙1508而连接至两个入口通道1554。端部真空通道1550仅连接至单个入口通道1554。应理解这仅为例示之用而不应被视为对发明范围的限制。各入口通道1554可具有专用真空通道1550,或是单个真空通道1550可经由多个真空孔隙1508而连接至两个以上的入口通道1554。
每一个输送通道看起来是相同的,但流过每一个输送通道的可为不同气体。举例而言,除气通道(标示为P)具有流经其间的除气气体,各第一反应性气体通道(标示为A)具有流经其间的第一反应性气体,而各第二反应性气体通道(标示为B)具有流经其间的第二反应性气体。真空通道(标示为V)连接至真空源。参阅图16A,取决于气体分配板的大小,从左向右移动的基板1544(或更具体而言,基板上的固定点)会依序历经真空气体通道、除气气体通道、真空气体通道、第一反应性气体通道、真空气体通道、除气气体通道、真空气体通道、第二反应性气体通道、真空气体通道等。
使用具有入口端与出口端的输送通道可使气体在输送通道内快速交换。举例而言,在基板(或基板上的固定点)暴露至第二反应性气体通道(标示为B)之后,输送通道的出口端可被打开,使通道内的气体被移除,然后不同的反应性气体(例如气体C)可流进输送通道中。因此,当基板返回该气体通道下时,基板将暴露至气体C而非气体B。此实例是针对第二反应性气体而进行,但本领域技术人员将理解任一气体输送通道(第一反应性气体、第二反应性气体或除气气体)都可被除气或替换为不同气体。
图15、图16A与图16B的输送通道也可用于等离子体处理。气体分配设备1500可相对于腔室的另一部分而偏压。举例而言,气体分配设备1500可相对于支座而极化,或是支座可相对于气体分配设备而极化。等离子体的频率也可被调节。在一或多个具体实施例中,等离子体的频率约为13.56MHz。在一些具体实施例中,等离子体的频率约为40MHz、50MHz、60MHz、70MHz、80MHz、90MHz、100MHz、110MHz或120MHz。
图16B示出单个输送通道1502与单个真空通道1550的一具体实施例。输送通道1502与真空通道1550各具有自输送通道1502与真空通道1550延伸的两组孔隙。在真空通道1550的情形中,一组孔隙1558a连接至第一入口通道1554a,而另一组孔隙1558b连接至第二入口通道1554b。另一方面,输送通道1502具有延伸至单个输出通道1552的两组孔隙1508。
在一或多个具体实施例中,气体分配设备包含连接至真空源的一个以上的出口。图17示出螺旋形的气体分配设备1700,气体分配设备1700与图1所示设备100类似。设备包含具有入口端1704与出口端1706的输送通道1702。入口1710连接至输送通道1702的入口端1704并与输送通道1702的入口端1704相通。出口1712连接至输送通道1702的出口端1706并与输送通道1702的出口端1706相通。入口1710可连接至气体源并包含入口阀门1714,入口阀门1714可控制进(或出)输送通道1702的气流或完全切断气流。出口1712可连接至真空源(未示)并包含出口阀门1716,出口阀门1716可控制进(或出)输送通道1702的气流或自输送通道1702完全切断真空源。可连接至真空源(未示)的中间出口1742位于输送通道1702的长度上。所绘示的中间出口1742是在通道1702的长度的大约中间处连接至输送通道1702,并经由中间出口1740而耦接至输送通道1702。中间出口1742可包含中间出口阀门1744,该中间出口阀门1744可控制进(或出)输送通道1702的气流或自输送通道1702完全切断真空源。入口1710的入口阀门1714、出口1712的出口阀门1716、以及中间出口1740的中间出口阀门1744连接至控制器1750。控制器可独立地开启或关闭任何或所有阀门,以调节流经输送通道1702的气体的压力,或对一既有气体的输送通道1702进行除气。举例而言,表2示出了可与图17所示具体实施例一起使用的处理顺序。本领域技术人员将理解这仅为例示之用且不应被视为对本发明范围的限制。
表2
在处理期间的任一点处,表2中所示的阀门为开启、关闭或部分开启。在步骤3a中,在前驱物A的输送通道进行除气之后,中间出口阀门即部分开启以加速前驱物B流动通过输送通道,然后在步骤3b中被关闭。这仅为可使用的一种可能顺序,不应作为对本发明范围的限制。
图17所示具体实施例可实际地包含两个出口,其中一个在输送通道端部处,而另一个在中间处。本领域技术人员将理解,沿着输送通道的长度上可设有分隔开的任何数量出口,这些出口可在通道长度上的任一位置处。举例而言,中间出口1740可位于通道长度的1/3处。此外,可有任何数量的出口。举例而言,输送通道可具有四个出口,一个在端部处,且在输送通道长度的1/4、1/2与3/4处各有一个。在另一实例中,输送通道包含四个出口,一个在端部处,且在输送通道长度的1/4、3/4与9/10处各有一个。在一些具体实施例中,输送通道包含总共有2、3、4、5、6、7、8、9、10或11个出口(包含在通道出口端的出口)。
图18示出本发明的另一具体实施例,其中气体分配设备1800包含多条路径输送通道1802。在此,设备1800包含具有输入端1804与输出端1806的输送通道1802。入口1810连接至输送通道1802的入口端1804并与输送通道1802的入口端1804相通。出口1812连接至输送通道1802的出口端1806并与输送通道1802的出口端1806相通。入口1810可连接至气体源(未示)且可包含入口阀门1814,入口阀门1814可控制进(或出)输送通道1802的气流或完全切断气流。出口1812可连接至真空源(未示)并包含出口阀门1816,出口阀门1816可控制进(或出)输送通道1802的气流或自输送通道1802完全切断真空源。输送通道1802在靠近入口端1804处分为三个独立通道1802a、1802b、1802c,并在靠近出口端1806处汇合回单个通道。多个孔隙1808沿着各通道的长度而分隔开,使得流至入口1810中的单个气体可沿着多条路径而被引导,并连接至单个出口1812。在沿着通道1802长度上孔隙1808均匀地分隔开或不均匀地分隔开。
所示的具体实施例使输送通道在沿着通道长度上分为三个独立通道。然而,本领域技术人员将可理解这仅为例示且输送通道可被分为任何数量的通道。在一些具体实施例中,输送通道分为2、3、4、5、6、7、8、9或10个独立输送通道。举例而言,通道可沿着通道长度而分为两个,再汇合为一个,然后再分为3个。
通过如图18所示的多通道气体分配设备的气流可能在三个通道间并不均匀。通道之间的气流均匀度会受数个因素影响,包含、但不限于气体压力、真空压力、温度、流量、以及在沿着长度上的静止压力降。图19示出气体分配设备1900的另一具体实施例,其中输送通道1902分成为三个独立通道1902a、1902b、1902c,独立通道都具有其本身的出口阀门1912a、1912b、1912c。所示设备1900包含经由入口阀门1914而连接至入口1910的入口端1904。输送通道1902包含多个孔隙1908,这些孔隙1908沿着每一独立通道1902a、1902b、1902c的长度而分隔。这些孔隙可沿着通道长度而均匀分隔、或不均匀分隔。各通道具有一独立出口1912a、1912b、1912c,这些出口具有独立出口阀门1916a、1916b、1916c。各出口阀门1916a、1916b、1916c连接至控制器1950,该控制器1950可独立控制各出口阀门1916a、1916b、1916c。在此具体实施例中,控制器1950可设定出口阀门为关闭、完全开启、或之间的任一点。举例而言,若通过其中一个通道的气流比其他小,则控制器1950将开启该通道的出口阀门以加速流动,或开启其他通道的出口阀门以加速流动,并使较少气体经由孔隙而离开通道,以产生更均匀的流动。
也可使用多个独立通道。图20示出气体分配设备2000的具体实施例,气体分配设备2000具有五个独立气体输送通道2002a、2002b、2002c、2002d、2002e。各输送通道2002a、2002b、2002c、2002d、2002e包含入口阀门2014a、2014b、2014c、2014d、2014e以及出口阀门2016a、2016b、2016c、2016d、2016e。四个螺旋形输送通道2002a-d被示为在四个通道的中央处留下空隙区2060。第五个输送通道2002e贯穿与螺旋之间并在空隙区2060中震荡以避免气流中的死区。第五输送通道2002e被示为具有中间出口阀门2044。各输送通道可配置以输送相同气体,或可输送独立气体。
在一具体实施例中,这五个通道覆盖单个基板,且各通道系输送相同的反应性气体。基板可在输送通道下方旋转,或是通道可于基板上方旋转或震荡。在另一具体实施例中,替代的输送通道(例如2002a、2002c)可输送第一反应性气体,而其他通道(例如2002b、2002d)可输送第二反应性气体。第五通道2002e可配置以输送惰性气体,以于独立通道之间形成气幕(curtain),以分隔气体并避免气相反应。使基板在这些通道下方旋转会使交替的四分的一部分暴露于相同气体,而后为第二反应性气体,以沉积薄膜。在此具体实施例中,在空隙区2060中的基板部分不具沉积层。
在另一具体实施例中,各通道可输送相同气体,但各通道大小被设置成使得单个基板可被单个输送通道覆盖,以通过使基板从一输送通道移动至相邻通道而允许进行多个基板的处理。各通道可配置以输送相同气体或独立气体,且第五通道可配置以输送惰性气体以形成气幕,该气幕系分隔与输送通道相邻的反应区域。第五输送通道以及本文所述的任何其他气体输送通道可具有多个入口与单个出口或多个出口。举例而言,所示的第五输送通道可在任一端处具有入口以及在中间处的单个出口,以产生更强的气体气幕来与其他输送通道隔开。
同样地,出口的形状与数量根据所需用途而加以变化。图20中所示的螺旋形仅为例示,且不应被视为对本发明范围的限制。气体输送通道的形状可基于数种理由而修改。在一些具体实施例中,气体输送通道成形为拼写文字(例如“Applied Materials”)或形成标志(logo)。举例而言,图21示出三个输送通道2012a、2012b、2012c,三个输送通道2012a、2012b、2012c大致形成了加州圣大克劳拉市的应用材料有限公司的标志。第一气体输送通道2102a与第二气体输送通道2012b各具有单个入口阀门2114a、2114b及单个出口阀门2116a、2116b。第三气体输送通道2102c具有单个入口阀门2114c与两个出口阀门2116c、2116d。在沿着长度上,第三气体输送通道2102c分为两个通道,重新成形为单个通道,然后再次分为两个通道。在另一具体实施例中,第三输送通道的入口阀门与出口阀门可互反,因此可存在两个入口阀门与单个出口阀门。
基板所见的来自气体分配设备表面的气体流动可为均匀的或条纹状。举例而言,通过图9所示的双螺旋气体分配设备下方的基板会看见交替的气体环。在一些具体实施例中,多个输送通道成形为使得基板所见的孔洞图案在整个气体分配设备间都为均匀。图22A与图22B部分示出了气体输送设备2203的一实施例,其中基板所见的气流会是均匀的。图22A示出气体分配设备2203的背侧2201,该气体分配设备2203具有多个交替的气体通道2202a、2202b。气体通道2202a、2202b随气体通道的长度上分隔开的孔洞2208a、2208b而起伏,因此在图22B中的前侧2205上所见的孔洞2208图案是均匀的。此外,基板所见的气流会是均匀的,因为在气体分配设备前方有均匀分布于其间的孔洞。见图22B,孔洞2208的最上列将于第一气体与第二气体之间交替,而下一列则具有相反图案。因此,在所示的12个孔洞2208中,第一气体将流出其中六个孔洞,而第二气体将流出另外六个孔洞。
可有多个入口阀门2214a、2214b,如图22A所示,或可为分成多个通道的单个阀门。此外,可有多个出口阀门2216a、2216b,如图22B所示,或可为接合各通道的单个出口阀门。
所述气体分配设备可用以在等离子体增强原子层沉积(PEALD)处理中形成一或多层。在部分处理中,等离子体的使用提供了充足的能量来促进物种变成激发态,而使表面反应变得有利和可能。将等离子体导入处理中可为连续性或脉冲式。在一些具体实施例中,前驱物(或反应性气体)的连续脉冲与等离子体用以处理层。在一些具体实施例中,反应物可经局部(亦即在处理区域内)或远端(亦即在处理区域外)离子化。远端离子化可在沉积腔室的上游发生,使得离子或其他高能或发射光的物质并不与沉积薄膜直接接触。在部分PEALD工艺中,等离子体是在处理腔室的外部产生,例如经由远端等离子体处理器系统。等离子体可经由本领域技术人员所知的任何适当等离子体产生工艺或技术而产生。举例而言,可由微波(MW)频率产生器或射频(RF)产生器中的一或多个来产生等离子体。等离子体频率可根据所使用的具体反应性物质而加以调整。适当的频率包括,但不限于2MHz、13.56MHz、40MHz、60MHz与100MHz。虽然可在本文所揭示的沉积工艺中使用等离子体,但应注意也可不需要等离子体。
根据一或多个具体实施例,气体分配设备可用以于形成层之前及/或之后处理基板。此处理可在相同腔室、或在一或多个独立处理腔室中进行。在一些具体实施例中,基板自第一腔室移动至独立第二腔室,以进行进一步处理。基板可直接从第一腔室移动到该独立处理腔室,或是基板可从第一腔室移动至一或多个移送室、然后再移动至所需的独立处理腔室。因此,此处理设备包含与移送站相通的多个腔室。此种类的设备称为“丛集工具(cluster tool)”或“丛集式系统(clustered system)”等。
一般而言,丛集工具模块化系统,包含多个腔室,这些腔室执行各种功能,包括找寻基板中心与定向、脱气、退火、沉积及/或蚀刻。根据一或多个具体实施例,丛集工具包含至少第一腔室及中央移送室。中央移送室容纳机械臂(robot),机械臂可在处理腔室与加载锁定腔室之间运送基板。移送室一般是保持为真空条件,并为自一腔室至另一腔室及/或至位于丛集工具前端处的加载锁定腔室的运送基板提供中间阶段。可用于本发明的两种广为所知的丛集工具为
Figure BDA0000492562670000201
Figure BDA0000492562670000202
,两者都由加州圣大克劳拉市的应用材料有限公司所提供。一种这类阶段式真空基板处理设备的细节揭示于Tepman等人在1993年2月16日所获准的美国专利号5,186,718(专利名称为“阶段式真空晶圆处理设备与方法(Staged-Vacuum Wafer Processing Apparatus andMethod)”)中。然而,腔室的精确排列与组合可调整以执行本文所述的处理的具体步骤。可使用的其他处理腔室包括,但不限于,循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(例如RTP)、等离子体氮化、脱气、取向、氢氧化与其他基板处理。通过在丛集工具的腔室中实施处理,即可避免大气杂质对基板的表面污染,不会在沉积后续薄膜之前氧化。
根据一或多个具体实施例,在从腔室移动至下一个腔室时,基板连续在真空或“加载锁定”条件下,且未暴露于周围空气。移送室因此而处于真空,且在真空压力下进行“泵回(pumped down)”。在处理腔室或移送室中存在有惰性气体。在一些具体实施例中,惰性气体作为除气气体之用,以在基板表面上形成硅层之后移除部分或全部的反应物。根据一或多个具体实施例,除气气体于沉积腔室的出口处注入,以避免反应物从沉积腔室移动到移送室及/或其他处理腔室。因此,惰性气体的流动于腔室出口处形成气幕。
可使用例如本文所述的气体分配设备在单个基板沉积腔室中处理基板。在这类腔室中,单个基板在另一基板被处理之前被加载、处理、并卸载。基板也可以连续方式被处理,例如传送系统,其中多个基板系可独立地被加载至腔室的第一部件中、移动通过腔室、并且自腔室的第二部件卸载。腔室与相关传送系统的形状可形成直管线径或弯曲路径。此外,处理腔室可为回旋系统,其中多个基板可沿着中心轴而移动并且经由回旋系统路径而暴露以进行沉积、蚀刻、退火、清洁等程序。
在处理期间,基板可被加热或冷却。这种加热或冷却可藉由任何适当方式实现,包括,但不限于,改变基板支座的温度以及使加热或冷却气体流至基板表面。在一些具体实施例中,基板支座包含加热器/冷却器,加热器/冷却器可受控制以传导地改变基板温度。在一或多个具体实施例中,使用的气体(反应性气体或惰性气体)经加热或冷却以局部改变基板温度。在部分具体实施例中,加热器/冷却器位于腔室内、邻近基板表面,以对流地改变基板温度。
在处理期间,基板也可为静止或旋转。旋转基板可连续旋转、或在不连续的步骤中旋转。举例而言,基板可在整个处理间都旋转,或是基板可在暴露于不同反应性或除气气体之间小量旋转。在处理期间旋转基板(无论是连续地或分步骤)可通过使例如气流几何形状的局部变化所致的效应达最小化从而帮助产生更均匀的沉积或蚀刻。
本发明系以参照特定的具体实施例而描述,然而应知这些具体实施例仅为例示说明本发明的原理与应用之用。本领域技术人员可在不背离本发明的精神与范畴下对本发明的方法与设备进行各种修饰与变化。因此,本发明意欲包含在如附权利要求中所界定的修饰例与变化例,以及其等效例。

Claims (15)

1.一种气体分配设备,用于控制至处理腔室中的气流,该气体分配设备包含:
输送通道,具有入口端、出口端与长度,该输送通道具有沿着该长度分隔开的多个孔隙;
在该输送通道的该入口端上的入口,该入口可连接至气体源,其中该气流可由与该入口相通的气体阀加以控制;以及
在该输送通道的该出口端上的出口,该出口可连接至真空源,其中通过该出口的真空压力可由出口阀门加以控制,以在该出口处提供降低的压力;以及
控制器,用以通过在该通道中的气体输送与除气期间开启与关闭该出口阀门来调节通过该输送通道并至该处理腔室中的该气流,以控制通过沿着该通道的该长度的该等孔隙的该气流。
2.一种气体分配设备,包含:
在气体分配板的背侧中凹陷的气体输送通道,该凹陷的气体输送通道具有入口端、出口端与长度,该气体输送通道具有多个孔隙,该等孔隙沿着该长度而分隔开,该长度延伸通过该气体分配板至该气体分配板的前侧,使得流经该气体输送通道的气体可通过该等孔隙而离开该气体分配板;
在该气体分配板的该背侧上的背盖,该背盖覆盖该凹陷的通道;
通过该背盖连接至该气体输送通道的该入口端的入口,该入口可连接至气体源,其中气流可由与该入口相通的气体阀加以控制;
通过该背盖连接至该气体输送通道的该出口端的出口,该出口可连接至真空源,其中通过该出口的真空压力可由出口阀门加以控制,以于该出口处提供降低的压力;以及
控制器,用以通过在气体输送与除气期间开启与关闭该出口阀门来调节通过该输送通道并至该处理腔室中的该气流,以控制通过沿着该通道的该长度的该等孔隙的该气流。
3.如权利要求1所述的气体分配设备,其特征在于,该输送通道是在气体分配板的背侧中的凹陷的通道,且该多个孔隙延伸通过该气体分配板而至该气体分配板的前侧。
4.如权利要求2-3所述的气体分配设备,其特征在于,该气体分配板是圆的,且该输送通道形成螺旋形,其中该入口端与出口端的其中一者位于该气体分配板的外周区域,且该入口端与出口端的另一者位于该气体分配板的中央区域。
5.如权利要求2-4所述的气体分配设备,其特征在于,在该气体分配板的该背侧中有两个凹陷的输送通道,该两个输送通道沿该螺旋形互相缠绕。
6.如权利要求2-5所述的气体分配设备,其特征在于,还包括在该气体分配板的该背侧上的背盖,该背盖覆盖该凹陷的通道。
7.如权利要求1所述的气体分配设备,其特征在于,该输送通道是具有实质平坦形状的管。
8.如前述权利要求任一项所述的气体分配设备,其特征在于,该气体分配设备包含多个输送通道。
9.如权利要求8所述的气体分配设备,其特征在于,一个以上的所述输送通道为以下中的一者或多者:连接至该入口,使得流经该入口的气体流经该等输送通道中的每一个;以及连接至该出口,使得流经每个通道的气体流经该出口。
10.如权利要求9所述的气体分配设备,其特征在于,连接至该入口的该等输送通道中的每一个汇合且连接至一出口。
11.如权利要求9所述的气体分配设备,其特征在于,连接至该入口的该等输送通道中的每一个具有独立的出口,该独立的出口连接至独立的出口阀门。
12.如权利要求1-11所述的气体分配设备,其特征在于,该多个输送通道是成形为使得基板所见的孔洞图案在该气体分配设备间是均匀的。
13.如前述权利要求任一项所述的气体分配设备,其特征在于,通过该气体分配设备的气流在该气体分配设备的轴向长度上比通过无连接至该出口的该真空源的类似气体分配设备的该气流具有更均匀的气导。
14.如前述权利要求任一项所述的气体分配设备,其特征在于,当该气体阀关闭时,该气体比无该真空源的类似气体分配设备更快自该输送通道被去除。
15.一种处理腔室,包含如前述权利要求任一项的气体分配设备。
CN201280051129.4A 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法 Active CN103890912B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201810659433.6A CN108796472B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法
CN201710650874.5A CN107365977B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161548942P 2011-10-19 2011-10-19
US61/548,942 2011-10-19
US13/653,952 US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas
US13/653,952 2012-10-17
PCT/US2012/061022 WO2013059591A1 (en) 2011-10-19 2012-10-19 Apparatus and method for providing uniform flow of gas

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201710650874.5A Division CN107365977B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法
CN201810659433.6A Division CN108796472B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法

Publications (2)

Publication Number Publication Date
CN103890912A true CN103890912A (zh) 2014-06-25
CN103890912B CN103890912B (zh) 2018-07-20

Family

ID=48134979

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201280051129.4A Active CN103890912B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法
CN201810659433.6A Active CN108796472B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法
CN201710650874.5A Active CN107365977B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201810659433.6A Active CN108796472B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法
CN201710650874.5A Active CN107365977B (zh) 2011-10-19 2012-10-19 用于提供均匀气流的设备与方法

Country Status (5)

Country Link
US (3) US9109754B2 (zh)
KR (3) KR102166394B1 (zh)
CN (3) CN103890912B (zh)
TW (4) TWI786341B (zh)
WO (1) WO2013059591A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109075023A (zh) * 2016-04-01 2018-12-21 应用材料公司 用于提供均匀流动的气体的设备和方法
CN112136206A (zh) * 2018-05-17 2020-12-25 朗姆研究公司 具有气隙隔离充气室的喷头和高架式隔离气体分配器
CN112352302A (zh) * 2019-01-25 2021-02-09 玛特森技术公司 隔栅中的等离子体后气体注入
CN112673461A (zh) * 2018-09-14 2021-04-16 应用材料公司 用于多流前驱物剂量的装置
CN113437035A (zh) * 2021-05-27 2021-09-24 天津电气科学研究院有限公司 一种高效双面压接型水冷散热器
CN113838735A (zh) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 均匀分配气体的装置
CN114783907A (zh) * 2022-03-24 2022-07-22 盛吉盛半导体科技(北京)有限公司 一种硅晶圆反应设备
CN114981475A (zh) * 2019-12-19 2022-08-30 应用材料公司 用于原子层沉积前驱物输送的喷头

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) * 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9914999B2 (en) 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
CN105047543A (zh) * 2015-06-17 2015-11-11 沈阳拓荆科技有限公司 一种涡旋形表面结构的可控温加热盘
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7122102B2 (ja) * 2017-11-08 2022-08-19 東京エレクトロン株式会社 ガス供給システム及びガス供給方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
CN115125517B (zh) * 2022-06-23 2023-09-08 北京北方华创微电子装备有限公司 气体分配装置及半导体工艺设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
CN1929713A (zh) * 2005-06-22 2007-03-14 东京毅力科创株式会社 电极组件和等离子体处理装置
JP2010135569A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
JP2011086776A (ja) * 2009-10-15 2011-04-28 Mitsubishi Electric Corp 薄膜形成装置

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
EP0413239B1 (en) 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
KR100479750B1 (ko) 1999-02-06 2005-03-30 제일모직주식회사 이형성 및 내마모성이 우수한 고강성 열가소성 수지의 제조방법
US6620289B1 (en) 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
JP2002248345A (ja) * 2001-02-27 2002-09-03 Foi:Kk プラズマ処理装置
KR100450068B1 (ko) 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
KR20060064067A (ko) * 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
JP2006080374A (ja) 2004-09-10 2006-03-23 Sharp Corp 窒化物半導体の製造装置および窒化物半導体レーザ素子
US7473405B2 (en) 2004-10-13 2009-01-06 Chevron U.S.A. Inc. Fluid distribution apparatus for downflow multibed poly-phase catalytic reactor
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100931331B1 (ko) 2007-08-24 2009-12-15 주식회사 케이씨텍 박막 증착장치의 분사유닛
KR100920324B1 (ko) 2007-08-24 2009-10-07 주식회사 케이씨텍 박막 증착장치
KR100946159B1 (ko) 2007-08-24 2010-03-11 주식회사 케이씨텍 박막 증착장치
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR20090055443A (ko) 2007-11-28 2009-06-02 주식회사 케이씨텍 원자층 증착 장치
KR100949914B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100949913B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
KR100960958B1 (ko) 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
KR20090069075A (ko) 2007-12-24 2009-06-29 주식회사 케이씨텍 원자층 증착 장치용 서셉터 어셈블리
KR100936695B1 (ko) 2007-12-26 2010-01-13 주식회사 케이씨텍 원자층 증착장치
KR100936694B1 (ko) 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
KR101473334B1 (ko) 2008-06-30 2014-12-16 주식회사 케이씨텍 원자층 증착 장치
KR101485580B1 (ko) 2008-07-01 2015-01-22 주식회사 케이씨텍 원자층 증착 장치
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101028408B1 (ko) 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101072670B1 (ko) 2009-11-09 2011-10-11 주식회사 케이씨텍 원자층 증착장치
KR101081694B1 (ko) 2009-11-10 2011-11-15 주식회사 케이씨텍 다성분 박막의 증착을 위한 원자층 증착장치
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
KR101095687B1 (ko) 2010-10-25 2011-12-20 주식회사 케이씨텍 8분기 구조를 갖는 원자층 증착 장치
KR101136302B1 (ko) 2010-11-16 2012-04-19 주식회사 케이씨텍 원자층 증착 장치 및 그의 플라즈마 감지 방법
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
CN1929713A (zh) * 2005-06-22 2007-03-14 东京毅力科创株式会社 电极组件和等离子体处理装置
JP2010135569A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
JP2011086776A (ja) * 2009-10-15 2011-04-28 Mitsubishi Electric Corp 薄膜形成装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109075023A (zh) * 2016-04-01 2018-12-21 应用材料公司 用于提供均匀流动的气体的设备和方法
CN109075023B (zh) * 2016-04-01 2023-09-08 应用材料公司 用于提供均匀流动的气体的设备和方法
CN112136206A (zh) * 2018-05-17 2020-12-25 朗姆研究公司 具有气隙隔离充气室的喷头和高架式隔离气体分配器
CN112673461A (zh) * 2018-09-14 2021-04-16 应用材料公司 用于多流前驱物剂量的装置
CN112352302A (zh) * 2019-01-25 2021-02-09 玛特森技术公司 隔栅中的等离子体后气体注入
CN114981475A (zh) * 2019-12-19 2022-08-30 应用材料公司 用于原子层沉积前驱物输送的喷头
CN113838735A (zh) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 均匀分配气体的装置
CN113437035A (zh) * 2021-05-27 2021-09-24 天津电气科学研究院有限公司 一种高效双面压接型水冷散热器
CN114783907A (zh) * 2022-03-24 2022-07-22 盛吉盛半导体科技(北京)有限公司 一种硅晶圆反应设备
CN114783907B (zh) * 2022-03-24 2022-10-11 盛吉盛半导体科技(北京)有限公司 一种硅晶圆反应设备

Also Published As

Publication number Publication date
KR102010469B1 (ko) 2019-10-21
TWI627368B (zh) 2018-06-21
US9109754B2 (en) 2015-08-18
TWI786341B (zh) 2022-12-11
KR20190095549A (ko) 2019-08-14
TW201804111A (zh) 2018-02-01
TW201840948A (zh) 2018-11-16
KR20200118259A (ko) 2020-10-14
TW202024520A (zh) 2020-07-01
TWI680255B (zh) 2019-12-21
WO2013059591A1 (en) 2013-04-25
CN108796472B (zh) 2023-06-30
USRE48994E1 (en) 2022-03-29
KR102204305B1 (ko) 2021-01-15
USRE47440E1 (en) 2019-06-18
CN107365977A (zh) 2017-11-21
KR20140077213A (ko) 2014-06-23
CN103890912B (zh) 2018-07-20
CN107365977B (zh) 2023-02-28
TWI614446B (zh) 2018-02-11
US20130098477A1 (en) 2013-04-25
TW201326632A (zh) 2013-07-01
KR102166394B1 (ko) 2020-10-15
CN108796472A (zh) 2018-11-13

Similar Documents

Publication Publication Date Title
CN103890912A (zh) 用于提供均匀气流的设备与方法
TWI677593B (zh) 用於提供均勻流動的氣體的設備及方法
US10400335B2 (en) Dual-direction chemical delivery system for ALD/CVD chambers
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
WO2017200696A1 (en) Gas distribution showerhead for semiconductor processing
US8955547B2 (en) Apparatus and method for providing uniform flow of gas
CN107208266A (zh) 用于空间上分离的原子层沉积腔室的改进的注射器
TWM570917U (zh) 用於提供均勻氣流之氣體分配設備與處理腔室

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant