KR20190095549A - 균일한 가스 유동을 제공하기 위한 장치 및 방법 - Google Patents

균일한 가스 유동을 제공하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR20190095549A
KR20190095549A KR1020197023129A KR20197023129A KR20190095549A KR 20190095549 A KR20190095549 A KR 20190095549A KR 1020197023129 A KR1020197023129 A KR 1020197023129A KR 20197023129 A KR20197023129 A KR 20197023129A KR 20190095549 A KR20190095549 A KR 20190095549A
Authority
KR
South Korea
Prior art keywords
gas
gas distribution
outlet
channel
delivery
Prior art date
Application number
KR1020197023129A
Other languages
English (en)
Other versions
KR102166394B1 (ko
Inventor
조셉 유도브스키
메이 창
파루크 구운고르
폴 에프. 마
데이비드 추
치엔-테흐 카오
하이맨 람
디엔-예흐 우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190095549A publication Critical patent/KR20190095549A/ko
Application granted granted Critical
Publication of KR102166394B1 publication Critical patent/KR102166394B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7781With separate connected fluid reactor surface
    • Y10T137/7833With means for mounting or connecting to system

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

유입구 단부, 배출구 단부, 및 길이를 따라서 이격된 복수의 개구들을 가지는 전달 채널을 가지는 가스 분배 장치가 제공된다. 유입구 단부가 가스 공급원에 연결될 수 있고 그리고 배출구 단부가 진공 공급원과 연결될 수 있다. 또한, 유입구 단부 및 배출구 단부가 전달 채널들 내의 가스의 신속한 교환을 위해서 구성되는, 나선형 전달 채널들, 함께 감겨진 나선형 전달 채널들, 분할 전달 채널들, 병합 전달 채널들 및 성형된 전달 채널을 가지는 가스 분배 장치가 제공된다.

Description

균일한 가스 유동을 제공하기 위한 장치 및 방법{APPARATUS AND METHOD FOR PROVIDING UNIFORM FLOW OF GAS}
본원 발명의 실시예들은 일반적으로 프로세싱 챔버 내로 가스를 유동시키기 위한 장치 및 방법에 관한 것이다. 보다 구체적으로, 발명의 실시예들은 원자 층 증착 챔버 또는 화학 기상 챔버와 같은 프로세싱 챔버로 가스의 유동을 지향시키기 위한 선형 유동 장치에 관한 것이다.
반도체 프로세싱, 평판 디스플레이 프로세싱 또는 다른 전자적 디바이스 프로세싱 분야에서, 기상 증착 프로세스들이 기판들 상에 재료들을 증착하는데 있어서 중요한 역할을 하였다. 전자적 디바이스들의 기하형태들이 지속적으로 축소됨에 따라 그리고 디바이스들의 밀도가 지속적으로 증가됨에 따라, 피쳐들의 크기 및 종횡비가 보다 더 공격적(aggressive)이 되고 있고, 예를 들어, 0.07 ㎛의 피쳐 크기들 및 10 또는 그 초과의 종횡비들이 되고 있다. 따라서, 이러한 디바이스들을 형성하기 위한 재료들의 등각적인(conformal) 증착이 점점더 중요해지고 있다.
원자 층 증착(ALD) 프로세스 중에, 반응제 가스들이 기판을 포함하는 프로세스 챔버 내로 도입된다. 일반적으로, 기판의 영역이, 기판 표면 상으로 흡착되는 제 1 반응제와 접촉된다. 이어서, 기판이, 증착된 재료를 형성하기 위해서 제 1 반응제와 반응하는 제 2 반응제와 접촉된다. 반응들이 기판 표면 상에서만 발생하도록 보장하기 위해서 각각의 반응제 가스의 전달 사이에 퍼지 가스가 도입될 수 있을 것이다.
종종 샤워헤드들과 유사하게 성형되고 그리고 샤워헤드들로서 지칭되는 가스 분배 장치는 프로세싱 가스들을 기판(또한 웨이퍼로서 지칭된다)으로 밀접한 근접도로 분배한다. 샤워헤드들을 포함하는, 가스 분배 장치들이 큰 부피들을 가지며, 상기 부피들은 가스들 사이에서 소제(clean) 또는 퍼지하기가 매우 어려울 수 있다. 샤워헤드 내에 잔류하는 임의 가스들이 후속하는 프로세싱 가스들과 반응할 수 있을 것이다. ALD 프로세스들의 경우에, 교번적인 가스들의 펄스들, 예를 들어, A 펄스, B 펄스, A 펄스, 및 B 펄스 타입 전달에 의존하는, 샤워헤드들을 포함하는, 가스 분배 장치에서 가스들의 분리가 중요하다. 그에 따라, 소제/퍼지가 용이한 그리고 기판으로 균일한 가스들의 공급을 제공하는, 샤워헤드들을 포함하는, 개선된 가스 분배 장치들에 대한 당업계의 지속적인 요구가 있다.
발명의 하나 또는 둘 이상의 실시예들은 프로세스 챔버 내로의 가스의 유동을 제어하기 위한 가스 분배 장치들에 관한 것이다. 상기 장치는 유입구 단부, 배출구 단부, 길이(length) 및 상기 길이를 따라서 이격된 복수의 개구들을 가지는 전달 채널을 포함한다. 상기 전달 채널의 유입구 단부 상의 유입구가 가스 공급원에 연결될 수 있고, 상기 가스의 유동은 상기 유입구와 소통하는 가스 밸브에 의해서 제어될 수 있다. 상기 전달 채널의 배출구 단부 상의 배출구가 진공 공급원에 연결될 수 있고, 상기 배출구에서 감소된 압력을 제공하기 위해서 상기 배출구를 통한 진공 압력이 배출구 밸브에 의해서 제어될 수 있을 것이다. 제어기가, 상기 채널의 길이를 따른 개구들을 통한 가스의 유동을 제어하기 위해서 상기 채널 내의 가스 전달 및 가스 퍼징 중에 상기 배출구 밸브를 개방 및 폐쇄함으로써, 상기 전달 채널을 통한 그리고 상기 프로세스 챔버 내로의 가스의 유동을 조절한다.
일부 실시예들에서, 가스 분배 장치를 통한 가스의 유동은, 배출구에 연결된 진공 공급원이 없는 유사한 가스 분배 장치를 통한 가스의 유동 보다, 가스 분배 장치의 축방향 길이를 따른 보다 균일한 전도도(conductance)를 가진다. 하나 또는 둘 이상의 실시예들에서, 가스 밸브가 폐쇄될 때, 진공 공급원이 없는 유사한 가스 분배 장치 보다 더 신속하게 가스가 상기 전달 채널로부터 퍼지된다.
일부 실시예들에서, 전달 채널이 가스 분배 플레이트의 후방 측부(back side) 내의 리세스된(recessed) 채널이고 그리고 복수의 개구들이 상기 가스 분배 플레이트를 통해서 상기 가스 분배 플레이트의 전방 측부까지 연장한다.
하나 또는 둘 이상의 실시예들에서, 상기 가스 분배 플레이트가 둥글고 그리고 상기 전달 채널이 나선형 형상을 형성하고, 이때 상기 유입구 단부 및 상기 배출구 단부 중 하나가 상기 가스 분배 플레이트의 외측 둘레 영역 내에 배치되고 그리고 상기 유입구 단부 및 상기 배출구 단부 중 다른 하나가 상기 가스 분배 플레이트의 중앙 영역 내에 배치된다. 일부 실시예들에서, 유입구 단부가 상기 가스 분배 플레이트의 외측 둘레 영역에 배치되고 그리고 상기 배출구 단부가 상기 가스 분배 플레이트의 중앙 영역에 배치된다. 하나 또는 둘 이상의 실시예들에서, 상기 배출구 단부가 상기 가스 분배 플레이트의 상기 외측 둘레 영역에 배치되고 그리고 상기 유입구 단부가 상기 가스 분배 플레이트의 중앙 영역에 배치된다.
일부 실시예들에서, 상기 가스 분배 플레이트의 후방 측부 내에 리세스된 2개의 전달 채널들이 존재한다. 일부 실시예들에서, 상기 전달 채널들의 각각이 나선형 형상을 형성하고, 상기 유입구 단부 및 상기 배출구 단부 중 하나가 상기 가스 분배 플레이트의 외측 둘레 영역에 배치되고 그리고 상기 유입구 단부 및 상기 배출구 단부 중 다른 하나가 상기 가스 분배 플레이트의 중앙 영역에 배치된다. 하나 또는 둘 이상의 실시예들에서, 2개의 전달 채널들이 상기 나선형 형상을 따라서 함께 감겨진다(interwined). 특정 실시예들에서, 각각의 전달 채널이 상기 가스 분배 플레이트의 상기 외측 둘레 영역 내에 배치된 유입구 단부 및 상기 가스 분배 플레이트의 중앙 영역 내에 배치된 배출구 단부를 가진다. 일부 실시예들에서, 각각의 전달 채널이 상기 가스 분배 플레이트의 외측 둘레 영역 내에 배치된 배출구 단부 및 상기 가스 분배 플레이트의 중앙 영역 내에 배치된 유입구 단부를 가진다. 하나 또는 둘 이상의 실시예들에서, 하나의 전달 채널의 유입구 단부가 상기 가스 분배 플레이트의 외측 둘레 영역 내에 배치되고 그리고 다른 전달 채널의 배출구 단부가 상기 가스 분배 플레이트의 외측 둘레 영역 내에 배치된다.
일부 실시예들에서, 상기 가스 분배 장치가 상기 가스 분배 플레이트의 후방 측부 상의 후방 커버를 더 포함하고, 상기 후방 커버는 상기 리세스된 채널을 커버한다. 하나 또는 둘 이상의 실시예들에서, 상기 전달 채널이 실질적으로 평면형 구성을 가지는 튜브형의 나선이다. 일부 실시예들에서, 상기 가스 분배 장치가 복수의 전달 채널들을 포함하고, 상기 각각의 전달 채널이 실질적으로 직선형으로 그리고 근처의 전달 채널에 실질적으로 평행하게 연장한다.
하나 또는 둘 이상의 실시예들에서, 전달 채널들 중 하나 초과가 상기 유입구에 연결되고, 그에 따라 상기 유입구를 통해서 유동하는 가스가 상기 전달 채널들의 각각을 통해서 유동하게 된다. 일부 실시예들에서, 상기 유입구에 연결된 전달 채널들의 각각이 병합되고 그리고 하나의 배출구에 연결된다. 일부 실시예들에서, 상기 유입구에 연결된 전달 채널들의 각각이, 분리된 배출구 밸브에 연결된 분리된 배출구를 가진다. 하나 또는 둘 이상의 실시예들에서, 상기 제어기는, 상기 전달 채널들의 각각을 통한 가스의 실질적으로 균일한 유동을 유지하기 위해서, 상기 배출구 밸브들의 각각을 독립적으로 조정한다. 실시예에서, 복수의 전달 채널들이 하나 또는 둘 이상의 워드들(words) 또는 로고들(logos)을 형성하도록 성형된다.
일부 실시예들에서, 기판에서 보여지는 홀 패턴이 가스 분배 장치에 걸쳐서 균일하도록, 복수의 전달 채널들이 성형된다.
발명의 부가적인 실시예들은 개시된 가스 분배 장치를 포함하는 프로세싱 챔버들에 관한 것이다. 일부 실시예들에서, 가스 분배 장치가 실질적으로 평면형의 구성을 가지는 튜브형 나선을 포함하고, 상기 가스 분배 장치가 기판 지지부와 가스 분배 플레이트 사이에 배치된다.
발명의 부가적인 실시예들은, 가스 분배 플레이트, 후방 커버, 유입구, 배출구, 및 제어기를 포함하는 가스 분배 장치에 관한 것이다. 가스 전달 채널이 가스 분배 플레이트의 후방 측부 내에 리세스된다. 상기 리세스된 가스 전달 채널은 유입구 단부, 배출구 단부, 길이, 및 상기 가스 분배 플레이트를 통해서 상기 가스 분배 플레이트의 전방 측부까지 연장하는 상기 길이를 따라 이격된 복수의 개구들을 가지고, 그에 따라 상기 가스 전달 채널을 통해서 유동하는 가스가 상기 개구들을 통과하여 상기 가스 분배 플레이트를 빠져나갈 수 있다. 상기 후방 커버가 상기 가스 분배 플레이트의 후방 측부 상에 위치되어, 상기 리세스된 채널을 커버한다. 상기 유입구는 상기 후방 커버를 통해서 상기 가스 전달 채널의 유입구 단부에 연결된다. 상기 유입구가 가스 공급원에 연결될 수 있고, 가스의 유동은 상기 유입구와 소통하는 가스 밸브에 의해서 제어될 수 있다. 배출구가 상기 후방 커버를 통해서 가스 전달 채널의 배출구 단부에 연결된다. 상기 배출구는 진공 공급원에 연결될 수 있고, 상기 배출구를 통한 진공 압력이 배출구 밸브에 의해서 제어되어 상기 배출구에서 감소된 압력을 제공할 수 있다. 상기 채널의 길이를 따른 개구들을 통한 가스의 유동을 제어하기 위해서 가스 전달 및 가스 퍼징 중에 상기 배출구 밸브를 개방 및 폐쇄하는 것에 의해서, 상기 제어기는 상기 가스 전달 채널을 통한 그리고 상기 프로세스 챔버 내로의 가스의 유동을 조절한다.
일부 실시예들에서, 상기 가스 분배 플레이트가 둥글고 그리고 상기 전달 채널이 나선형 형상을 형성하고, 이때 상기 유입구 단부 및 상기 배출구 단부 중 하나가 상기 가스 분배 플레이트의 외측 둘레 영역 내에 배치되고 그리고 상기 유입구 단부 및 상기 배출구 단부 중 다른 하나가 상기 가스 분배 플레이트의 중앙 영역 내에 배치된다. 하나 또는 둘 이상의 실시예들에서, 상기 가스 분배 플레이트의 후방 측부 내에 리세스된 2개의 전달 채널들이 존재하고, 상기 2개의 전달 채널들이 상기 나선형 형상을 따라서 함께 감겨진다.
발명의 추가적인 실시예들은 복수의 세장형 전달 채널들을 포함하는 가스 분배 장치들에 관한 것이다. 각각의 전달 채널이 유입구 단부로부터 길이를 따라서 배출구 단부까지 연장하고 그리고 상기 길이를 따라서 이격된 복수의 개구들을 가진다. 상기 유입구 단부가 가스 공급원에 연결될 수 있고, 가스의 유동은 상기 유입구 단부와 소통하는 가스 밸브에 의해서 제어될 수 있다. 상기 배출구 단부가 진공 공급원에 연결될 수 있고, 상기 배출구 단부를 통한 진공 압력이 배출구 밸브에 의해서 제어되어 상기 배출구 단부에서 감소된 압력을 제공할 수 있다. 복수의 세장형 진공 채널들이 제공되고, 각각의 채널이 길이를 따라서 연장한다. 상기 채널의 길이를 따른 개구들을 통한 가스의 유동을 제어하기 위해서 가스 전달 및 가스 퍼징 중에 상기 배출구 밸브를 개방 및 폐쇄하는 것에 의해서, 제어기는 상기 가스 전달 채널을 통한 그리고 상기 프로세스 챔버 내로의 가스의 유동을 조절한다. 각각의 전달 채널의 복수의 개구들은 적어도 하나의 세장형 진공 채널에 의해서 근처의 전달 채널의 복수의 개구들로부터 분리된다.
앞서 인용한 발명의 특징들이 얻어질 수 있고 그리고 구체적으로 이해될 수 있는 방식으로, 앞서서 간략하게 요약한 발명의 보다 특별한 설명은 첨부된 도면들에 일부가 도시된 실시예들을 참조하여 이루어질 수 있을 것이다. 그러나, 발명이 다른 균등한 효과의 실시예들에 대해서도 인정되기 때문에, 첨부 도면들이 본원 발명의 전형적인 실시예들만을 도시한 것이고 그에 따라 본원 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것을 주목하여야 할 것이다.
도 1은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 도면을 도시한다.
도 2는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 도면을 도시한다.
도 3은 발명의 하나 또는 둘 이상의 실시예들에 따른 하나 또는 둘 이상의 가스 분배 장치를 포함하는 프로세싱 챔버의 도면을 도시한다.
도 4는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 상면도를 도시한다.
도 5는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 사시도적인 횡단면도를 도시한다.
도 6은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 사시도를 도시한다.
도 7은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 저면도를 도시한다.
도 8은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 부분적인 횡단면도를 도시한다.
도 9는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 상면도를 도시한다.
도 10은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 부분적인 횡단면도를 도시한다.
도 11은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 분해된 부분적인 횡단면도를 도시한다.
도 12는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 사시도적인 횡단면도를 도시한다.
도 13은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 사시도를 도시한다.
도 14는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 저면도를 도시한다.
도 15는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 사시도를 도시한다.
도 16a는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 부분적인 횡단면도를 도시한다.
도 16b는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 부분적인 횡단면도를 도시한다.
도 17은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치를 도시한다.
도 18은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치를 도시한다.
도 19는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치를 도시한다.
도 20은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치를 도시한다.
도 21은 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치를 도시한다.
도 22a는 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 분배 장치의 후방 측부의 일부를 도시한다.
도 22b는 도 22a의 가스 분배 장치의 전방 측부를 도시한다.
발명의 실시예들은 화학 기상 증착 타입 프로세스들에서 이용하기 위한 가스 분배 장치에 관한 것이다. 발명의 하나 또는 둘 이상의 실시예들은 개시된 가스 분배 장치를 포함하는 원자 층 증착 프로세스들 및 장치(주기적인(cyclical) 증착이라고도 지칭된다)에 관한 것이다. 개시된 가스 분배 장치가 샤워헤드 또는 가스 분배 플레이트로서 언급될 수 있을 것이나, 당업자는 그러한 장치가 반드시 샤워헤드 또는 플레이트와 유사하게 성형될 필요가 없다는 것을 이해할 수 있을 것이다. "샤워헤드" 및 "플레이트"라는 용어들은 발명의 범위를 제한하는 것으로 받아들여지지 않아야 한다.
발명의 제 1 실시예는 단일 나선형 가스 전달 채널을 가지는 장치에 관한 것이다. 모든 가스들이 동일한 채널을 통해서 순차적으로 유동한다. 유입구가, 외측 둘레로서 또한 지칭되는, 상기 나선의 외측 방사상 엣지 상에 위치되고, 그리고 가스 공급원에 부착될 수 있을 것이다. 진공 부착부가 상기 나선의 내부 단부에 연결된다. 상기 유입구 및 배출구가 반대가 될 수 있고, 가스 공급원이 상기 나선의 외부 단부의 상기 배출구 밸브로 상기 나선의 내부로 연결될 수 있다. 제 1 실시예가 표 1에 기재된 바와 같은 순서로 이용될 수 있다.
단계 가스 공급원 배출구 밸브
1 전구체 A 폐쇄
2a 퍼지 폐쇄
2b 퍼지 개방
2c 퍼지 폐쇄
3 전구체 B 폐쇄
제 2 실시예는 함께 감겨진 2개의 나선형 채널들을 가진다. 각각의 채널이 상기 나선의 외측 방사상 단부 상의 가스 유입구 및 각각의 나선의 내측 방사상 단부 상의 배출구 밸브를 가진다. 상기 유입구 및 배출구가 반대가 되거나 혼합될 수 있다. 상이한 채널들이 상이한 전구체들에 대해서 이용될 수 있다. 제 3 세트의 실시예들에서, 채널이 선형 가스 라인이다. 상기 선형 가스 라인이, 단지 선형만이 아닌, 임의의 적합한 형상을 취할 수 있다. 상이한 전구체들에 대한 복수의 선형 가스 라인들이 존재할 수 있다. 일부 실시예들은, 순서대로, 모든 가스들에 대한 복수의 평행한 경로들을 가지고, 가스 채널들의 전도도가 실질적으로 동일하다.
하나 또는 둘 이상의 실시예들에서, 개별적인 채널 내에서, 배출구 단부에서의 진공 압력을 조절 또는 변경함으로써, 채널을 통한 그리고 개구들을 통한 가스의 전도도가 제어된다. 다시, 진공 압력을 변경하는 것은 통상적인 가스 분배 장치들에서 달성될 수 없었던 특유의 유동 역학(dynamic)을 생성한다. 일부 실시예들에서, 보다 균일한 가스 유동이 각각의 채널의 길이를 따라서 그리고 상기 채널의 길이를 따라서 이격된 개구들을 통해서 제공된다. 하나 또는 둘 이상의 실시예들에 따른 균일한 가스 유동은, 채널을 통한 가스들의 유동 또는 펌핑을 방해하는 사공간(dead space)이 실질적으로 존재하지 않는다는 것을 의미한다. 채널의 하나의 단부에서 밸브를 가지거나 가지지 않고 채널의 다른 단부에서 밸브를 가지는 상태에서의 진공의 제공은, 전구체와 반응제 가스들과 같은, 상이한 타입들의 가스들 사이의 신속한 절환(switching)을 허용한다.
일부 실시예들에서, 가스 전달 채널의 단부에서의 진공은 채널 내로부터의 가스들의 신속한 퍼징을 가능하게 한다. 퍼지 가스 공급원이 가스 전달 채널의 유입구로 연결될 수 있고 그리고 채널의 배출구에서의 진공과 협력적으로 작업하여 채널로부터 반응성 가스들을 보다 더 신속하게 제거한다. 부가적으로, 진공 포트들이, 채널의 단부에서만이 아니라, 가스 전달 채널의 길이를 따라서 이격될 수 있다.
발명의 실시예들이 가스 전달 채널을 따라서 이격된 홀들을 통한 가스의 전도도를 증가시킬 수 있을 것이다. 동작의 임의의 특별한 이론에 의해서 구속됨이 없이, 채널의 배출구 단부에서의, 또는 중간에서의 진공 압력을 제어하는 것은 통상적인 샤워헤드 또는 가스 분배 플레이트에 대한 유동 역학을 변화시킨다.
도 1 및 2를 참조하면, 하나 또는 둘 이상의 실시예들이 가스를 프로세스 챔버(미도시)로 전달하기 위한 가스 분배 장치(100)에 관한 것이다. 상기 가스 분배 장치(100)는 유입구 단부(104) 및 배출구 단부(106)를 가지는 전달 채널(102)을 포함한다. 상기 전달 채널(102)은 그러한 전달 채널(102)의 길이를 따라서 이격된 복수의 개구들(108)을 가진다. 유입구(110)가 상기 전달 채널(102)의 유입구 단부(104)에 연결되고 그리고 유체 소통된다. 배출구(112)가 상기 전달 채널(102)의 배출구 단부(106)에 연결되고 그리고 유체 소통된다. 상기 유입구(110)는 가스 공급원에 연결되도록 구성되고 그리고 상기 전달 채널(102) 내로의(또는 외부로의) 가스의 유동을 제어할 수 있는 또는 가스의 유동을 완전히 차단할 수 있는 유입구 밸브(114)를 포함할 수 있을 것이다. 상기 배출구(112)는 진공 공급원에 연결되도록 구성되고 그리고 상기 전달 채널(102) 내로의(또는 외부로의) 가스의 유동을 제어할 수 있는 또는 가스의 유동을 완전히 차단할 수 있는 배출구 밸브(116)를 포함할 수 있을 것이다. 상기 배출구(112)를 통한 진공 압력이 배출구 밸브(116)에 의해서 제어되어 배출구(112)에서 감소된 압력을 제공할 수 있도록, 상기 배출구(112)가 진공 공급원(미도시)에 연결될 수 있다.
제어기(150)는 상기 전달 채널(102)을 통한 그리고 상기 프로세스 챔버 내로의 가스의 유동을 조절한다. 상기 제어기(150)는 가스 전달 및 가스 퍼징 중에 상기 배출구 밸브를 개방 또는 폐쇄함으로써(또는 완전한 개방과 완전한 폐쇄 사이의 임의 지점에 의해서) 이러한 조절을 실시한다. 이는, 상기 채널의 길이를 따라서 이격된 개구들(예를 들어, 도 4에 도시됨)을 통한 가스의 유동을 제어한다.
상기 전달 채널을 통해서 유동하는 가스가 최소의 유동 저항을 경험하도록, 전달 채널(102)의 횡단면적 형상이 제어될 수 있다. 일부 실시예들에서, 전달 채널(102)이 둥근 또는 타원형의 횡단면 형상을 가진다. 하나 또는 둘 이상의 실시예들에서, 벤드들, 회전부들(turns), 트위스트들 등이 실질적으로 사공간을 제공하지 않도록, 상기 전달 채널(102)이 충분한 횡단면을 가진다.
(횡단면 형상과 대조적으로) 상기 전달 채널(102)의 전체적인 형상은 희망하는 바에 따라서 수정될 수 있다. 예를 들어, 전달 채널(102)이 특정 지역들 내에 피팅(fit)되도록 또는 기판의 형상에 합치(match)되도록 성형될 수 있다. 전달 채널(102)은, 예를 들어, 직선형, 둥근형, 정사각형, 타원형, 직사각형, 또는 긴형상(oblong)이 될 수 있다. 부가적으로, 전달 채널의 전체적인 형상이, 서로에 대해서 평행, 수직, 또는 동심적인 반복되는 유닛들로 구성될 수 있다. 하나 또는 둘 이상의 실시예들에서, 가스 유동 또는 퍼징을 방해하는 사공간이 실질적으로 존재하지 않는 전체적인 형상을 전달 채널이 가진다. 본원 명세서에서 그리고 첨부된 청구항들에서 사용된 바와 같이, "실질적으로 사공간이 없는"이라는 용어는, 가스의 유동 또는 퍼징이 사공간으로 인해서 약 10% 미만 만큼 또는 약 5% 미만 만큼 방해된다는 것을 의미한다.
일부 실시예들에서, 전달 채널(102)이 실질적으로 평면형의 구성을 가지는 튜브형의 나선이다. 이러한 특별한 형상은 도 1 및 2에 도시된 실시예에 의해서 예시되어 있다. 본원 명세서에서 그리고 첨부된 청구항들에서 사용된 바와 같이, "실질적으로 평면형인 구성"이라는 용어는, 전달 채널(102) 내의 복수의 개구들(108)이 대부분 동일한 평면 내에 위치된다는 것을 의미한다. 도 1 및 2에 도시된 실시예가 실질적으로 평면형인 구성을 가지는데, 이는, 비록 유입구 단부 및 배출구 단부, 그리고 상기 유입구 단부 및 상기 배출구 단부 근처의 전달 채널의 부분들이 복수의 개구들과 공통평면적(coplanar)이 아니지만, 개구들이 공통평면적이기 때문이다.
전달 채널(102)이 플라즈마 프로세싱을 위해서 이용될 수 있다. 예를 들어, 전달 채널(102)이 챔버 내의 플라즈마를 점화(ignite)하기 위해서 프로세싱 챔버의 다른 부분에 대해서 극성화될(polarized) 수 있다. 상기 전달 채널(102)이 챔버의 부분에 대해서 바이어스될(biased) 수 있고, 또는 챔버의 부분이 전달 채널(102)에 대해서 바이어스될 수 있다. 예를 들어, 전달 채널(102)이 받침대에 대해서 극성화될 수 있고, 또는 받침대가 전달 채널에 대해서 극성화될 수 있다. 플라즈마의 주파수가 또한 튜닝될 수 있다. 하나 또는 둘 이상의 실시예들에서, 플라즈마가 13.56 MHz의 주파수를 가진다. 일부 실시예들에서, 플라즈마가 약 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz 또는 120 MHz의 주파수를 가진다.
임의의 적합한 재료가 전달 채널, 샤워헤드 또는 가스 분배 장치를 위해서 이용될 수 있다. 적합한 재료에는, 비제한적으로, 스테인리스 스틸 및 불활성 재료들이 포함된다. 일부 실시예들에서, 전달 채널, 샤워헤드 또는 가스 분배 플레이트가 스테인리스 스틸로 제조된다.
도 3은 하나 또는 둘 이상의 실시예들에 따른 프로세싱 챔버의 일부의 횡단면을 도시한다. 가스 분배 장치(100)가 기판 지지 받침대(302)와 가스 분배 플레이트(306) 사이에 배치된다. 상기 가스 지지 받침대(302)가 기판(304)을 지지하는 것으로 도시되어 있다. 상기 기판 지지 받침대(302)가 정지적이거나 회전할 수 있고, 또는 프로세싱의 일부에 대해서 정지적일 수 있고 그리고 프로세싱의 일부에 대해서 회전할 수 있다. 회전하는 지지 받침대(302)는, 프로세싱 챔버 전체를 통해서 발생될 수 있는 상이한 가스 유동 패턴들을 최소화함으로써, 기판의 보다 균일한 프로세싱을 허용할 수 있을 것이다. 일부 실시예들의 지지 받침대(302)가 히터 또는 가열 메커니즘을 포함한다. 히터는 저항형 히터들을 포함하는 임의의 적합한 타입의 히터일 수 있다.
가스 분배 장치(100)가 실질적으로 평면형인 구성을 가지는 튜브형 나선으로서 도시되어 있다. 기판(304)이 가스 분배 플레이트(306) 및 가스 분배 장치(100) 중 어느 하나 또는 양자 모두로 프로세스될 수 있다. 가스 분배 장치가 가스 분배 플레이트(306)로부터 유동하는 가스와 실질적으로 간섭하지 않도록, 가스 분배 장치(100)가 성형될 수 있다. 본원 명세서에서 그리고 첨부된 청구항들에서 사용된 바와 같이, "실질적으로 간섭하는"이라는 용어는, 가스 분배 장치(100)가 가스 분배 플레이트로부터 유동하는 가스의 약 30% 초과와 간섭하지 않는다는 것을 의미한다. 예를 들어, 가스 분배 플레이트(306)의 전방 표면(308)은, 가스들이 통과하여 유동하는 복수의 개구들(310)을 가진다. 상기 개구들(310)의 막힘을 방지하도록 상기 가스 분배 장치(100)가 성형될 수 있다.
도 3의 전달 채널과 유사하게 배치된 전달 채널이 또한 플라즈마 프로세싱을 위해서 이용될 수 있다. 장치(100)는 챔버의 일부에 대해서 극성화될 수 있고, 또는 챔버의 일부가 장치(100)에 대해서 극성화될 수 있다. 예를 들어, 전달 채널 장치(100)가 받침대(302)에 대해서 극성화될 수 있고, 또는 받침대(302)가 장치(100)에 대해서 극성화될 수 있다. 일부 실시예들에서, 장치(100)가 가스 분배 플레이트(306)에 대해서 극성화된다. 하나 또는 둘 이상의 실시예들에서, 가스 분배 플레이트(306)가 받침대(302)에 대해서 극성화되고 그리고 상기 장치(100)로부터 유동하는 가스가 플라즈마를 형성한다. 플라즈마의 주파수가 또한 튜닝될 수 있다. 하나 또는 둘 이상의 실시예들에서, 플라즈마가 13.56 MHz의 주파수를 가진다. 일부 실시예들에서, 플라즈마가 약 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz 또는 120 MHz의 주파수를 가진다.
도 4 내지 도 7은, 전달 채널(402)이 가스 분배 플레이트(403)의 후방 측부(401) 내의 리세스된 채널인, 가스 분배 장치(400)의 다른 실시예를 도시한다. 도시된 실시예는, 보다 더 리세스된 전달 채널(402)을 가지는 가스 분배 플레이트(403)의 후방 측부(401) 내에 리세스된 큰 내측 섹션을 가진다. 이는, 전달 채널(402)을 둘러싸는 후방 측부(401) 내의 리세스된 지역 내에 배치될 수 있는 후방 커버(407)의 부가를 허용한다. 후방 커버(407)는, 특정 실시예들의 리세스된 후방 측부(401) 내로 삽입될 때, 실질적으로 같은 높이의(flush) 가스 분배 플레이트의 후방 측부 표면을 생성한다. 당업자는, 후방 커버(407)가 가스 분배 플레이트(403)의 후방 측부(401)의 리세스된 지역 내에 반드시 피팅될 필요가 없고, 가스 분배 플레이트(403)의 후방 측부(401) 상에 직접적으로 또한 놓일 수 있다는 것을 이해할 수 있을 것이다. 이러한 종류의 실시예들에서, 추가적으로 리세스되는 전달 채널들을 가지는 큰 리세스된 지역이 존재하지 않는다. 그 대신에, 전달 채널들이 가스 분배 플레이트(403)의 후방 측부(401) 내로 직접적으로 리세스된다.
후방 커버(407)가, 전달 채널(402)과의 유체 소통을 허용하기 위한 유입구 및 배출구 튜브들의 통과를 허용하기 위한 개구부들을 가질 수 있을 것이다. 이를 도 5 및 6에서 확인할 수 있을 것이다. 유입구 및 배출구 튜브들이 후방 커버(407)의 일체형 부분일 수 있고, 또는 유체 누설을 방지 또는 최소화하기 위한 방식으로 후방 커버(407)에 연결된 분리된 피스들일 수 있다. 복수의 개구들(408)이 가스 분배 플레이트(403)를 통해서 가스 분배 플레이트(403)의 전방 측부(405)까지 연장한다. 이러한 개구들은 도 4, 5 및 7에서 확인할 수 있다. 복수의 개구들(408)이 전달 채널의 길이를 따라서 균일하게 이격될 수 있고, 또는 채널의 길이를 따라서 변경된(varied) 간격을 가질 수 있다. 가변적인 간격이 전달 채널을 따른 지점들에서 전달 채널로부터의 보다 균일한 가스 유동을 생성하는데 도움이 될 수 있을 것이다. 예를 들어, 정교한 형상을 가지는 가스 전달 채널에서, 상기 개구들의 간격이 길이를 따라서 변경될 수 있다.
도 4-7에 도시된 실시예에서, 가스 분배 플레이트(403)가 둥글고 그리고 전달 채널(402)이 나선 형상을 형성한다. 유입구 단부(404)가 가스 분배 플레이트(403)의 외측 둘레 영역(420) 내의 나선의 외부에 표시되어 있고, 배출구 단부(406)가 가스 분배 플레이트(403)의 중앙 영역(422) 내의 나선의 중심에서 위치된다. 당업자는, 유입구 단부(404) 및 배출구 단부(406)가 반대가 되어, 유입구 단부(404)가 나선의 중심에 위치되고 그리고 배출구 단부(406)가 나선의 외부에 위치될 수 있다는 것을 이해할 수 있을 것이다. 일부 실시예들에서, 유입구 단부(404) 및 배출구 단부(406) 중 하나가 가스 분배 플레이트(403)의 외측 둘레 영역(420) 내에 배치되고 그리고 유입구 단부(404) 및 배출구 단부(406) 중 다른 하나가 가스 분배 플레이트(403)의 중앙 영역(422) 내에 배치된다. 하나 또는 둘 이상의 실시예들에서, 유입구 단부(404)가 가스 분배 플레이트의 외측 둘레 영역(420)에 배치되고 그리고 배출구 단부(406)가 가스 분배 플레이트(403)의 중앙 영역(422)에 배치된다. 특정 실시예들에서, 배출구 단부(406)가 가스 분배 플레이트(403)의 외측 둘레 영역(420)에 배치되고 그리고 유입구 단부(404)가 가스 분배 플레이트(403)의 중앙 영역(422)에 배치된다.
도 5 및 6에서, 유입구 단부(404) 및 배출구 단부(406)가 가스 분배 플레이트(403)의 후방 커버(407)로부터 연장하는 작은 튜브로서 도시되어 있다. 상기 튜브들이 유입구(410)와 후방 커버(407) 사이에서 유입구 밸브(414)를 통해서 연장한다. 다른 튜브가 상기 배출구(412)와 상기 후방 커버(407) 사이에서 상기 배출구 밸브(416)를 통해서 연장할 수 있다. 상기 튜브들이 당업자에게 공지된 임의의 적합한 연결부에 의해서 후방 커버(407)에 연결될 수 있고 그리고 튜브를 통해서 유동하는 유체가 전달 채널(402) 내로 누출되는 것을 방지하도록 밀봉될 수 있을 것이다. 적합한 밀봉 디바이스들에는, 비제한적으로, 플랜지(424)와 후방 커버(407) 사이에 배치된 o-링들이 포함된다. 상기 플랜지(424)가 상기 튜브와 일체로 형성될 수 있고 또는 상기 튜브를 후방 커버에 대해서 홀딩하는 분리된 피스일 수 있다. 상기 플랜지(424)가, 비제한적으로, 나사들을 포함하는 임의의 적합한 기계적 연결부에 의해서 후방 커버(407)에 연결될 수 있다.
도 8은 발명의 하나 또는 둘 이상의 실시예들에 따른 전달 채널(402)의 하나의 부분 및 가스 분배 플레이트(403) 내의 개구(408)의 횡단면도를 도시한다. 당업자는, 도 8에 도시된 전달 채널 및 개구들이 단지 예시적인 것이고 그리고 발명의 범위를 제한하는 것으로서 받아들이지 않아야 한다는 것을 이해할 수 있을 것이다. 당업자는, 전달 채널(402)로부터 가스 분배 플레이트(403)를 통해서 유동을 생성하는 다른 방식들이 존재한다는 것을 이해할 수 있을 것이다. 도 8에 도시된 전달 채널(402)은 2개의 부분들, 즉 상부 부분(832) 및 하부 부분(830)을 가진다. 이러한 부분들이 분리된 지역들로서 도시되어 있지만, 상기 상부 부분(832)과 상기 하부 부분(830) 사이에 이음매 없는(seamless) 전이부가 존재할 수 있다는 것을 이해할 수 있을 것이다.
부가적으로, 상부 부분(832)이 선택적이고 그리고 전달 채널(402) 내에 반드시 포함될 필요가 없다는 것을 이해할 수 있을 것이다. 상부 부분(832)이 없을 때, 하부 부분(830)이 유일한 부분이 된다. 그에 따라, 전달 채널이 임의의 적합한 형상을 가질 수 있다. 일부 실시예들에서, 채널을 통한 가스들의 유동과의 실질적인 간섭이 없도록, 전달 채널의 형상이 결정된다.
상부 부분(832)이 임의의 적합한 형상을 가질 수 있다. 도 8에 도시된 실시예에서, 상부 부분(832)이, 상기 가스 분배 플레이트(403)의 후방 측부(401)의 표면에 대해서 수직으로 연장하는 벽들을 가진다. 그러나, 상기 상부 부분(832)이 정사각형으로부터 상기 후방 측부(401)로 경사진(canted) 벽들을 가질 수 있다. 그렇게 경사지는 것은, 보다 작은 개구부로 테이퍼링(tapering)되는, 가스 분배 플레이트(403)의 후방 측부(401)에서의 보다 큰 개구부를 제공할 수 있다. 부가적으로, 경사지는 것이, 보다 큰 개구부로 테이퍼링되는, 후방 측부(401)에서의 보다 작은 개구부를 제공할 수 있다. 상부 부분(832)의 길이가 필요에 따라서 수정될 수 있다.
일부 실시예들에서, 상부 부분이, 상기 가스 분배 플레이트(403)의 후방 측부(401)에 대해서 실질적으로 수직이고 약 0.01 인치 내지 약 0.3 인치의 범위에서 상기 후방 측부(401)의 표면 아래의 길이(L)로 연장하는 측부들을 가진다. 본원 명세서에서 그리고 첨부된 청구항들에서 사용된 바와 같이, "실질적으로 수직한"이라는 용어는, 상부 부분의 벽들이 약 85도 내지 약 95도 범위의 가스 분배 플레이트의 후방 측부에 대한 각도를 가진다는 것을 의미한다. 일부 실시예들에서, 상부 부분이 약 0.02 인치 내지 약 0.2 인치의 범위, 또는 약 0.05 인치 내지 약 0.15 인치의 범위, 또는 약 0.08 인치 내지 약 0.12 인치의 범위로 길이(L)를 따라서 후방 측부의 표면 아래로 연장한다. 하나 또는 둘 이상의 실시예들에서, 상부 부분이 약 0.1 인치 길이까지 후방 측부의 표면 아래에서 연장한다.
둥글게 처리된 하부 부분(830)이, 비제한적으로, 절반이-둥글고 절반이-타원형인 것을 포함하는, 임의의 적합한 횡단면을 가질 수 있다. 둥글게 처리된 하부 부분의 직경으로도 지칭되는, 둥글게 처리된 하부 부분의 폭이 필요에 따라서 수정될 수 있다. 상부 부분의 폭이 필요에 따라서 수정될 수 있다. 일반적으로, 전달 채널의 직경이 나선 내의 루프들의 수의 영향을 받을 수 있다. 일부 실시예들에서, 도 8에 도시된 바와 같이, 상부 부분의 폭이 하부 부분의 직경과 대략적으로 같다. 여러 실시예들의 전달 채널이 약 0.3 인치 내지 약 0.45 인치의 범위, 또는 약 0.325 인치 내지 약 0.425 인치의 범위, 또는 약 0.35 인치 내지 약 0.40 인치의 범위의 직경을 가진다. 하나 또는 둘 이상의 실시예들에서, 전달 채널이 약 0.375 인치의 직경을 가진다.
개구들(408)의 구체적인 형상이 개구들을 통한 가스들의 희망하는 유동에 의존하여 달라질 수 있다. 도 8의 실시예에서, 개구(408)가 3개의 구분되는 섹션들, 즉: 제 1 섹션(834), 제 2 섹션(836), 및 제 3 섹션(838)을 가진다. 다시, 섹션들의 수 및 섹션들의 형상이 단지 예시적인 일 실시예이고 그리고 발명의 범위를 제한하는 것으로 받아들이지 않아야 할 것이다. 제 1 섹션(834)이 상기 전달 채널(402)의 둥글게 처리된 하부 부분(830)으로부터 가스 분배 플레이트(403)의 전방 측부(405)를 향해서 연장한다. 상기 제 1 섹션(834)이 제 1 직경(D1)을 가진다. 상기 제 2 섹션(836)은 상기 제 1 섹션(834)으로부터 상기 전방 측부(405)를 향해서 연장하고 그리고 제 1 직경(D1)으로부터 제 2 직경(D2)으로 테이퍼링되는 직경을 가지고, 상기 제 2 직경은 상기 제 1 직경 보다 일반적으로 더 작다. 상기 제 3 섹션(838)은 상기 제 2 섹션(836)의 단부로부터 연장하고 그리고 상기 가스 분배 플레이트(403)의 전방 측부(405)에서 종료된다. 상기 제 3 섹션(838)과 상기 전방 측부(405)의 교차부에, 홀(840)이 형성된다. 상기 전달 채널(402)을 통해서 유동하는 가스들이 이러한 홀(840)을 통해서 프로세싱 챔버 내로 빠져나간다. 상기 홀(840)은 상기 제 2 직경(D2)과 대략적으로 동일한 직경을 가진다. 여러 실시예들에서, 홀(840)의 직경이 약 0.01 인치 내지 약 0.25 인치의 범위, 또는 약 0.02 인치 내지 약 0.2 인치의 범위, 또는 약 0.03 인치 내지 약 0.15 인치의 범위, 또는 약 0.04 인치 내지 약 0.1 인치의 범위이다. 일부 실시예들에서, 상기 홀(840)이 약 0.1 인치 미만, 또는 약 0.08 인치 미만, 또는 약 0.06 인치 미만, 또는 약 0.04 인치 미만, 또는 약 0.02 인치 미만, 또는 약 0.01 인치 미만의 직경을 가진다.
전달 채널이 가스 분배 플레이트의 외측 둘레 엣지로부터 중앙 영역까지 나선을 이룸에 따라, 또는 그 반대가 됨에 따라, 비록 단일 채널일 수 있으나, 겉으로 보이는(seeming) 복수의 근처의 채널들이 횡단면에서 관찰될 수 있다. 도 5는 이러한 겉으로 보이는 복수의 채널들을 도시한다. 채널들 또는, 나선의 루프들 사이의 분리가 거리에 의해서 분리된다. 일부 실시예들에서, 중심들로부터 측정된 단일 채널의 루프들, 또는 채널들 사이의 거리가 약 0.375 인치 내지 약 0.475 인치의 범위, 또는 약 0.40 인치 내지 약 0.45 인치의 범위, 또는 약 0.41 인치 내지 약 0.43 인치의 범위이다. 하나 또는 둘 이상의 실시예들에서, 근처의 채널들의 중심들 사이의 평균 거리가 약 0.42 인치이다.
도 4 내지 7에 도시된 가스 채널의 길이가, 비제한적으로, 채널의 직경 및 근처의 채널들 사이의 거리를 포함하는 많은 수의 인자들에 의존하여 달라질 수 있다. 여러 실시예들에서, 전달 채널이 약 140 인치 내지 약 340 인치의 범위, 또는 약 180 인치 내지 약 300 인치의 범위, 또는 약 200 인치 내지 약 280 인치의 범위, 또는 약 220 인치 내지 약 260 인치의 범위의 길이를 가진다. 하나 또는 둘 이상의 실시예들에서, 전달 채널이 약 240 인치의 길이를 가진다.
개구들의 수가 또한, 비제한적으로, 전달 채널의 길이 및 개구들의 간격을 포함하는 많은 수의 인자들에 의존한다. 단일 나선형 채널을 가지는 일부 실시예들에서, 약 300개 내지 900개 범위의 개구들, 또는 약 400개 내지 약 800개 범위의 개구들, 또는 약 500개 내지 약 700개 범위의 개구들이 존재한다. 여러 실시예들에서, 약 300, 400, 500, 600, 700 또는 800개 보다 많은 개구들 채널의 길이를 따라서 존재한다. 하나 또는 둘 이상의 실시예들에서, 전달 채널의 길이를 따라서 약 600개의 개구들이 존재한다.
실시예에서, 도 4에 도시된 바와 같이, 가스 전달 플레이트(403)가 가스 번달 플레이트(403)의 후방 측부 내의 단일 전달 채널(402)을 포함한다. 상기 전달 채널(402)은 가스 분배 플레이트(403)의 외측 둘레 영역(420) 내에 위치된 유입구 단부(404)를 구비한다. 상기 전달 채널(402)은 상기 유입구 단부(404)로부터 상기 가스 분배 플레이트(403)의 중앙 영역(422) 내에 위치된 배출구 단부(406)까지 내부 나선형 경로를 따른다. 상기 전달 채널(402)은, 약 240 인치의 유입구 단부(404)와 배출구 단부(406) 사이의 거리로서 규정된 전체적인 길이를 가진다. 복수의 개구들(408)이 전달 채널(402)의 전체 길이를 따라서 이격된다. 전달 채널(402)의 전체 길이를 따라서, 약 500개 내지 약 700개 범위의 개구들이 존재한다. 전달 채널(402)이 약 0.375 인치의 평균 직경을 가지고 그리고 중심에서 상기 나선형 채널의 근처 부분들이 약 0.42 인치 이격된다.
발명의 일부 실시예들은 하나 초과의 전달 채널(402)을 포함한다. 이러한 복수의 채널들은 프로세싱 시스템의 요구들에 의존하여 함께 감기거나 분리될 수 있다. 일부 채널들이 도 4에 도시된 바와 같이 가스 분배 플레이트 내로 리세스될 수 있고, 또는 도 1에 도시된 바와 같이 개별적인 튜브들이 될 수 있다. 일부 실시예들에서, 개별적인 튜브들 및 리세스된 채널들의 조합이 존재한다. 그러한 종류의 예시적인 실시예가 도 3에 도시되어 있고, 여기에서 가스 분배 플레이트가 적어도 하나의 리세스된 전달 채널을 내부에 가질 수 있고 그리고 부가적인 전달 채널이 상기 가스 분배 플레이트와 상기 기판 표면 사이에 배치된다.
발명의 다른 실시예가 도 9 내지 14에 도시되어 있다. 가스 분배 장치(900)가 가스 분배 플레이트(903)의 후방 측부(901) 내에 리세스된 2개의 전달 채널들(902a, 902b)을 포함한다. 도 1 및 15에 도시된 바와 같이, 전달 채널들이 가스 분배 플레이트의 후방부 내에 반드시 리세스될 필요가 없고, 개별적인 튜브들이 될 수 있다는 것을 이해할 수 있을 것이다. 제 1 전달 채널(902a)이 제 1 유입구 단부(904a) 및 제 1 배출구 단부(906a), 그리고 상기 제 1 전달 채널(902a)의 길이를 따라서 이격된 복수의 제 1 개구들(908a)을 가진다. 제 2 전달 채널(902b)이 제 2 유입구 단부(904b), 제 2 배출구 단부(906b), 그리고 상기 제 2 전달 채널(902b)의 길이를 따라서 이격된 복수의 제 2 개구들(908b)을 가진다.
제 1 유입구(910a)가 제 1 전달 채널(902a)의 제 1 유입구 단부(904a)에 연결된다. 제 1 유입구(910a)가 가스 공급원에 연결되도록 구성된다. 제 1 배출구(912a)가 상기 제 1 전달 채널(902a)의 제 1 배출구 단부(906a)에 연결된다. 상기 제 1 배출구(912a)가 진공 공급원에 연결되도록 구성된다. 제 2 유입구가 제 2 전달 채널(902b)의 제 2 유입구 단부(904b)에 연결된다. 제 2 유입구(910b)가 가스 공급원에 연결되도록 구성된다. 제 2 배출구(912b)가 상기 제 2 전달 채널(902b)의 제 2 배출구 단부(906b)에 연결된다. 상기 제 2 배출구(912b)가 진공 공급원에 연결되도록 구성된다.
도 9 내지 14에 도시된 실시예에서, 상기 전달 채널들(902a, 902b)의 각각이 나선형 형상을 형성한다. 하나 또는 둘 이상의 실시예들은, 도면들에 도시된 것과 같이, 나선형 형상의 길이를 따라서 함께 감겨진 2개의 전달 채널들(902a, 902b)을 가진다. 당업자는, 상기 2개의 전달 채널들(902a, 902b)이 나선형 이외의 다른 형상들을 가질 수 있고 그리고 반드시 함께 감길 필요가 없다는 것을 이해할 수 있을 것이다. 특정 실시예들에서, 복수의 제 1 개구들(908a) 및 제 2 개구들(908b)이 상기 가스 분배 플레이트(903)를 통해서 가스 분배 플레이트(903)의 전방 측부(905)까지 연장한다.
일부 실시예들에서, 전달 채널들(902a, 902b)의 각각이 상기 가스 분배 플레이트(903)의 외측 둘레 영역(920) 내에 배치된 유입구 단부(904a, 904b) 및 배출구 단부(906a, 906b) 중 하나 그리고 상기 가스 분배 플레이트(903)의 중앙 영역(922) 내에 배치된 유입구 단부(904a, 904b) 및 배출구 단부(906a, 906b) 중 다른 하나를 가지는 나선형 형상을 형성한다. 하나 또는 둘 이상의 실시예들에서, 상기 양 채널들(902a, 902b)의 유입구 단부들(904a, 904b)이 상기 외측 둘레 영역(920) 내에 배치되고, 그리고 상기 양 채널들(902a, 902b)의 유입구 단부들(904a, 904b)이 상기 가스 분배 플레이트(903)의 중앙 영역(922) 내에 배치된다. 특정 실시예들에서, 상기 양 채널들(902a, 902b)의 유입구 단부들(904a, 904b)이 중앙 영역(922) 내에 배치되고 그리고 양 채널들(902a, 902b)의 유입구 단부들(904a, 904b)이 상기 가스 분배 플레이트(903)의 외측 둘레 영역(920) 내에 배치된다. 하나 또는 둘 이상의 실시예들에서, 유입구 단부들(904a, 904b) 중 하나가 외측 둘레 영역(920) 내에 배치되고 그리고 다른 유입구 단부(904b, 904a)가 중앙 영역(922) 내에 배치되고, 상기 배출구 단부들(906a, 906b)이 각각의 개별적인 전달 채널(902a, 902b)의 다른 단부에 위치된다.
도 11은 도 9에 도시된 가스 분배 플레이트(903)를 위한 후방 커버(907)를 도시한다. 상기 후방 커버(907) 내에 위치된 4개의 홀들(도면부호를 부여하지 않음)이 존재하고, 상기 홀들은 전달 채널들(902a, 902b)의 유입구 단부들(904a, 904b) 및 배출구 단부들(906a, 906b)과 대략적으로 정렬된다. 상기 홀들은 상기 채널들(902a, 902b)에 대해서 유입구(910a, 910b) 및 배출구(912a, 912b) 내에서 연결되는 것을 위한 접속 지점을 제공하기 위해서 이용될 수 있다. 일부 실시예들에서, 상기 후방 커버(907)와 일체로 형성된 유입구(910a, 910b) 및 배출구(912a, 912b)가 존재한다. 부가적으로, 도 12 및 13에 도시된 바와 같이, 하나 이상의 유입구 밸브들(914a, 914b) 및 배출구 밸브들(916a, 916b)이 존재할 수 있다.
도 12 및 13은 발명의 여러 실시예들에 따른 가스 분배 장치(900)의 사시도들을 도시한다. 상기 유입구들(910a, 910b)은 플랜지(924a, 924b)로 후방 커버(907)에 연결된 것으로 도시되어 있다. 상기 플랜지(924a, 924b)의 연결 및 기밀(氣密) 밀봉이, 당업자에게 공지된 바와 같은, 임의의 적합한 메커니즘 및 기술들에 의해서 달성될 수 있다. 배출구들(912a, 912b)이 또한 플랜지로 또는 블록 연결부(925)로 후방 커버(907)에 연결될 수 있다. 블록(925)이 상기 후방 커버(907)와 일체로 형성될 수 있고, 또는 분리된 피스일 수 있다. 블록(925)이 배출구 밸브들(916a, 916b)에 대한 부가적인 지지 및 공간을 제공할 수 있고, 그에 따라 연결 튜브들이 후방 커버(907)로부터 각도를 이루어 돌출할 수 있게 허용한다. 비록 유입구들(910a, 910b) 및 유입구 밸브들(914a, 914b)이 가스 분배 플레이트(903)의 외부 둘레 영역(920) 상에 도시되어 있고 그리고 배출구들(912a, 912b) 및 배출구 밸브들(916a, 916b)이 가스 분배 플레이트(903)의 중앙 영역(922)에 도시되어 있지만, 이러한 구성요소들이 반대가 될 수 있거나 상호 혼합될 수 있고 그리고 도면들이 하나의 실시예를 단순히 예시한 것임을 이해할 수 있을 것이다.
상기 전달 채널들이 상기 가스 분배 플레이트의 외측 둘레 영역으로부터 상기 중앙 영역까지, 또는 그 반대로 나선을 이룸에 따라, 겉으로 보이는 복수의 근처의 채널들이 횡단면에서 관찰될 수 있다. 나선들이 함께 감길 때, 모든 근처의 채널 내의 가스가 다른 유입구(910a, 910b)로부터 온다. 채널들은 근처의 채널들로부터 거리를 두고 분리된다. 일부 실시예들에서, 채널의 중심으로부터 측정된, 채널들 사이의 거리가 약 0.375 인치 내지 약 0.475 인치의 범위, 또는 약 0.40 인치 내지 약 0.45 인치의 범위, 또는 약 0.41 인치 내지 약 0.43 인치의 범위이다. 하나 또는 둘 이상의 실시예들에서, 근처의 채널들의 중심들 사이의 평균 거리가 약 0.42 인치이다.
도 9-14에 도시된 가스 채널의 길이가, 비제한적으로, 채널의 직경 및 근처의 채널들 사이의 거리를 포함하는 많은 수의 인자들에 의존하여 달라질 수 있다. 여러 실시예들에서, 전달 채널들의 각각이 약 70 인치 내지 약 170 인치의 범위, 또는 약 90 인치 내지 약 150 인치의 범위, 또는 약 100 인치 내지 약 140 인치의 범위, 또는 약 110 인치 내지 약 130 인치의 범위의 길이를 가진다. 하나 또는 둘 이상의 실시예들에서, 전달 채널이 약 120 인치의 길이를 가진다.
또한, 개구들의 수가, 비제한적으로, 전달 채널의 길이 및 개구들의 간격을 포함하는 많은 수의 인자들에 의존한다. 단일 나선형 채널을 가지는 일부 실시예들에서, 약 150 내지 450개 범위의 개구들, 또는 약 200 내지 약 400개 범위의 개구들, 또는 약 250 내지 약 350개 범위의 개구들이 존재한다. 여러 실시예들에서, 약 150, 200, 250, 300, 350 또는 400개 초과의 개구들이 채널의 길이를 따라서 존재한다. 하나 또는 둘 이상의 실시예들에서, 약 300개의 개구들이 전달 채널들의 각각의 길이를 따라서 존재한다.
도 4 내지 14에 도시된 장치가 플라즈마 프로세싱을 위해서 이용될 수 있다. 예를 들어, 전달 채널, 가스 분배 장치 또는 샤워헤드가 챔버 내에서 플라즈마를 점화하기 위해서 프로세싱 챔버의 다른 부분에 대해서 극성화될 수 있다. 전달 채널, 가스 분배 장치, 또는 샤워헤드가 챔버의 부분에 대해서 극성화될 수 있고, 또는 챔버의 부분이 전달 채널, 가스 분배 장치 또는 샤워헤드에 대해서 바이어스될 수 있다. 예를 들어, 전달 채널, 가스 분배 장치 또는 샤워헤드가 받침대에 대해서 극성화될 수 있고, 또는 받침대가 전달 채널, 가스 분배 장치 또는 샤워헤드에 대해서 극성화될 수 있다. 플라즈마의 주파수가 또한 튜닝될 수 있다. 하나 또는 둘 이상의 실시예들에서, 플라즈마가 13.56 MHz의 주파수를 가진다. 일부 실시예들에서, 플라즈마가 약 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz 또는 120 MHz의 주파수를 가진다.
도 4 내지 14에 의해서 예시된 장치의 일부 실시예들에서, 상기 후방 커버와 상기 가스 분배 장치의 주요 본체(main body) 부분(즉, 가스 전달 채널을 포함하는 부분) 사이에 배치된 절연 재료(미도시)가 존재한다. 이러한 절연 재료는 후방 커버와 가스 분배 장치의 주요 본체 부분 사이의 전기적 격리를 제공하고, 그에 따라 상기 후방 커버가 상기 주요 본체 부분에 대해서 극성화될 수 있다. 그렇게 하는 것에 의해서, 가스 분배 장치 내의, 또는 전달 채널들 내의 플라즈마의 점화가 허용될 수 있을 것이다. 이어서, 플라즈마가 복수의 개구들을 통해서 프로세싱 챔버의 프로세싱 영역 내로 유동될 수 있고, 상기 프로세싱 영역은 상기 가스 분배 장치와 상기 받침대 사이의 영역이다. 이러한 구성은 원격 플라즈마로서 지칭될 수 있는데, 이는 플라즈마가 프로세싱 영역의 외부에서 형성(예를 들어, 점화)되기 때문이다.
도 15, 16a 및 16b는 가스 분배 장치(1500)의 다른 예시적인 실시예를 도시한다. 도시된 상기 가스 분배 장치들은, 기판의 다른 부분들이 다른 증착 가스들에 대해서 동시적으로 노출되고 그리고 상기 기판의 모든 부분들이 증착 가스들의 각각에 대해서 순차적으로 노출되도록 기판(1544)이 상기 가스 분배 장치에 대해서 이동되는, 공간적으로 분리된 원자 층 증착 프로세스들에서 특히 유용하다. 이러한 실시예들에서, 가스 분배 장치(1500)가 복수의 전달 채널들(1502)을 포함하고, 상기 각각의 전달 채널(1502)은 실질적으로 직선형으로 그리고 근처의 전달 채널들에 실질적으로 평행하게 연장한다. 상기 전달 채널들(1502)의 각각이 유입구 단부(1504) 및 배출구 단부(1506)를 가지고, 상기 유입구 단부(1504)와 상기 배출구 단부(1506) 사이에는 복수의 이격된 개구들(1508)이 위치된다.
도 15, 16a 및 16b에 도시된 가스 분배 장치는 복수의 세장형의 전달 채널들(1502) 및 복수의 세장형의 진공 채널들(1550)을 가진다. 상기 전달 채널들(1502) 및 진공 채널들(1550)의 각각이 가스 분배 장치의 전방 면에서 출력 채널(1552)로 연결된다. 전달 채널들(1502)의 각각이 반응성 가스 및 퍼지 가스 중 하나 또는 둘 이상을 유동시키도록 구성된다. 각각의 전달 채널(1502)이 복수의 이격된 개구들(1508)에 의해서 출력 채널(1552)로 연결된다. 진공 채널들(1550)의 각각이 복수의 이격된 진공 개구들(1558)에 의해서 유입구 채널(1554)로 연결된다. 상기 각각의 전달 채널(1502)의 복수의 개구들(1508)은, 진공 채널(1550)로부터의 복수의 진공 개구들(1558) 중 적어도 하나에 의해서, 각각의 근처의 전달 채널(1502)의 복수의 개구들(1508)로부터 분리된다.
도 16a에 도시된 실시예에서, 중앙 진공 채널들(1550)(단부 진공 채널들이 아니다)의 각각이 진공 개구들(1508)에 의해서 2개의 유입구 채널들(1554)로 연결된다. 단부 진공 채널들(1550)은 단일의 유입구 채널(1554)에 오직 연결만 된다. 이는 단지 예시적인 것으로 이해되어야 하고 그리고 발명의 범위를 제한하는 것으로 받아들여지지 않아야 한다. 각각의 유입구 채널(1554)이 전용의 진공 채널(1550)을 가질 수 있고, 또는 단일 진공 채널(1550)이 복수의 진공 개구들(1508)을 통해서 2개 초과의 유입구 채널들(1554)에 연결될 수 있다.
전달 채널들의 각각이 동일한 것으로 보이지만, 각각의 채널을 통해서 유동하는 다른 가스가 존재할 수 있다. 예를 들어, 퍼지 채널들('P'로 표시됨)은 통과하여 유동하는 퍼지 가스를 가질 수 있을 것이고, 제 1 반응성 가스 채널들('A'로 표시됨)의 각각은 통과하여 유동하는 제 1 반응성 가스를 가질 수 있을 것이고, 그리고 제 2 반응성 가스 채널들('B'로 표시됨)의 각각은 통과하여 유동하는 제 2 반응성 가스를 가질 수 있을 것이다. 진공 채널들('V'로 표시됨)이 진공 공급원에 연결된다. 도 16a를 참조하면, 좌측으로부터 우측으로 이동하는 기판(1544)(또는 보다 구체적으로, 기판 상의 고정된 지점)이, 가스 분배 플레이트의 크기에 의존하여, 순서대로, 진공 가스 채널, 퍼지 가스 채널, 진공 가스 채널, 제 1 반응성 가스 채널, 진공 가스 채널, 퍼지 가스 채널, 진공 가스 채널, 제 2 반응성 가스 채널, 진공 가스 채널, 등과 만나게 될 것이다.
유입구 및 배출구 단부들을 가지는 전달 채널들의 이용은 전달 채널 내의 가스의 신속한 교환을 허용한다. 예를 들어, 기판(또는 기판 상의 고정된 지점)이 제 2 반응성 가스 채널('B'로 표시됨)에 노출된 후에, 전달 채널의 배출구 단부가 개방될 수 있고, 그에 따라 채널 내의 가스가 제거될 수 있고, 그리고 이어서 다른 반응성 가스(예를 들어, 가스(C))가 전달 채널 내로 유동될 수 있다. 따라서, 기판이 해당 가스 채널 아래에서 역으로 통과할 때, 기판이 가스(B) 대신에 가스(C)에 노출될 것이다. 이러한 예가 제 2 반응성 가스에 대해서 이루어졌지만, 당업자는, 가스 전달 채널들(제 1 반응성 가스, 제 2 반응성 가스 또는 퍼지 가스) 중 하나가 퍼지되고 그리고 다른 가스로 대체될 수 있다는 것을 이해할 수 있을 것이다.
도 15, 16a 및 16b의 전달 채널이 또한 플라즈마 프로세싱을 위해서 이용될 수 있다. 가스 분배 장치(1500)가 챔버의 다른 부분에 대해서 바이어스될 수 있다. 예를 들어, 가스 분배 장치(1500)가 받침대에 대해서 극성화될 수 있고, 또는 받침대가 가스 분배 장치에 대해서 극성화될 수 있다. 플라즈마의 주파수가 또한 튜닝될 수 있다. 하나 또는 둘 이상의 실시예들에서, 플라즈마가 13.56 MHz의 주파수를 가진다. 일부 실시예들에서, 플라즈마가 약 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz 또는 120 MHz의 주파수를 가진다.
도 16b는 단일 전달 채널(1502) 및 단일 진공 채널(1550)의 실시예를 도시한다. 전달 채널(1502) 및 진공 채널(1550)의 각각이 그들로부터 연장하는 개구들의 2개의 세트들을 가진다. 진공 채널(1550)의 경우에, 개구들(1558a)의 하나의 세트가 제 1 유입구 채널(1554a)에 연결되고 그리고 개구들(1558b)의 다른 세트가 제 2 유입구 채널(1554b)로 연결된다. 한편으로, 전달 채널(1502)은 단일 출력 채널(1552)까지 연장하는 개구들(1508)의 2개의 세트들을 가진다.
하나 또는 둘 이상의 실시예들에서, 가스 분배 장치가 진공 공급원에 연결된 하나 초과의 배출구를 포함한다. 도 17은, 도 11에 도시된 장치(100)와 유사한, 나선형으로 성형된 가스 분배 장치(1700)를 도시한다. 상기 장치는 유입구 단부(1704) 및 배출구 단부(1706)를 가지는 전달 채널(1702)을 포함한다. 유입구(1710)가 전달 채널(1702)의 유입구 단부(1704)에 연결되고 그리고 소통된다. 배출구(1712)가 전달 채널(1702)의 배출구 단부(1706)에 연결되고 그리고 소통된다. 유입구(1710)가 가스 공급원에 연결될 수 있고, 그리고 상기 전달 채널(1702) 내로의(또는 외부로의) 가스의 유동을 제어할 수 있는 또는 가스의 유동을 완전히 차단할 수 있는 유입구 밸브(1714)를 포함할 수 있을 것이다. 상기 배출구(1712)는 진공 공급원(미도시)에 연결될 수 있고, 그리고 상기 전달 채널(1702) 외부로의(또는 내로의) 가스의 유동을 제어할 수 있는 또는 전달 채널(1702)로부터 진공 공급원을 완전히 차단할 수 있는 배출구 밸브(1716)를 포함할 수 있을 것이다. 진공 공급원(미도시)에 연결될 수 있는 중간 배출구(1742)가 전달 채널(1702)의 길이를 따라서 배치된다. 상기 도시된 중간 배출구(1742)는 채널(1702)의 길이의 대략적으로 중간에서 상기 전달 채널(1702)에 연결되고 그리고 중간 배출구(1740)를 통해서 전달 채널(1702)로 커플링된다. 상기 중간 배출구(1742)는, 상기 전달 채널(1702)의 외부로의(또는 내로의) 가스의 유동을 제어할 수 있는 또는 진공 공급원을 전달 채널(1702)로부터 완전히 차단할 수 있는 중간 배출구 밸브(1744)를 포함할 수 있을 것이다. 상기 유입구(1710)의 유입구 밸브(1714), 상기 배출구(1712)의 배출구 밸브(1716), 및 상기 중간 배출구(1740)의 중간 배출구 밸브(1744)가 제어기(1750)에 연결된다. 상기 제어기는, 전달 채널(1702)을 통해서 유동하는 가스들의 압력을 조정하기 위해서 또는 가스가 존재하는 전달 채널(1702)을 퍼지하기 위해서, 임의의 또는 모든 밸브들을 독립적으로 개방 또는 폐쇄할 수 있다. 예를 들어, 표 2는, 도 17에 도시된 실시예와 함께 이용될 수 있는 프로세싱 시퀀스를 보여준다. 당업자는, 이러한 것이 단지 예이고 그리고 발명의 범위를 제한하는 것으로 받아들여지지 않아야 한다는 것을 이해할 수 있을 것이다.
단계 가스 공급원 중간 배출구 밸브 배출구 밸브
1a 전구체 A 폐쇄 부분적으로 개방
1b 전구체 A 폐쇄 폐쇄
2a 퍼지 개방 폐쇄
2b 퍼지 개방 개방
2c 퍼지 개방 폐쇄
3a 전구체 B 부분적으로 개방 폐쇄
3b 전구체 B 폐쇄 폐쇄
표 2에 기재된 값들은 프로세싱 중의 임의 지점에서의 개방, 폐쇄, 또는 부분적인 개방이다. 단계(3a)에서, 전구체(A)의 전달 채널을 퍼지한 후에, 중간 배출구 밸브가 부분적으로 개방되어, 전달 채널을 통한 전구체(B)의 유동을 가속하고 이어서 단계(3b)에서 폐쇄된다. 이는 단지 이용될 수 있는 하나의 가능한 시퀀스이고 그리고 발명의 범위를 제한하는 것으로 받아들여지지 않아야 한다. 도 17에 도시된 실시예는 2개의 배출구들, 즉 전달 채널의 단부에서의 하나의 배출구 및 중간에서의 하나의 배출구를 효과적으로 포함한다. 당업자는, 전달 채널의 길이를 따라서 이격되고 그리고 채널의 길이를 따라 임의 위치에 있는 임의 수의 배출구들이 존재할 수 있다는 것을 이해할 수 있을 것이다. 예를 들어, 중간 배출구(1740)가 채널의 길이의 1/3에 배치될 수 있다. 부가적으로, 임의 수의 배출구들이 존재할 수 있다. 예를 들어, 중간 채널이 4개의 배출구들, 즉 단부에 하나의 배출구, 그리고 전달 채널의 길이의 1/4, 1/2 및 3/4에 각각 배치되는 배출구를 가질 수 있을 것이다. 다른 예에서, 전달 채널이 4개의 배출구들, 즉 단부에 하나의 배출구, 그리고 전달 채널의 길이의 1/4, 3/4 및 9/10에 각각 배치되는 배출구를 가질 수 있을 것이다. 일부 실시예들에서, 전달 채널이 총 2, 3, 4, 5, 6, 7, 8, 9, 10 또는 11개의 배출구들(채널의 배출구 단부에서의 배출구를 포함)을 포함한다.
도 18은, 가스 분배 장치(1800)가 복수-경로 전달 채널(1802)을 포함하는 발명의 다른 실시예를 도시한다. 여기에서, 상기 장치(1800)는 유입구 단부(1804) 및 배출구 단부(1806)를 가지는 전달 채널(1802)을 포함한다. 유입구(1810)가 전달 채널(1802)의 유입구 단부(1804)에 연결되고 그리고 소통된다. 배출구(1812)가 전달 채널(1802)의 배출구 단부(1806)에 연결되고 그리고 소통된다. 유입구(1810)가 가스 공급원(미도시)에 연결될 수 있고, 그리고 상기 전달 채널(1802) 내로의(또는 외부로의) 가스의 유동을 제어할 수 있는 또는 가스의 유동을 완전히 차단할 수 있는 유입구 밸브(1814)를 포함할 수 있을 것이다. 상기 배출구(1812)는 진공 공급원(미도시)에 연결될 수 있고, 그리고 상기 전달 채널(1802) 외부로의(또는 내로의) 가스의 유동을 제어할 수 있는 또는 전달 채널(1802)로부터 진공 공급원을 완전히 차단할 수 있는 배출구 밸브(1816)를 포함할 수 있을 것이다. 전달 채널(1802)은 유입구 단부(1804) 부근에서 3개의 분리된 채널들(1802a, 1802b, 1802c)로 분할되고 그리고 배출구 단부(1806) 부근에서 단일 채널로 다시 병합된다. 복수의 개구들(1808)은 채널들의 각각의 길이를 따라서 이격되고 그에 따라 상기 유입구(1810) 내로 유동하는 단일 가스가 복수의 경로들을 따라서 지향될 수 있고 그리고 단일 배출구(1812)로 연결될 수 있다. 상기 개구들(1808)이 채널(1802)의 길이를 따라서 균일하게 이격되거나 불균일하게 이격될 수 있다.
도시된 실시예는 채널의 길이를 따라서 전달 채널을 3개의 분리된 채널들로 분할한다. 그러나, 당업자는, 이러한 것이 단지 예시적인 것이고 그리고 전달 채널이 임의 수의 채널들로 분할될 수 있다는 것을 이해할 수 있을 것이다. 일부 실시예들에서, 전달 채널이 2, 3, 4, 5, 6, 7, 8, 9 또는 10개의 분리된 전달 채널들로 분할된다. 부가적으로, 상기 전달 채널이 채널의 길이를 따라서 복수 횟수로(multiple time) 분할될 수 있다. 예를 들어, 채널의 길이를 따라서, 채널이 2개로 분할될 수 있고, 하나로 병합될 수 있고 그리고 이어서 3개로 분할될 수 있다.
도 18에 도시된 복수-채널 가스 분배 장치를 통한 가스의 유동이 3개의 채널들을 따라서 균일하지 않을 수 있을 것이다. 채널들 사이의 가스 유동의 균일성은, 비제한적으로, 가스 압력, 진공 압력, 온도, 유량, 및 길이를 따른 정압 강하들을 포함하는 많은 수의 인자들에 의해서 영향을 받을 수 있다. 도 19는, 자체의 배출구 밸브(1912a, 1912b, 1912c)를 각각 가지는 3개의 분리된 채널들(1902a, 1902b, 1902c)로 분할되는 가스 분배 장치(1900)의 다른 실시예를 도시한다. 도시된 장치(1900)는 유입구 밸브(1914)를 통해서 유입구(1910)로 연결되는 유입구 단부(1904)를 포함한다. 전달 채널(1902)은 분리된 채널들(1902a, 1902b, 1902c)의 각각의 길이를 따라서 이격된 복수의 개구들(1908)을 포함한다. 상기 개구들은 채널들의 길이를 따라서 균일하게 이격되거나 불균일하게 이격될 수 있다. 각각의 채널은 분리된 배출구 밸브들(1916a, 1916b, 1916c)을 가지는 분리된 배출구(1912a, 1912b, 1912c)를 가진다. 배출구 밸브들(1916a, 1916b, 1916c)의 각각은, 상기 배출구 밸브들(1916a, 1916b, 1916c)의 각각을 독립적으로 제어할 수 있는 제어기(1950)에 연결된다. 이러한 실시예들에서, 제어기(1950)는, 배출구 밸브들을 폐쇄, 완전 개방, 또는 폐쇄와 완전 개방 사이의 임의의 지점으로 셋팅할 수 있다. 예를 들어, 만약 채널들 중 하나를 통한 가스의 유동이 다른 유동들 보다 적다면, 제어기(1950)가 해당 채널의 배출구 밸브를 개방하여 유동을 가속시킬 수 있고, 또는 다른 채널들의 배출구 밸브들을 개방하여 유동을 가속하고 그리고 적은 가스가 개구들을 통해서 채널들을 빠져나갈 수 있게 하여, 보다 균일한 유동을 유도할 수 있을 것이다.
복수의 분리된 채널들이 또한 채용될 수 있다. 도 20은 5개의 분리된 가스 전달 채널들(2002a, 2002b, 2002c, 2002d, 2002e)을 가지는 가스 분배 장치(2000)의 실시예를 도시한다. 전달 채널들(2002a, 2002b, 2002c, 2002d, 2002e)의 각각은 유입구 밸브(2014a, 2014b, 2014c, 2014d, 2014e) 및 배출구 밸브(2016a, 2016b, 2016c, 2016d, 2016e)를 포함한다. 4개의 나선형 형상의 전달 채널들(2002a-d)이 4개의 채널들의 중심에 공극 지역(2060)을 남기는 것으로 도시되어 있다. 제 5 전달 채널(2002e)이 나선들 사이를 통과하고 그리고 공극 지역(2060) 내에서 진동하여(oscillate) 가스 유동 내의 사공간을 방지한다. 제 5 전달 채널(2002e)이 중간 배출구 밸브(2044)와 함께 도시되어 있다. 전달 채널들의 각각이 동일한 가스를 전달하도록 구성될 수 있고, 또는 분리된 가스들을 전달할 수 있다.
일 실시예에서, 5개의 채널들이 단일 기판을 커버하고 그리고 각각의 채널이 동일한 반응성 가스를 전달한다. 기판이 전달 채널들 아래에서 회전될 수 있고, 또는 채널들이 기판 위에서 회전하거나 진동할 수 있을 것이다. 다른 실시예에서, 대안적인 전달 채널들(예를 들어, 2002a, 2002c)이 제 1 반응성 가스를 전달할 수 있고 그리고 다른 채널들(예를 들어, 2002b, 2002d)이 제 2 반응성 가스를 전달할 수 있다. 분리된 채널들 사이에 커튼을 형성하여 가스들을 분리하기 위해서 그리고 가스-상 반응들을 방지하기 위해서, 제 5 채널(2002e)이 불활성 가스를 전달하도록 구성될 수 있다. 이러한 채널들 아래에서 기판을 회전시키는 것은, 필름을 증착시키기 위해서 제 2 반응성 가스에 후속하는 동일한 가스에 대해서 교번적인 4분체들(alternating quaters)을 노출시킬 것이다. 이러한 실시예에서, 공극 지역(2060) 내의 기판의 부분이 증착된 층을 가지지 않을 수 있을 것이다.
다른 실시예에서, 채널들의 각각이 동일한 가스를 전달할 수 있으나, 단일 기판이 단일 전달 채널에 의해서 커버되어 기판들을 하나의 전달 채널로부터 근처의 채널로 이동시키는 것에 의해서 복수의 기판들을 프로세싱할 수 있게 허용하도록 채널들의 각각의 크기가 결정될 수 있다. 각각의 채널은 동일한 가스 또는 분리된 가스들을 전달하도록 구성될 수 있고, 그리고 제 5 채널은 전달 채널들 근처의 반응 영역들을 분리하는 커튼을 형성하기 위한 불활성 가스를 전달하도록 구성될 수 있다. 여기에서 설명된 제 5 전달 채널, 및 임의의 다른 가스 전달 채널이 복수의 유입구들 및 단일 배출구, 또는 복수의 배출구들을 가질 수 있다. 예를 들어, 도시된 제 5 전달 채널이 어느 한 단부에서의 유입구 및 중간부에서의 단일 유입구를 가짐으로써, 다른 전달 채널들을 분리하기 위한 보다 강한 가스 커튼을 생성할 수 있을 것이다.
다시, 배출구들의 형상 및 수가 희망하는 용도에 의존하여 달라질 수 있다. 도 20에 도시된 나선형 형상은 단지 예시적인 것이고 그리고 발명의 범위를 제한하는 것으로 받아들여 지지 않아야 한다. 가스 전달 채널(들)의 형상이 수많은 이유들로 수정될 수 있다. 일부 실시예들에서, 가스 전달 채널이 단어 철자 기록(예를 들어, "Applied Materials")을 위해서 성형되거나 로고를 형성한다. 예를 들어, 도 21은 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.의 로고를 대략적으로 형성하는 3개의 전달 채널들(2102a, 2102b, 2102c)을 도시한다. 제 1 가스 전달 채널(2102a) 및 제 2 가스 전달 채널(2102b) 각각이 단일 유입구 밸브(2114a, 2114b) 및 단일 배출구 밸브(2116a, 2116b)를 가진다. 제 3 가스 전달 채널(2102c)이 단일 유입구 밸브(2114c) 및 2개의 배출구 밸브들(2116c, 2116d)을 가진다. 길이를 따라서, 제 3 가스 전달 채널(2102c)이 2개의 채널들로 분할되고, 단일 채널로 다시 형성되고, 이어서 다시 2개의 채널들로 분할된다. 다른 실시예에서, 제 3 전달 채널의 유입구 밸브들 및 배출구 밸브들이 반대가 되고, 그에 따라 2개의 유입구 밸브들 및 단일 배출구 밸브가 존재하게 된다.
기판에서 보여지는 가스 분배 장치의 표면으로부터 유래하는 가스 유동들이 균일하거나 줄로 형성될(striated) 수 있다. 예를 들어, 도 9에 도시된 이중 나선형 가스 분배 장치 아래를 통과하는 기판이 교번적인 가스들의 링들을 보게 될 것이다. 일부 실시예들에서, 기판에 의해서 보여지는 홀 패턴이 가스 분배 장치에 걸쳐서 균일하도록, 복수의 전달 채널들이 성형된다. 도 22a 및 22b는 가스 분배 장치(2203)의 실시예의 일부를 도시하고, 여기에서 기판에서 보여지는 가스 유동들이 균일할 것이다. 도 22a는 복수의 교번적인 가스 채널들(2202a, 2202b)을 가지는 가스 분배 장치(2203)의 후방 측부(2201)를 도시한다. 상기 가스 채널들(2202a, 2202b)은 가스 채널들의 길이를 따라서 이격된 홀들(2208a, 2208b)로 물결무늬를 이루게 되고(undulate), 그에 따라 도 22b의 전방 측부(2205) 상에서 보여지는 홀(2208) 패턴이 균일하게 된다. 부가적으로, 기판에서 보여지는 가스 유동들이 균일한데, 이는 가스 분배 장치 전방에 걸친 홀들의 균일한 분포가 존재하기 때문이다. 도 22b에서 볼 때, 홀들(2208)의 최상부 행(row)이 제 1 가스와 제 2 가스 사이에서 교번적이 될 것이고, 다음 행이 반대의 패턴을 가질 것이다. 따라서, 도시된 12개의 홀들(2208)에서, 제 1 가스가 홀들 중 6개의 외부로 유동할 것이고 그리고 제 2 가스가 다른 6개의 홀들의 외부로 유동할 것이다.
도 22a에 도시된 바와 같이, 복수의 유입구 밸브들(2214a, 2214b)이 존재할 수 있고, 또는 복수의 채널들로 분할하는 단일 밸브가 존재할 수 있다. 부가적으로, 도 22b에 도시된 바와 같이, 복수의 배출구 밸브들(2216a, 2216b)이 존재할 수 있고, 또는 채널들의 각각을 결합시키는 단일 배출구 밸브가 존재할 수 있다.
개시된 가스 분배 장치가 플라즈마 강화 원자 층 증착(PEALD) 프로세스 중에 하나 또는 둘 이상의 층들을 형성하기 위해서 이용될 수 있다. 일부 프로세스들에서, 플라즈마의 이용은 종(species)을 여기된 상태로 촉진하기에 충분한 에너지를 제공하며, 상기 여기된 상태에서 표면 반응들이 보다 잘 일어날 수 있다. 플라즈마를 프로세스로 도입하는 것이 연속적일 수 있고 또는 펄스형일 수 있다. 일부 실시예들에서, 전구체들(또는 반응성 가스들)의 순차적인 펄스들 및 플라즈마를 이용하여 층을 프로세스한다. 일부 실시예들에서, 반응제들이 근거리에서(즉, 프로세싱 지역 내에서) 또는 원격적으로(즉, 프로세싱 지역 외부에서) 이온화될 수 있을 것이다. 원격 이온화가 증착 챔버의 상류에서 발생될 수 있고, 그에 따라 이온들 또는 다른 에너지를 가지는 또는 발광 종들이 증착 필름과 직접적으로 접촉하지 않는다. 일부 PEALD 프로세스들에서, 예를 들어 원격 플라즈마 발생기 시스템에 의해서, 플라즈마가 프로세싱 챔버의 외부에서 발생된다. 플라즈마는 당업자에게 공지된 임의의 적합한 플라즈마 발생 프로세스 또는 기술을 통해서 생성될 수 있다. 예를 들어, 플라즈마가 마이크로파(MW) 주파수 발생기 또는 무선(RF) 발생기 중 하나 또는 둘 이상에 의해서 발생될 수 있을 것이다. 플라즈마의 주파수가 사용되는 특정 반응성 종들에 의존하여 튜닝될 수 있을 것이다. 적합한 주파수들에는, 비제한적으로, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz 및 100 MHz가 포함된다. 비록 플라즈마들이 여기에서 개시된 증착 프로세스들 도중에 이용될 수 있지만, 플라즈마들이 필요하지 않을 수 있다는 것을 주목하여야 한다.
하나 또는 둘 이상의 실시예들에 따라서, 층의 형성에 앞서서 및/또는 층의 형성 후에 기판을 프로세싱하기 위해서 가스 분배 장치가 이용될 수 있다. 이러한 프로세싱은 동일한 챔버 내에서 또는 하나 또는 둘 이상의 분리된 프로세싱 챔버들 내에서 실시될 수 있다. 일부 실시예들에서, 기판이 추가적인 프로세싱을 위해서 제 1 챔버로부터 분리된 제 2 챔버로 이동된다. 기판이 제 1 챔버로부터 분리된 프로세싱 챔버로 직접적으로 이동될 수 있고, 또는 기판이 제 1 챔버로부터 하나 또는 둘 이상의 이송 챔버들로 이동될 수 있고 이어서 희망하는 분리된 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치가 이송 스테이션과 소통하는 복수의 챔버들을 포함할 수 있을 것이다. 이러한 종류의 장치가 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있을 것이다.
일반적으로, 클러스터 툴은, 기판 중심-탐지 및 배향 기능, 탈가스 기능, 어닐링 기능, 증착 기능 및/또는 에칭 기능을 포함하는 여러 기능들을 실시하는 복수의 챔버들을 포함하는 모듈형 시스템이다. 하나 또는 둘 이상의 실시예들에 따라서, 클러스터 툴이 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 상기 중앙 이송 챔버는, 프로세싱 챔버들과 로드 록 챔버들 사이에서 기판들을 왕복시킬 수 있는 로봇을 수용할 수 있을 것이다. 전형적으로, 이송 챔버는 진공 조건에서 유지되고 그리고 하나의 챔버로부터 다른 챔버로 및/또는 클러스터 툴의 전방 단부에 배치된 로드 록 챔버로 기판들을 왕복시키기 위한 중간 스테이지를 제공한다. 본원 발명을 위해서 구성될 수 있는 2개의 주지의 클러스터 툴들로서, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수할 수 있는 Centura® 및 Endura®가 있다. 그러한 하나의 스테이지형-진공 기판 프로세싱 장치에 관한 구체적인 내용이, "Staged-Vacuum Wafer Processing Apparatus and Method"라는 명칭의, 1993년 2월 16일자로 허여된 Tepman 등의 미국 특허 제 5,186,718 호에 개시되어 있다. 그러나, 챔버들의 정확한 배열 및 조합은, 여기에서 개시된 바와 같은 프로세스의 특정 단계들을 실시하기 위한 목적들을 위해서 변경될 수 있을 것이다. 이용될 수 있는 다른 프로세싱 챔버들에는, 비제한적으로, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학적 세정, RTP와 같은 열처리, 플라즈마 질화, 탈가스, 배향, 히드록시화(hydroxylation) 및 다른 기판 프로세스들이 포함된다. 클러스터 툴 상의 챔버 내에서 프로세스들을 실행함으로써, 후속 필름을 증착하기 전의 산화 없이, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
하나 또는 둘 이상의 실시예들에 따라서, 기판이 연속적으로 진공 또는 "로드 록" 조건들 하에 있게 되고, 그리고 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들이 진공 하에 있고 그리고 진공 압력 아래로 "펌핑 배출"된다. 불활성 가스들이 프로세싱 챔버들 또는 이송 챔버들 내에 존재할 수 있을 것이다. 일부 실시예들에서, 기판의 표면 상에 실리콘 층을 형성한 후에 반응물들의 일부 또는 전부를 제거하기 위한 퍼지 가스로서 불활성 가스가 이용된다. 하나 또는 둘 이상의 실시예들에 따라서, 반응물들이 증착 챔버로부터 이송 챔버 및/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해서, 퍼지 가스가 증착 챔버의 출구에서 주입된다. 그에 따라, 불활성 가스의 유동이 챔버의 출구에서 커튼을 형성한다.
기판이, 예를 들어, 개시된 가스 분배 장치를 이용하여 단일 기판 증착 챔버들 내에서 프로세스될 수 있다. 그러한 챔버들에서, 단일 기판이 로딩되고, 프로세스되고, 그리고 다른 기판이 프로세스되기에 앞서서 언로딩된다. 기판이 또한, 컨베이어 시스템과 같은, 연속적인 방식으로 프로세스될 수 있고, 그러한 연속적인 방식에서 복수의 기판들이 챔버의 제 1 부분 내로 개별적으로 로딩되고, 챔버를 통해서 이동되고, 그리고 챔버의 제 2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상이 직선형 경로 또는 곡선형 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버가 캐러셀(carousel)일 수 있고, 그러한 캐러셀에서 복수의 기판들이 중심 축 주위로 회전되고 그리고 캐러셀 경로 전체를 통해서 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출된다.
프로세싱 중에, 기판이 가열되거나 냉각될 수 있다. 그러한 가열 및 냉각은, 비제한적으로, 기판 지지부의 온도를 변화시키는 그리고 기판의 표면으로 가열된 또는 냉각된 가스들을 유동시키는 것을 포함하는 임의의 적합한 수단에 의해서 이루어질 수 있다. 일부 실시예들에서, 기판 지지부가, 기판 온도를 전도적으로 변화시키도록 제어될 수 있는 히터/냉각기를 포함한다. 하나 또는 둘 이상의 실시예들에서, 기판 온도를 지역적으로 변화시키기 위해서, 채용되는 가스들(반응성 가스들 또는 불활성 가스들)이 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류적으로 변화시키기 위해서, 히터/냉각기가 기판 표면 근처에서 챔버 내에 배치된다.
기판이 또한 프로세싱 중에 정지적이거나 회전될 수 있다. 회전하는 기판이 연속적으로 또는 신중한(discreet) 단계들로 회전될 수 있다. 예를 들어, 기판이 전체적인 프로세스를 통해서 회전될 수 있고, 또는 기판이 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에서 적은 양만큼 회전될 수 있다. 프로세싱 중에 기판을 (연속적으로 또는 단계적으로) 회전시키는 것은, 예를 들어, 가스 유동 기하형태들에서의 지역적인 변동성(variability)의 영향을 최소화하는 것에 의해서, 보다 균일한 증착 또는 에칭을 생성하는데 도움이 될 수 있을 것이다.
여기에서, 특별한 실시예들을 참조하여 발명을 설명하였지만, 이러한 실시예들이 본원 발명의 원리들 및 적용예들을 단순히 설명하기 위한 것임을 이해할 수 있을 것이다. 당업자는, 발명의 사상 및 범위로부터 벗어나지 않고도, 여러 가지 수정들 및 변경들이 본원 발명의 방법 및 장치에 대해서 이루어질 수 있다는 것을 명확하게 이해할 수 있을 것이다. 따라서, 본원 발명이, 첨부된 청구항들 및 그 균등물들의 범위 내에 포함되는 수정들 및 변경들을 포함하도록 의도된다.

Claims (15)

  1. 프로세스 챔버 내로의 가스의 유동을 제어하기 위한 가스 분배 장치로서:
    유입구 단부, 배출구 단부, 및 길이를 가지는 전달 채널로서, 상기 전달 채널이 상기 길이를 따라서 이격된 복수의 개구들을 가지는, 전달 채널;
    상기 전달 채널의 유입구 단부 상의 유입구로서, 상기 유입구가 가스 공급원에 연결될 수 있고, 상기 가스의 유동은 상기 유입구와 소통하는 가스 밸브에 의해서 제어될 수 있는, 유입구;
    상기 전달 채널의 배출구 단부 상의 배출구로서, 상기 배출구가 진공 공급원에 연결될 수 있고, 상기 배출구에서 감소된 압력을 제공하기 위해서 상기 배출구를 통한 진공 압력이 배출구 밸브에 의해서 제어될 수 있는, 배출구; 및
    상기 채널의 길이를 따른 개구들을 통한 가스의 유동을 제어하기 위해서 상기 채널 내에서의 가스 전달 및 가스 퍼징 중에 상기 배출구 밸브를 개방 및 폐쇄함으로써, 상기 전달 채널을 통한 그리고 상기 프로세스 챔버 내로의 가스의 유동을 조절하기 위한 제어부를 포함하는, 가스 분배 장치.
  2. 가스 분배 장치로서:
    가스 분배 플레이트의 후방 측부 내에 리세스된 가스 전달 채널로서, 상기 리세스된 가스 전달 채널은 유입구 단부, 배출구 단부, 및 길이를 가지고, 상기 가스 전달 채널은, 상기 가스 분배 플레이트를 통해서 상기 가스 분배 플레이트의 전방 측부까지 연장하는 상기 길이를 따라 이격된 복수의 개구들을 가지고, 그에 따라 상기 가스 전달 채널을 통해서 유동하는 가스가 상기 개구들을 통과하여 상기 가스 분배 플레이트를 빠져나갈 수 있는, 가스 전달 채널;
    상기 가스 분배 플레이트의 후방 측부 상의 후방 커버로서, 상기 후방 커버가 상기 리세스된 채널을 커버하는, 후방 커버;
    상기 후방 커버를 통해서 상기 가스 전달 채널의 유입구 단부에 연결되는 유입구로서, 상기 유입구가 가스 공급원에 연결될 수 있고, 가스의 유동이 상기 유입구와 소통하는 가스 밸브에 의해서 제어될 수 있는, 유입구;
    상기 후방 커버를 통해서 가스 전달 채널의 배출구 단부에 연결되는 배출구로서, 상기 배출구가 진공 공급원에 연결될 수 있고, 상기 배출구를 통한 진공 압력이 배출구 밸브에 의해서 제어되어 상기 배출구에서 감소된 압력을 제공할 수 있는, 배출구; 그리고
    상기 채널의 길이를 따른 개구들을 통한 가스의 유동을 제어하기 위해서 가스 전달 및 가스 퍼징 중에 상기 배출구 밸브를 개방 및 폐쇄하는 것에 의해서, 상기 가스 전달 채널을 통한 그리고 상기 프로세스 챔버 내로의 가스의 유동을 조절하는 제어기를 포함하는, 가스 분배 장치.
  3. 제 1 항에 있어서,
    상기 전달 채널이 가스 분배 플레이트의 후방 측부 내의 리세스된 채널이고 그리고 상기 복수의 개구들이 상기 가스 분배 플레이트를 통해서 상기 가스 분배 플레이트의 전방 측부까지 연장하는, 가스 분배 장치.
  4. 제 2 항 또는 제 3 항에 있어서,
    상기 가스 분배 플레이트가 둥글고 그리고 상기 전달 채널이 나선형 형상을 형성하고, 이때 상기 유입구 단부 및 상기 배출구 단부 중 하나가 상기 가스 분배 플레이트의 외측 둘레 영역 내에 배치되고 그리고 상기 유입구 단부 및 상기 배출구 단부 중 다른 하나가 상기 가스 분배 플레이트의 중앙 영역 내에 배치되는, 가스 분배 장치.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 가스 분배 플레이트의 후방 측부 내에 리세스된 2개의 전달 채널들이 존재하고, 상기 2개의 전달 채널들이 상기 나선형 형상을 따라서 함께 감겨지는, 가스 분배 장치.
  6. 제 2 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 가스 분배 플레이트의 후방 측부 상의 후방 커버를 더 포함하고, 상기 후방 커버가 상기 리세스된 채널을 커버하는, 가스 분배 장치.
  7. 제 1 항에 있어서,
    상기 전달 채널이 실질적으로 평면형 형상을 가지는 튜브인, 가스 분배 장치.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 가스 분배 장치가 복수의 전달 채널들을 포함하는, 가스 분배 장치.
  9. 제 8 항에 있어서,
    상기 전달 채널들 중 하나 초과가, 상기 유입구를 통해서 유동하는 가스가 상기 전달 채널들의 각각을 통해서 유동하도록 상기 유입구에 연결되는 전달 채널 그리고 상기 각각의 채널을 통해서 유동하는 가스가 상기 배출구를 통해서 유동하도록 상기 배출구에 연결되는 전달 채널 중 하나 또는 둘 이상인, 가스 분배 장치.
  10. 제 9 항에 있어서,
    상기 유입구에 연결된 상기 전달 채널들의 각각이 병합되고 그리고 하나의 배출구에 연결되는, 가스 분배 장치.
  11. 제 9 항에 있어서,
    상기 유입구에 연결된 상기 전달 채널들의 각각이 분리된 배출구 밸브에 연결된 분리된 배출구를 가지는, 가스 분배 장치.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    기판에서 보여지는 홀 패턴이 상기 가스 분배 장치에 걸쳐서 균일하도록, 상기 복수의 전달 채널들이 성형되는, 가스 분배 장치.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 가스 분배 장치를 통한 가스의 유동은, 상기 배출구에 연결된 진공 공급원이 없는 유사한 가스 분배 장치를 통한 가스의 유동 보다, 상기 가스 분배 장치의 축방향 길이를 따른 보다 균일한 전도도를 가지는, 가스 분배 장치.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 가스 밸브가 폐쇄될 때, 진공 공급원이 없는 유사한 가스 분배 장치 보다 더 신속하게 가스가 상기 전달 채널로부터 퍼지되는, 가스 분배 장치.
  15. 제 1 항 내지 제 14 항 중 어느 한 항의 가스 분배 장치를 포함하는 프로세싱 챔버.
KR1020197023129A 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법 KR102166394B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161548942P 2011-10-19 2011-10-19
US61/548,942 2011-10-19
US13/653,952 2012-10-17
US13/653,952 US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas
PCT/US2012/061022 WO2013059591A1 (en) 2011-10-19 2012-10-19 Apparatus and method for providing uniform flow of gas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147013233A Division KR102010469B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207029036A Division KR102204305B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20190095549A true KR20190095549A (ko) 2019-08-14
KR102166394B1 KR102166394B1 (ko) 2020-10-15

Family

ID=48134979

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207029036A KR102204305B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법
KR1020147013233A KR102010469B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법
KR1020197023129A KR102166394B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020207029036A KR102204305B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법
KR1020147013233A KR102010469B1 (ko) 2011-10-19 2012-10-19 균일한 가스 유동을 제공하기 위한 장치 및 방법

Country Status (5)

Country Link
US (3) US9109754B2 (ko)
KR (3) KR102204305B1 (ko)
CN (3) CN107365977B (ko)
TW (4) TWI614446B (ko)
WO (1) WO2013059591A1 (ko)

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9914999B2 (en) 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
CN105047543A (zh) * 2015-06-17 2015-11-11 沈阳拓荆科技有限公司 一种涡旋形表面结构的可控温加热盘
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7122102B2 (ja) * 2017-11-08 2022-08-19 東京エレクトロン株式会社 ガス供給システム及びガス供給方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20200243305A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post Plasma Gas Injection In A Separation Grid
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11420217B2 (en) 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113838735A (zh) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 均匀分配气体的装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113437035B (zh) * 2021-05-27 2022-11-22 天津电气科学研究院有限公司 一种高效双面压接型水冷散热器
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
CN114783907B (zh) * 2022-03-24 2022-10-11 盛吉盛半导体科技(北京)有限公司 一种硅晶圆反应设备
CN115125517B (zh) * 2022-06-23 2023-09-08 北京北方华创微电子装备有限公司 气体分配装置及半导体工艺设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020028921A (ko) * 1999-06-30 2002-04-17 리차드 에이치. 로브그렌 반도체 처리 공정을 위한 가스 분산장치

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
EP0413239B1 (en) 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
KR100479750B1 (ko) 1999-02-06 2005-03-30 제일모직주식회사 이형성 및 내마모성이 우수한 고강성 열가소성 수지의 제조방법
US6620289B1 (en) 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
JP2002248345A (ja) * 2001-02-27 2002-09-03 Foi:Kk プラズマ処理装置
KR100450068B1 (ko) 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
JP2006080374A (ja) 2004-09-10 2006-03-23 Sharp Corp 窒化物半導体の製造装置および窒化物半導体レーザ素子
US7473405B2 (en) 2004-10-13 2009-01-06 Chevron U.S.A. Inc. Fluid distribution apparatus for downflow multibed poly-phase catalytic reactor
JP4819411B2 (ja) * 2005-06-22 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100946159B1 (ko) 2007-08-24 2010-03-11 주식회사 케이씨텍 박막 증착장치
KR100920324B1 (ko) 2007-08-24 2009-10-07 주식회사 케이씨텍 박막 증착장치
KR100931331B1 (ko) 2007-08-24 2009-12-15 주식회사 케이씨텍 박막 증착장치의 분사유닛
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR100949914B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100949913B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR20090055443A (ko) 2007-11-28 2009-06-02 주식회사 케이씨텍 원자층 증착 장치
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
KR100960958B1 (ko) 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
KR20090069075A (ko) 2007-12-24 2009-06-29 주식회사 케이씨텍 원자층 증착 장치용 서셉터 어셈블리
KR100936695B1 (ko) 2007-12-26 2010-01-13 주식회사 케이씨텍 원자층 증착장치
KR100936694B1 (ko) 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
KR101473334B1 (ko) 2008-06-30 2014-12-16 주식회사 케이씨텍 원자층 증착 장치
KR101485580B1 (ko) 2008-07-01 2015-01-22 주식회사 케이씨텍 원자층 증착 장치
JP4930495B2 (ja) * 2008-12-04 2012-05-16 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101028408B1 (ko) 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
JP5089669B2 (ja) 2009-10-15 2012-12-05 三菱電機株式会社 薄膜形成装置
KR101072670B1 (ko) 2009-11-09 2011-10-11 주식회사 케이씨텍 원자층 증착장치
KR101081694B1 (ko) 2009-11-10 2011-11-15 주식회사 케이씨텍 다성분 박막의 증착을 위한 원자층 증착장치
KR101095687B1 (ko) 2010-10-25 2011-12-20 주식회사 케이씨텍 8분기 구조를 갖는 원자층 증착 장치
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
KR101136302B1 (ko) 2010-11-16 2012-04-19 주식회사 케이씨텍 원자층 증착 장치 및 그의 플라즈마 감지 방법
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020028921A (ko) * 1999-06-30 2002-04-17 리차드 에이치. 로브그렌 반도체 처리 공정을 위한 가스 분산장치

Also Published As

Publication number Publication date
TWI680255B (zh) 2019-12-21
US9109754B2 (en) 2015-08-18
TW201804111A (zh) 2018-02-01
KR102010469B1 (ko) 2019-10-21
KR20140077213A (ko) 2014-06-23
CN103890912B (zh) 2018-07-20
TWI786341B (zh) 2022-12-11
TWI627368B (zh) 2018-06-21
CN103890912A (zh) 2014-06-25
KR102166394B1 (ko) 2020-10-15
CN107365977A (zh) 2017-11-21
KR20200118259A (ko) 2020-10-14
CN108796472B (zh) 2023-06-30
USRE48994E1 (en) 2022-03-29
TW202024520A (zh) 2020-07-01
WO2013059591A1 (en) 2013-04-25
KR102204305B1 (ko) 2021-01-15
CN108796472A (zh) 2018-11-13
TW201840948A (zh) 2018-11-16
CN107365977B (zh) 2023-02-28
US20130098477A1 (en) 2013-04-25
USRE47440E1 (en) 2019-06-18
TWI614446B (zh) 2018-02-11
TW201326632A (zh) 2013-07-01

Similar Documents

Publication Publication Date Title
KR102204305B1 (ko) 균일한 가스 유동을 제공하기 위한 장치 및 방법
KR102122904B1 (ko) 가스의 균일한 흐름을 제공하기 위한 장치 및 방법
US10400335B2 (en) Dual-direction chemical delivery system for ALD/CVD chambers
US8955547B2 (en) Apparatus and method for providing uniform flow of gas
US11186910B2 (en) Apparatus for multi-flow precursor dosage
TWM570917U (zh) 用於提供均勻氣流之氣體分配設備與處理腔室

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent