TW202024520A - 用於提供均勻氣流之氣體分配設備與處理腔室 - Google Patents

用於提供均勻氣流之氣體分配設備與處理腔室 Download PDF

Info

Publication number
TW202024520A
TW202024520A TW108139496A TW108139496A TW202024520A TW 202024520 A TW202024520 A TW 202024520A TW 108139496 A TW108139496 A TW 108139496A TW 108139496 A TW108139496 A TW 108139496A TW 202024520 A TW202024520 A TW 202024520A
Authority
TW
Taiwan
Prior art keywords
channel
gas distribution
gas
recessed
inches
Prior art date
Application number
TW108139496A
Other languages
English (en)
Other versions
TWI786341B (zh
Inventor
約瑟夫 尤都史凱
鎂 張
法如克 甘戈
伯方 馬
聿達 朱
建德 高
偉雄 林
吳典曄
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202024520A publication Critical patent/TW202024520A/zh
Application granted granted Critical
Publication of TWI786341B publication Critical patent/TWI786341B/zh

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7781With separate connected fluid reactor surface
    • Y10T137/7833With means for mounting or connecting to system

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

提供了一種具有輸送通道的氣體分配設備,其中輸送通道具有一入口端、一出口端與沿著長度而分隔之複數個縫隙。入口端係可連接至一惰性氣體源,且出口端係可連接於一真空源。同時提供了一種具有螺旋輸送通道、互相纏繞之螺旋輸送通道、分流之輸送通道、匯合之輸送通道、以及成形之輸送通道的氣體分配設備,其中入口端與出口端係配置以使氣體在輸送通道內快速交換。

Description

用於提供均勻氣流之氣體分配設備與處理腔室
本發明之實施例一般是與用於使氣體流至一處理腔室中的設備與方法有關。更具體而言,本發明之實施例是關於用於將氣流引導至一處理腔室(例如原子層沉積腔室或化學氣相沉積腔室)中的線性流設備。
在半導體處理、平面顯示器處理或其他電子元件處理的領域中,氣相沉積處理已經在於基板上沉積材料中扮演了一項重要的角色。隨著電子元件的幾何尺寸持續在縮減、且元件密度持續在增加,特徵結構的尺寸與深寬比變得更為激進,例如0.07 µm之特徵結構以及10或更高的深寬比。因此,材料的保形沉積以形成這些元件即變得更為重要。
在原子層沉積(ALD)處理期間,反應物氣體被注入含有基板的處理腔室中。一般而言,基板的一區域係與基板表面上所吸收之第一反應物接觸。基板係接著接觸第二反應物,該第二反應物係與該第一反應物接觸以形成沉積材料。在各反應物氣體的輸送之間注入除氣氣體,以確保反應僅在基板表面上發生。
氣體分配設備(有時係成形為類似且被稱為噴淋頭)係分配處理氣體至在接近鄰近處之基板(也稱為晶圓)。氣體分配設備(包括噴淋頭)具有大體積而會非常難以於氣體之間清潔或除氣。留在噴淋頭中的任何氣體會與後續的處理氣體反應。對於ALD處理而言,在仰賴交替的氣體脈衝(例如A脈衝、B脈衝、A脈衝、與B脈衝)類型輸送之氣體分配設備(包括噴淋頭)內,氣體的分離是重要的。因此,在本技術領域中正有改善之氣體分配設備(包含噴淋頭)之需要,這些改善之氣體分配設備係易於清潔/除氣,並且對基板提供均勻的氣體供應源。
本發明的一或多個具體實施例是與用於控制進入處理腔室中之氣流的氣體分配設備有關。該設備包含輸送通道,該輸送通道具有入口端、出口端與長度,該輸送通道具有沿著該長度分隔之複數個縫隙。在該輸送通道的該入口端上之入口係可連接至氣體源,其中該氣流可由與該入口相通的氣體閥加以控制。在該輸送通道的該出口端上之出口係可連接至真空源,其中通過該出口的真空壓力可由出口閥門加以控制,以於該出口處提供降低壓力。控制器係用以藉由在該通道中之氣體輸送與除氣期間開啟與關閉該出口閥門而調節通過該輸送通道與至該處理腔室中的該氣流,以控制通過沿著該通道之該長度的該等縫隙之該氣流。
在部分具體實施例中,通過該氣體分配設備的氣流在該氣體分配設備的軸向長度上具有比通過無連接至該出口之該真空源的類似氣體分配設備的該氣流更均勻的氣導。在一或多個具體實施例中,當氣體閥關閉時,氣體自輸送通道中清除得會比不含真空源之一類似氣體分配設備更快。
在部分具體實施例中,該輸送通道是在氣體分配板材的背側中之凹陷通道,且該複數個縫隙延伸通過該氣體分配板材而至該氣體分配板材的前側。
在一或多個具體實施例中,該氣體分配板材是圓的,且該輸送通道形成螺旋形,其中該入口端與出口端之其一是位於該氣體分配板材的外週區域,且該入口端與出口端之另一者是位於該氣體分配板材的中央區域。在部分具體實施例中,該入口端是位於該氣體分配板材的該外週區域,而該出口端是位於該氣體分配板材的該中央區域。在一或多個具體實施例中,該出口端是位於該氣體分配板材的該外週區域,而該入口端是位於該氣體分配板材的該中央區域。
在部分具體實施例中,在該氣體分配板材的該背側中有兩個凹陷之輸送通道。在部分具體實施例中,各該等輸送通道形成螺旋形,其中該入口端與出口端之其一是位於該氣體分配板材的外週區域,且該入口端與出口端之另一者是位於該氣體分配板材的中央區域。在一或多個具體實施例中,該兩個輸送通道沿著該螺旋形互相纏繞。在某些具體實施例中,各輸送通道具有位於氣體分配板材的外週區域中之入口端以及位於氣體分配板材的中央區域中之出口端。在部分具體實施例中,各輸送通道具有位於氣體分配板材的外週區域中之出口端以及位於氣體分配板材的中央區域中之入口端。在一或多個具體實施例中,一輸送通道之入口端係位於氣體分配板材的外週區域中,而另一輸送通道的出口端係位於氣體分配板材的外週區域中。
在部分具體實施例中,氣體分配設備更包含在該氣體分配板材的該背側上之背蓋,該背蓋覆蓋該凹陷通道。在一或多個具體實施例中,該輸送通道是具有實質平坦形態之管狀螺旋。在部分具體實施例中,該氣體分配設備包含複數個輸送通道,各輸送通道係延伸為實質直線且實質平行於相鄰的輸送通道。
在一或多個具體實施例中,一個以上的輸送通道係連接至該入口,使得流經該入口之氣體流經各該等輸送通道。在部分具體實施例中,連接至該入口的各該等輸送通道係匯合且連接至出口。在部分具體實施例中,連接至該入口的各該等輸送通道具有連接至各別出口閥門之各別出口。在一或多個具體實施例中,該控制器獨立地調整各該等出口閥門,以維持通過各該等輸送通道之實質均勻氣流。在具體實施例中,該複數個輸送通道是成形為形成一或多個文字或商標。
在部分具體實施例中,該複數個輸送通道是成形為使得基材所見的孔洞圖案在該氣體分配設備間是均勻的。
本發明之其他具體實施例係與包含所述氣體分配設備之處理腔室有關。在部分具體實施例中,該氣體分配設備包含管狀螺旋,該管狀螺旋具有實質平坦形態,該氣體分配設備係位於基板支座與氣體分配板材之間。
本發明之其他具體實施例係與氣體分配設備有關,該氣體分配設備包含氣體分配板材、背蓋、入口、出口與控制器。氣體輸送通道係凹陷於氣體分配板材的背側中。該凹陷之氣體輸送通道具有入口端、出口端、一長度以及複數個縫隙,該等縫隙沿著延伸通過該氣體分配板材而至該氣體分配板材的前側之長度而分隔,使得流經該氣體輸送通道的氣體可通過該等縫隙而離開該氣體分配板材。該背蓋係位於該氣體分配板材的該背側上而覆蓋該凹陷通道。該入口可連接至氣體源,其中氣流可由與該入口相通之氣體閥加以控制。出口係通過該背蓋而連接至該氣體輸送通道的該出口端。該出口可連接至真空源,其中通過該出口的真空壓力可由出口閥門加以控制,以於該出口處提供降低壓力。控制器藉由在氣體輸送與除氣期間開啟與關閉該出口閥門而調節通過該輸送通道與至該處理腔室中的該氣流,以控制通過沿著該通道之該長度的該等縫隙之該氣流。
在部分具體實施例中,該氣體分配板材為圓形且該輸送通道形成螺旋形,其中該入口端與該出口端之其一是位於該氣體分配板材的外週區域中,且該入口端與該出口端之另一者是位於該氣體分配板材的中央區域中。在一或多個具體實施例中,在該氣體分配板材的該背側中有兩個凹陷之輸送通道,該兩個輸送通道沿著該螺旋形互相纏繞。
本發明之其他具體實施例係關於包含複數個長形輸送通道之氣體分配設備。各輸送通道從入口端沿著一長度而延伸至出口端且具有沿著該長度分隔之複數個縫隙。該入口端可連接至氣體源,其中氣流為可藉由與該入口端相通之氣體閥而加以控制。該出口端可連接至真空源,其中通過該出口端之真空壓力係可藉由一出口閥門而加以控制,以於該出口端處提供降低壓力。複數個長形真空通道,各真空通道沿著一長度延伸。控制器藉由在氣體輸送與除氣期間開啟和關閉該出口閥門而調節通過該氣體輸送通道且至處理腔室中之該氣流,以控制通過沿著該通道之該長度的該等縫隙之該氣流。各輸送通道的該複數個縫隙與相鄰輸送通道的該複數個縫隙間係分隔至少一長形真空通道。
本發明之具體實施例是關於用於化學氣相沉積類型處理之氣體分配設備。本發明之一或多個具體實施例是關於包含所述氣體分配設備的原子層沉積處理與設備(也稱為循環沉積)。所述氣體分配設備也稱為噴淋頭或氣體分配板材,但本領域技術人士也將認可該設備並不需要成形為類似噴淋頭或板材。用語「噴淋頭」與「板材」不應被用來限制本發明之範疇。
本發明之第一具體實施例是關於一種具有單一螺旋氣體輸送通道的設備。所有的氣體依序流經相同通道。入口是在螺旋的外徑向邊緣(也稱為外週)上並可裝設至氣體源。真空附件係連接至螺旋內部端。入口與出口係可相反,氣體源也可利用在螺旋外側端處的出口閥門而連接至螺旋內側。該第一具體實施例係使用如表1所示之順序。 表1
步驟 氣體源 出口閥門
1 前驅物A 關閉
2a 除氣 關閉
2b 除氣 開啟
2c 除氣 關閉
3 前驅物B 關閉
第二具體實施例係具有互相纏繞的兩個螺旋通道,每一通道在螺旋外徑向端上具有氣體入口,且在各螺旋的內徑向端上具有出口閥門。入口與出口可相反或混合。不同的通道可用於不同的前驅物。
在第三組具體實施例中,通道係線性氣體線路。線性氣體線路可利用任何適當形狀而不只是線性。對於不同的前驅物可有線性氣體線路。部分具體實施例具有依序之所有氣體的多種平行路徑,其中氣體通道的氣導是實質相同的。
在一或多個具體實施例中,在各別通道中,氣體通過通道與通過縫隙的氣導是藉由調整或改變出口端處的真空壓力而加以控制。輪流改變真空壓力可產生傳統氣體分配設備所無法達到的獨特流體動力。在部分具體實施例中,在各通道的長度上會有更均勻的氣流、且有更均勻的氣流通過在通道長度上分隔之縫隙。根據一或多個具體實施例之均勻氣流代表實質上沒有會抑制氣體流經通道或除氣的死區(dead space)存在。在通道的一端上有或沒有閥門、且在通道的另一端處設有閥門之真空提供係允許不同類型的氣體(例如前驅物或反應物氣體)之間的切換。
在部分具體實施例中,在氣體輸送通道端部處的真空可使通道內快速除氣。除氣氣體源可連接至氣體輸送通道的入口,並與通道出口處的真空共同運作,以更快速移除通道中之反應性氣體。此外,真空埠可沿著氣體輸送通道長度而分隔,而不只是在通道端部處。
本發明之具體實施例係可增加通過在氣體輸送通道上分隔開的孔洞之氣體的氣導。不受任何特定操作理論所限制,相信控制了通道的出口端處或中段中的真空壓力,即可改變相對於傳統噴淋頭或氣體分配板材之流體動力。
參閱第1圖與第2圖。一或多個具體實施例是與用以輸送氣體至處理腔室(未示)的氣體分配設備100有關。氣體分配設備100包含具有入口端104與出口端106之輸送通道102。輸送通道102具有沿著輸送通道102的長度而分隔之複數個縫隙108。入口110係連接至輸送通道102的入口端104,並與該入口端104流體相通。出口112係連接至輸送通道102的出口端106,並與該出口端106流體相通。入口110係用以連接至氣體源,並包含可控制進(或出)輸送通道102之氣流、或是完全截斷氣流的入口閥門114。出口112係用以連接至真空源,且包含可控制進(或出)輸送通道102之氣流、或是完全截斷氣流的出口閥門116。出口112可連接至真空源(未示),使得通過出口112的真空壓力可受出口閥門116控制,以於出口112處提供降低壓力。
控制器150調節通過輸送通道102及進入處理腔室中的氣流。控制器150是藉由在氣體輸送與除氣期間開啟與關閉(或是在完全開啟與完全關閉之間的任一點)出口閥門而進行此調節。這可控制通過通道長度上分隔之縫隙(例如見第4圖所示)的氣流。
輸送通道102的截面形狀可受控制,使得流經輸送通道的氣體可面臨最小的流動阻力。在部分具體實施例中,輸送通道102具有圓形或橢圓形截面形狀。在一或多個具體實施例中,輸送通道102具有的截面形狀係足使彎曲、轉向、扭轉等實質提供無死區。
輸送通道102的整體形狀(相對於截面形狀)可依需要而調整。舉例而言,輸送通道102可經成形以匹配特定區域內、或與基板的形狀相符。輸送通道102可為例如直線、圓形、方形、卵形、矩形或橢圓形。此外,輸送通道的整體形狀可由彼此平行、垂直或同心的重複單元構成。在一或多個具體實施例中,輸送通道具有一整體形狀,在其中實質上並無抑制氣流或除氣之死區。在用於本說明書與如附申請專利範圍中時,用語「實質上無死區」代表氣流或除氣受抑制程度係低於因死區所致者的約10%、或低於約5%。
在部分具體實施例中,輸送通道102為具有實質平坦型態之管狀螺旋。此特定形狀係由第1圖與第2圖所示之具體實施例予以例示。在用於本說明書與如附申請專利範圍時,用語「實質平坦型態」代表輸送通道102中的複數個縫隙108係位於幾乎相同平面中。由於縫隙為共平面,因此第1圖與第2圖所示之具體實施例具有實質平坦型態,即使入口端與出口端、以及靠近入口端與出口端之輸送通道部分並不與複數個縫隙共平面。
輸送通道102可用於電漿處理。舉例而言,輸送通道102可相對於處理腔室的另一部分而極化,以點燃腔室內的電漿。輸送通道102可相對於腔室的一部分而偏離,或是腔室的一部分可相對於輸送通道102而偏離。舉例而言,輸送通道102可相對於支座而極化,或是支座可相對於輸送通道而極化。也可調整電漿的頻率。在一或多個具體實施例中,電漿是處於約13.56 MHz之頻率。在某些具體實施例中,電漿之頻率約為40 MHz、50 MHz、60 MHz、70 MHz、80 MHz、90 MHz、100 MHz、110 MHz或120 MHz。
任何適當的材料都可用於輸送通道、噴淋頭或氣體分配設備。適當的材料包含、但不限於不鏽鋼與惰性材料。在部分具體實施例中,輸送通道、噴淋頭或氣體分配板材是由不鏽鋼所製成。
第3圖說明了根據一或多個具體實施例之處理腔室的一部分之截面。氣體分配設備100係放置在基板支撐支座302與氣體分配板材306之間。基板支撐支座302係繪示為支撐基板304。基板支撐支座302可為靜止或旋轉,或對部分處理為靜止、且對部分處理為旋轉。旋轉支撐支座302可藉由使處理腔室間發生的不同氣流圖案降至最低而使基板處理更為均勻。部分具體實施例的支撐支座302包含加熱器或加熱機制。加熱器可為任何適當類型的加熱器,包含電阻式加熱器。
氣體分配設備100係繪示為具有實質平坦型態的管狀螺旋。基板304可以與氣體分配板材306或氣體分配設備100中任一或兩者加以處理。氣體分配設備100可被成形為使其實質上不干涉流出氣體分配板材306的氣體。在用於本說明書與如附申請專利範圍時,用語「實質上干涉」代表氣體分配設備100並不干涉超過氣體分配板材306流出之氣體的30%。舉例而言,氣體分配板材306的前表面308具有複數個縫隙310讓氣體流動通過。氣體分配設備100可經成形以避免阻擋縫隙310。
以類似於第3圖所示方式而配置的輸送通道也可用於電漿處理。設備100可相對於腔室的一部分而極化,或是腔室的一部分可相對於設備100而極化。舉例而言,輸送通道設備100可相對於支座302而極化,或是支座302可相對於設備100而極化。在部分具體實施例中,設備100是相對於氣體分配板材306而極化。在一或多個具體實施例中,氣體分配板材306是相對於支座302而極化,且自設備100流出的氣體形成了電漿。也可調整電漿的頻率。在一或多個具體實施例中,電漿的頻率約為13.56 MHz。在部分具體實施例中,電漿的頻率約為40 MHz、50 MHz、60 MHz、70 MHz、80 MHz、90 MHz、100 MHz、110 MHz或120 MHz。
第4圖至第7圖繪示了氣體分配設備400的另一個具體實施例,其中輸送通道402係在氣體分配板材403的背側401中之凹陷通道。所示之具體實施例具有大的內截面,該內截面係凹陷於氣體分配板材403的背側401中,其中輸送通道402甚至進一步凹陷。這可允許增加背蓋407,該背蓋407係可放置在背側401中的凹陷區域中而覆蓋輸送通道402。當背蓋407被插入至某些具體實施例的凹陷背側401中時,背蓋407係產生氣體分配板材的實質齊平背側表面。該領域技術人士將理解到背蓋407並不需要匹配於氣體分配板材403的背側401的凹陷區域內,但也可直接靠在氣體分配板材403的背側401上。在此種類的具體實施例中,並無帶有進一步凹陷之輸送通道的大凹陷區域。取而代之,輸送通道係直接凹陷至氣體分配板材403的背側401中。
背蓋407可具有開口以供作入口與出口管件之通道,以與輸送通道402流體相通。這可見於第5圖與第6圖中。入口與出口管件可為背蓋407的整合部件,或可為連接至背蓋407以避免或使流體洩漏降至最低的分離部件。複數個縫隙408係延伸通過氣體分配板材403而至氣體分配板材403的前側405。這些縫隙可見於第4圖、第5圖與第7圖。複數個縫隙408可沿著輸送通道的長度均勻分隔,或可沿著通道長度而具有變化間隔。可變化之間隔係可幫助於輸送通道上各點處自輸送通道產生更均勻的氣流。舉例而言,在具有精細形狀的氣體輸送通道中,縫隙的間隔係可沿著長度而變化。
在第4圖至第7圖所示的具體實施例中,氣體分配板材403為圓形且輸送通道402形成螺旋形。入口端404是表示為在氣體分配板材403的外週區域420之螺旋形外側處,且出口端406是位於氣體分配板材403的中央區域422中的螺旋中心處。該領域技術人士將理解入口端404與出口端406也可相反,即入口端404位於螺旋中心處,而出口端406位於螺旋的外側。在部分具體實施例中,入口端404與出口端406中其一是位於氣體分配板材403的外週區域420中,而入口端404與出口端406中另一則位於氣體分配板材403的中央區域422中。在一或多個具體實施例中,入口端404是位於氣體分配板材403的外週區域420處,且出口端406是位於氣體分配板材403的中央區域422處。在某些具體實施例中,出口端406是位於氣體分配板材403的外週區域420處,而入口端404是位於氣體分配板材403的中央區域422處。
在第5圖與第6圖中,入口端404與出口端406係說明為自氣體分配板材403的背蓋407延伸之小管件。管件通過入口閥門414而延伸於入口410與背蓋407之間。另一管件可通過出口閥門416而延伸於出口412與背蓋407之間。管件可藉由該領域技術人士所知道的任何適當連接方式連接至背蓋407,且可經密封以避免流動通過管件而至輸送通道402中的流體洩漏。適當的密封裝置包含、但不限於:位於凸緣424與背蓋407之間的O型環。凸緣424可與管件一體成形,或是可為使管件固定至背蓋之獨立部件。凸緣424可藉由任何適當機械性連接方式而連接至背蓋407,包含、但不限於螺絲。
第8圖繪示了根據本發明之一或多個具體實施例的輸送通道402的一部分與在氣體分配板材403中的縫隙408的截面圖。該領域技術人士將了解到第8圖所描述的輸送通道與縫隙僅為例示之用,而不應被視為本發明範疇之限制。該領域技術人士將了解到有許多方式可產生從輸送通道402而通過氣體分配板材403之流動。第8圖所示之輸送通道402具有兩個部分:上方部分832與下方部分830。這些部分是以分離的區域來繪示,但應了解在上方部分832與下方部分830之間可存在無接縫轉銜。
此外,將理解到上方部分832是非必要的,且不需要被包含於輸送通道402中。當沒有上方部分832時,下方部分830即為唯一部分。因此,輸送通道可具有任何適當形狀。在部分具體實施例中,輸送通道的形狀使其不實質干涉通過通道的氣體流動。
上方部分832可具有任何適當形狀。在第8圖所示之具體實施例中,上方部分832具有延伸而與氣體分配板材403的背側401的表面正交之壁部。然而,將理解的是上方部分832可具有自直角傾斜至背側401的壁部。此傾斜可於氣體分配板材403的背側401處提供較大開口,而漸縮為較小開口。此外,此傾斜可在背側401處提供較小開口,而漸增為較大開口。上方部分832的長度可視需要而調整。
在部分具體實施例中,上方部分具有實質上垂直於氣體分配板材403的背側401、且於背側401的表面下方延伸一段長度L之側部(長度L的範圍為約0.01吋至約0.3吋)。當使用於本說明書與如附申請專利範圍時,用語「實質上垂直於」是指上方部分的壁部相對於氣體分配板材的背側具有介於約85度至約95度之角度。在部分具體實施例中,上方部分於背側表面下方延伸了介於約0.02吋至約0.2吋之範圍、或介於約0.05吋至約0.15吋之範圍、或介於約0.08吋至約0.12吋之範圍的一段長度L。在一或多個具體實施例中,上方部分係於背側表面下方延伸了約為0.1吋的一段長度。
磨圓的下方部分830可具有任何適當的截面,包含、但不限於半圓形與半橢圓形。磨圓的下方部分之寬度(也稱為磨圓的下方部分之直徑)可視需要而調整。上方部分的寬度可視需要而調整。一般而言,輸送通道的直徑具有螺旋迴圈數的影響。在部分具體實施例中,如第8圖所示,上方部分的寬度大致等於下方部分的直徑。各種具體實施例的輸送通道具有之直徑係介於約0.3吋至約0.45吋的範圍內,或介於約0.325吋至約0.425吋的範圍內,或介於約0.35吋至約0.40吋的範圍內。在一或多個具體實施例中,輸送通道具有約0.375吋之直徑。
縫隙408的具體形狀可根據通過縫隙的所需氣流而改變。在第8圖的具體實施例中,縫隙408具有三個分別區段:第一區段834、第二區段836以及第三區段838。同樣的,區段的數量與區段的形狀係僅為例示一具體實施例,且區段的數量與區段的形狀不應被視為對本發明範疇的限制。第一區段834係從輸送通道402的磨圓下方部分830朝向氣體分配板材403的前側405延伸。第一區段834具有第一直徑D1。第二區段836從第一區段834朝向前側405延伸,且具有之直徑係從第一直徑D1漸縮至一般小於第一直徑之第二直徑D2。第三區段838從第二區段836的端部延伸,並終止於氣體分配板材403的前側405處。在第三區段838與前側405的交錯處形成孔洞840。流經輸送通道402的氣體係通過此孔洞840離開氣體分配板材403而進入處理腔室中。孔洞840具有與第二直徑D2大致相同的直徑。在各種具體實施例中,孔洞840的直徑是在介於約0.01吋至約0.25吋的範圍內,或是在介於0.02吋至約0.2吋的範圍內,或是在約0.03吋至約0.15吋的範圍內,或是在約0.04吋至約0.1吋的範圍內。在部分具體實施例中,孔洞840具有小於約0.1吋之直徑,或小於約0.08吋,或小於約0.06吋,或小於約0.04吋,或小於約0.02吋,或小於約0.01吋。
由於輸送通道是從氣體分配板材的外週邊緣向中央區域形成螺旋(或反向亦可),在截面上可觀察到表面上複數個相鄰通道,即使該相鄰通道可能是單一通道。第5圖繪示這些表面上的複數個通道。通道(或是在螺旋迴圈之間的分隔)係分隔一段距離。在部分具體實施例中,通道(或單一通道的迴圈)之間的距離(從中心量起)是在介於約0.375吋至約0.475吋的範圍內,或是在介於約0.40吋至約0.45吋的範圍內,或是在介於約0.41吋至約0.43吋的範圍內。在一或多個具體實施例中,在相鄰通道的中心之間的平均距離約為0.42吋。
第4圖至第7圖中所示之氣體通道的長度可根據數個因素而改變,包含、但不限於通道的直徑與相鄰通道之間的距離。在各種具體實施例中,輸送通道具有之長度係在介於140吋至約340吋的範圍內,或在介於180吋至約300吋的範圍內,或在介於200吋至約280吋的範圍內,或在介於220吋至約260吋的範圍內。在一或多個具體實施例中,輸送通道具有約為240吋的長度。
縫隙的數量也根據數個因素而定,包含、但不限於輸送通道的長度與縫隙的間隔。在具有單螺旋通道的部分具體實施例中,存在有介於大約300個至約900個之範圍內的縫隙,或有介於大約400個至約800個之範圍內的縫隙,或有介於大約500個至約700個之範圍內的縫隙。在各種具體實施例中,在沿著通道的長度上有超過約300、400、500、600、700或800個縫隙。在一或多個具體實施例中,在沿著輸送通道的長度上有大約600個縫隙。
在一具體實施例中,如第4圖所示,氣體輸送板材403在氣體輸送板材403的背側中包含單一輸送通道402。輸送通道402具有位於氣體分配板材403的外週區域420中之入口端404。輸送通道402係依循從入口端404至位於氣體分配板材403的中央區域422中之出口端406之間的向內螺旋路徑。輸送通道402具有一整體長度,定義為入口端404與出口端406之間的距離(約為240吋)。複數個縫隙408在輸送通道402的整體長度上分隔。在沿著輸送通道403的整體長度上,有介於大約500個至約700個之範圍內的縫隙。輸送通道403具有之平均直徑約為0.375吋,且螺旋通道的相鄰部分中央處係分隔約0.42吋。
本發明的部分具體實施例包含一個以上的輸送通道402。這些多數通道可視處理系統的需求而互相纏繞或分離。部分通道可凹陷至一氣體分配板材中(如第4圖所示),或可為各別管件(如第1圖所示)。在部分具體實施例中,係有各別管件與凹陷通道之組合。這種種類的一例示具體實施例係繪示於第3圖中,其中氣體分配板材中具有至少一個凹陷之輸送通道,且附加輸送通道係位於氣體分配板材與基板表面之間。
第9圖至第14圖繪示了本發明的另一具體實施例。一氣體分配設備900包含凹陷於氣體分配板材903的背側901中的兩個輸送通道902a、902b。將可理解輸送通道可不需凹陷至氣體分配板材的背部中,而是可為如第1圖與第15圖所示之各別管件。第一輸送通道902a具有第一入口端904a與第一出口端906a、以及在沿著第一輸送通道902a的長度上分隔之複數個第一縫隙908a。第二輸送通道902b具有第二入口端904b與第二出口端906b、以及在沿著第二輸送通道902b的長度上分隔之複數個第二縫隙908b。
第一入口910a係連接至第一輸送通道902a的第一入口端904a。第一入口910a係用以連接至氣體源。第一出口912a係連接至第一輸送通道902a的第一出口端906a。第一出口912a係用以連接至真空源。第二入口910b係連接至第二輸送通道902b的第二入口端904b。第二入口910b係用以連接至氣體源。第二出口912b係連接至第二輸送通道902b的第二出口端906b。第二出口912b係用以連接至真空源。
在第9圖至第14圖所示的具體實施例中,各輸送通道902a、902b係形成螺旋形。如圖式中所示之一或多個具體實施例具有沿著螺旋形長度互相纏繞的兩個輸送通道902a、902b。熟習該領域技藝之人將了解,這兩個輸送通道902a、902b可具有螺旋以外的形狀,且不需要互相纏繞。在某些具體實施例中,複數個第一縫隙908a與第二縫隙908b係延伸通過氣體分配板材903而至氣體分配板材903的前側905。
在部分具體實施例中,各輸送通道902a、902b形成螺旋形,各輸送通道902a、902b之入口端904a、904b與出口端906a、906b中其一係位於氣體分配板材903的外週區域920中,而入口端904a、904b與出口端906a、906b中另一則位於氣體分配板材903的中央區域922中。在一或多個具體實施例中,兩通道902a、902b的入口端904a、904b係位於外週區域920中,而兩通道902a、902b的出口端906a、906b係位於氣體分配板材903的中央區域922中。在某些具體實施例中,兩通道902a、902b的入口端904a、904b係位於中央區域922中,而兩通道902a、902b的出口端906a、906b則位於氣體分配板材903的外週區域920中。在一或多個具體實施例中,入口端904a、904b的其中一個係位於外週區域920中,另一個入口端904a、904b係位於中央區域922中,而出口端906a、906b係位於各各別輸送通道902a、902b的另一端。
第11圖說明了如第9圖中所示之氣體分配板材903的背蓋907。在背蓋907中設有四個孔洞(未標號),這些孔洞係大致對齊於輸送通道902a、902b的入口端904a、904b以及出口端906a、906b。孔洞可用以提供一接取點以於入口910a、910b與出口912a、912b中連接至通道902a、902b。在部分具體實施例中,入口910a、910b與出口912a、912b係與背蓋907一體成形。此外,如第12圖與第13圖所示,可有一或多個入口閥門914a、914b與出口閥門916a、916b。
第12圖與第13圖說明根據本發明各種具體實施例之氣體分配設備900的立體圖。入口910a、910b係繪示為以凸緣924a、924b連接至背蓋907。凸緣924a、924b的連接與氣密性密封可藉由熟習該領域技藝之人所知的任何適當機制與技術而完成。出口912a、912b可以凸緣或擋塊連接部925連接至背蓋907。擋塊925可與背蓋907一體成形,或可為獨立部件。擋塊925為出口閥門916a、916b提供額外的支撐與空間,使連接管件自背蓋907以一角度而突出。雖然入口910a、910b與入口閥門914a、914b係繪示為位於氣體分配板材903的外週區域920上,而出口912a、912b與出口閥門916a、916b係繪示為位於氣體分配板材903的中央區域922處,但應理解這些構件也可相反或互相混合,而圖式係僅為一個具體實施例的例示說明。
隨著輸送通道從氣體分配板材的外週邊緣往中央區域形成螺旋(或反向亦可),在截面上可觀察到表面上複數個相鄰通道。由於螺旋互相纏繞,在每一相鄰通道中的氣體係來自另一入口910a、910b。通道與相鄰通道係分隔一段距離。在部分具體實施例中,通道之間的距離(從通道的中心量起)是在介於約0.375吋至約0.475吋的範圍內,或是在介於約0.40吋至約0.45吋的範圍內,或是在介於約0.41吋至約0.43吋的範圍內。在一或多個具體實施例中,在相鄰通道的中心之間的平均距離約為0.42吋。
第9圖至第14圖中所示之氣體通道的長度可根據數個因素而改變,包含、但不限於通道的直徑與相鄰通道之間的距離。在各種具體實施例中,各輸送通道具有之長度係在介於70吋至約170吋的範圍內,或在介於90吋至約150吋的範圍內,或在介於100吋至約140吋的範圍內,或在介於110吋至約130吋的範圍內。在一或多個具體實施例中,輸送通道具有約為120吋的長度。
縫隙的數量也根據數個因素而定,包含、但不限於輸送通道的長度與縫隙的間隔。在具有單螺旋通道的部分具體實施例中,存在有介於大約150個至約450個之範圍內的縫隙,或有介於大約200個至約400個之範圍內的縫隙,或有介於大約250個至約350個之範圍內的縫隙。在各種具體實施例中,在沿著通道的長度上有超過約150、200、250、300、350或400個縫隙。在一或多個具體實施例中,在沿著輸送通道的長度上有大約300個縫隙。
第4圖至第14圖中所示設備可用於電漿處理。舉例而言,輸送通道、氣體分配設備或噴淋頭係可相對於處理腔室的另一部分而極化,以於腔室內點燃電漿。輸送通道、氣體分配設備或噴淋頭係可相對於腔室的一部分而極化,或是腔室的一部分係可相對於輸送通道、氣體分配設備或噴淋頭而偏離。舉例而言,輸送通道、氣體分配設備或噴淋頭係可相對於支座而極化,或是支座可相對於輸送通道而極化。也可調整電漿的頻率。在一或多個具體實施例中,電漿是處於約13.56 MHz之頻率。在某些具體實施例中,電漿之頻率約為40 MHz、50 MHz、60 MHz、70 MHz、80 MHz、90 MHz、100 MHz、110 MHz或120 MHz。
在第4圖至第14圖所例示的設備的一些具體實施例中,在氣體分配設備的背蓋與主要本體部分(亦即包含氣體輸送通道的部分)之間有一絕緣材料(未示)。此絕緣材料提供了氣體分配設備的背蓋與主要本體部分之間的電氣隔離,使得背蓋可相對於主要本體部分而極化。這麼做可使電漿在氣體分配設備內、或在輸送通道內被點燃。電漿可流經複數個縫隙而至處理腔室的處理區域中,處理區域為氣體分配設備與支座之間的區域。這種形態稱為遠端電漿,因為電漿是在處理區域的外部形成(例如點燃)。
第15圖、第16A圖與第16B圖說明氣體分配設備1500的另一例示具體實施例。所示之氣體分配設備對於空間上分隔的原子層沉積處理特別有用,其中基板的不同部分係同時暴露至不同沉積氣體,且基板1544係相對於氣體分配設備而移動,使得基板的所有部分都可依序暴露至各沉積氣體。在這些具體實施例中,氣體分配設備1500包含複數個輸送通道1502,各輸送通道1502係實質直線延伸且實質平行於相鄰輸送通道。各輸送通道1502具有入口端1504與出口端1506,入口端1504與出口端1506間具有複數個分隔之縫隙1508。
在第15圖、第16A圖與第16B圖中所示之氣體分配設備具有複數個長形輸送通道1502與複數個長形真空通道1550。各輸送通道1502與真空通道1550係於氣體分配設備的前表面處連接至輸出通道1552。各輸送通道1502係用以使一或多種反應性氣體與除氣氣體流動。各輸送通道1502係藉由複數個分隔縫隙1508而連接至輸出通道1552。各真空通道1550係藉由複數個分隔之真空縫隙1558而連接至入口通道1554。各輸送通道1502的複數個縫隙1508係藉由來自真空通道1550的複數個真空縫隙1558的至少其中一個而與各相鄰輸送通道1502的複數個縫隙1508分隔。
在第16A圖所示的具體實施例中,各中央真空通道1550(非端部真空通道)是藉由真空縫隙1508而連接至兩個入口通道1554。端部真空通道1550僅連接至單一入口通道1554。應理解這僅為例示之用而不應被視為對發明範疇之限制。各入口通道1554可具有專用真空通道1550,或是單一真空通道1550可經由複數個真空縫隙1508而連接至兩個以上的入口通道1554。
每一個輸送通道看起來是相同的,但流過每一個輸送通道的可為不同氣體。舉例而言,除氣通道(標示為P)具有流經其間之除氣氣體,各第一反應性氣體通道(標示為A)係具有流經其間的第一反應性氣體,而各第二反應性氣體通道(標示為B)係具有流經其間的第二反應性氣體。真空通道(標示為V)係連接至真空源。參閱第16A圖,從左向右移動的基板1544(或更具體而言,基板上之固定點)會依序歷經真空氣體通道、除氣氣體通道、真空氣體通道、第一反應性氣體通道、真空氣體通道、除氣氣體通道、真空氣體通道、第二反應性氣體通道、真空氣體通道等,端視於氣體分配板材的大小而定。
使用具有入口端與出口端的輸送通道可使氣體在輸送通道內快速交換。舉例而言,在基板(或基板上的固定點)暴露至第二反應性氣體通道(標示為B)之後,輸送通道的出口端可被打開,使通道內的氣體被移除,然後不同的反應性氣體(例如氣體C)可流進輸送通道中。因此,當基板返回該氣體通道下時,基板將暴露至氣體C而非氣體B。此實例是針對第二反應性氣體而進行,但熟習該領域技藝人士將理解任一氣體輸送通道(第一反應性氣體、第二反應性氣體或除氣氣體)都可被除氣或替換為不同氣體。
第15圖、第16A圖與第16B圖的輸送通道也可用於電漿處理。氣體分配設備1500可相對於腔室的另一部分而極化。舉例而言,氣體分配設備1500可相對於支座而極化,或是支座可相對於氣體分配設備而極化。也可調整電漿的頻率。在一或多個具體實施例中,電漿的頻率約為13.56 MHz。在部分具體實施例中,電漿的頻率約為40 MHz、50 MHz、60 MHz、70 MHz、80 MHz、90 MHz、100 MHz、110 MHz或120 MHz。
第16B圖說明單一輸送通道1502與單一真空通道1550之一具體實施例。輸送通道1502與真空通道1550係各具有自輸送通道1502與真空通道1550延伸的兩組縫隙。在真空通道1550的情形中,一組縫隙1558a係連接至第一入口通道1554a,而另一組縫隙1558b係連接至第二入口通道1554b。另一方面,輸送通道1502具有延伸至單一輸出通道1552的兩組縫隙1508。
在一或多個具體實施例中,氣體分配設備包含連接至真空源的一個以上的出口。第17圖說明螺旋形之氣體分配設備1700,氣體分配設備1700與第1圖所示設備100類似。設備包含具有入口端1704與出口端1706之輸送通道1702。入口1710係連接至輸送通道1702的入口端1704並與輸送通道1702的入口端1704相通。出口1712係連接至輸送通道1702的出口端1706並與輸送通道1702的出口端1706相通。入口1710可連接至氣體源並包含入口閥門1714,入口閥門1714可控制進出輸送通道1702的氣流或完全切斷氣流。出口1712可連接至真空源(未示)並包含出口閥門1716,出口閥門1716可控制進出輸送通道1702的氣流或自輸送通道1702完全切斷真空源。可連接至真空源(未示)之中間出口1742係位於輸送通道1702的長度上。所繪示之中間出口1742是在通道1702的長度的大概中間處連接至輸送通道1702,並經由中間出口1740而耦接至輸送通道1702。中間出口1742包含中間出口閥門1744,該中間出口閥門1744可控制進出輸送通道1702的氣流或自輸送通道1702完全切斷真空源。入口1710的入口閥門1714、出口1712的出口閥門1716、以及中間出口1740的中間出口閥門1744係連接至控制器1750。控制器可獨立地開啟或關閉任何或所有閥門,以調整流經輸送通道1702的氣體之壓力,或對一既有氣體的輸送通道1702進行除氣。舉例而言,表2說明了可與第17圖所示具體實施例一起使用的處理順序。熟習該領域技藝之人將理解這僅為例示之用且不應被視為對本發明範疇之限制。 表2
步驟 氣體源 中間出口閥門 出口閥門
1a 前驅物A 關閉 部分開啟
1b 前驅物A 關閉 關閉
2a 除氣 開啟 關閉
2b 除氣 開啟 開啟
2c 除氣 開啟 關閉
3a 前驅物B 部分開啟 關閉
3b 前驅物B 關閉 關閉
在處理期間的任一點處,表2中所示之閥門為開啟、關閉或部分開啟。在步驟3a中,在前驅物A之輸送通道進行除氣之後,中間出口閥門即部分開啟以加速前驅物B流動通過輸送通道,然後在步驟3b中被關閉。這僅為可使用的一種可能順序,不應作為對本發明範疇之限制。
第17圖所示具體實施例可有效地包含兩個出口,其中一個在輸送通道端部處,而另一個在中間處。熟習該領域技藝之人將理解,沿著輸送通道的長度上可設有分隔的任何數量出口,這些出口可在通道長度上之任一位置處;舉例而言,中間出口1740可位於通道長度的1/3處。此外,可有任何數量的出口;舉例而言,輸送通道可具有四個出口,一個在端部處,且在輸送通道長度的1/4、1/2與3/4處係各有一個。在另一實例中,輸送通道包含四個出口,一個在端部處,且在輸送通道長度的1/4、3/4與9/10處係各有一個。在部分具體實施例中,輸送通道包含總共有2、3、4、5、6、7、8、9、10或11個出口(包含在通道出口端之出口)。
第18圖說明本發明之另一具體實施例,其中氣體分配設備1800包含多重路徑輸送通道1802。在此,設備1800包含具有輸入端1804與輸出端1806之輸送通道1802。入口1810係連接至輸送通道1802的入口端1804並與輸送通道1802的入口端1804相通。出口1812係連接至輸送通道1802的出口端1806並與輸送通道1802的出口端1806相通。入口1810可連接至氣體源(未示)且可包含入口閥門1814,入口閥門1814可控制進出輸送通道1802的氣流或完全切斷氣流。出口1812可連接至真空源(未示)並包含出口閥門1816,出口閥門1816可控制進出輸送通道1802的氣流或自輸送通道1802完全切斷真空源。輸送通道1802在靠近入口端1804處分為三個各別通道1802a、1802b、1802c,並在靠近出口端1806處匯合回單一通道。複數個縫隙1808係沿著各通道之長度而分隔,使得流至入口1810中的單一氣體可沿著多重路徑而被引導,並聯接至單一出口1812。在沿著通道1802長度上縫隙1808係均勻地分隔或不均勻地分隔。
所示之具體實施例係使輸送通道在沿著通道長度上分為三個各別通道。然而,熟習該項技藝之人將可理解這僅為例示且輸送通道可被分為任何數量之通道。在部分具體實施例中,輸送通道係分為2、3、4、5、6、7、8、9或10個各別輸送通道。舉例而言,通道可沿著通道長度而分為兩個,再匯合為一個,然後再分為3個。
通過如第18圖所示之多通道氣體分配設備的氣流可能在三個通道間並不均勻。通道之間的氣流均勻度會受數個因素影響,包含、但不限於氣體壓力、真空壓力、溫度、流量、以及在沿著長度上從靜止壓力之壓力降。第19圖說明氣體分配設備1900的另一具體實施例,其中輸送通道1902細分為三個各別通道1902a、1902b、1902c,各別通道都具有其本身的出口閥門1912a、1912b、1912c。所示設備1900包含經由入口閥門1914而連接至入口1910之入口端1904。輸送通道1902包含複數個縫隙1908,這些縫隙1908沿著每一各別通道1902a、1902b、1902c的長度而分隔。這些縫隙可沿著通道長度而均勻分隔、或不均勻分隔。各通道具有一各別出口1912a、1912b、1912c,這些出口具有各別出口閥門1916a、1916b、1916c。各出口閥門1916a、1916b、1916c係連接至控制器1950,該控制器1950可獨立控制各出口閥門1916a、1916b、1916c。在此具體實施例中,控制器1950可設定出口閥門為關閉、完全開啟、或之間的任一點。舉例而言,若通過其中一個通道的氣流比其他小,則控制器1950將開啟該通道的出口閥門以加速流動,或開啟其他通道的出口閥門以加速流動,並使較少氣體經由縫隙而離開通道,以產生更均勻的流動。
也可使用多個各別通道。第20圖說明氣體分配設備2000的具體實施例,氣體分配設備2000具有五個各別氣體輸送通道2002a、2002b、2002c、2002d、2002e。各輸送通道2002a、2002b、2002c、2002d、2002e包含入口閥門2014a、2014b、2014c、2014d、2014e以及出口閥門2016a、2016b、2016c、2016d、2016e。四個螺旋形輸送通道2002a-d係繪示為在四個通道的中央處留下空隙區2060。第五個輸送通道2002e通過螺旋之間並在空隙區2060中震盪以避免氣流中的死區。第五輸送通道2002e係繪示為具有中間出口閥門2044。各輸送通道可配置以輸送相同氣體,或可輸送各別氣體。
在一具體實施例中,這五個通道覆蓋單一基板,且各通道係輸送相同的反應性氣體。基板可在輸送通道下方旋轉,或是通道可於基板上方旋轉或震盪。在另一具體實施例中,替代的輸送通道(例如2002a、2002c)可輸送第一反應性氣體,而其他通道(例如2002b、2002d)可輸送第二反應性氣體。第五通道2002e可配置以輸送惰性氣體,以於各別通道之間形成氣幕(curtain),以分隔氣體並避免氣相反應。使基板在這些通道下方旋轉會使交替的四分之一部分暴露於相同氣體,而後為第二反應性氣體,以沉積薄膜。在此具體實施例中,在空隙區2060中的基板部分不具沉積層。
在另一具體實施例中,各通道係可輸送相同氣體,但各通道大小係設以使得單一基板可被單一輸送通道覆蓋,可藉由使基板從一輸送通道移動至相鄰通道而進行多個基板的處理。各通道可配置以輸送相同氣體或各別氣體,且第五通道可配置以輸送惰性氣體以形成氣幕,該氣幕係分隔與輸送通道相鄰的反應區域。第五輸送通道以及本文所述之任何其他氣體輸送通道可具有多個入口與單一出口或多個出口。舉例而言,所示之第五輸送通道可在任一端處具有入口以及在中間處之單一出口,以產生較強的氣體氣幕來分隔其他輸送通道。
同樣地,出口的形狀與數量係根據所需用途而加以變化。第20圖中所示之螺旋形係僅為例示,且不應被視為對本發明範疇之限制。氣體輸送通道的形狀可基於數種理由而修改。在部分具體實施例中,氣體輸送通道係為拼出文字而成形(例如「Applied Materials」)或形成商標。舉例而言,第21圖說明三個輸送通道2012a、2012b、2012c,三個輸送通道2012a、2012b、2012c大致形成了加州聖大克勞拉市的應用材料有限公司的商標。第一氣體輸送通道2102a與第二氣體輸送通道2012b各具有單一入口閥門2114a、2114b及單一出口閥門2116a、2116b。第三氣體輸送通道2102c具有單一入口閥門2114c與兩個出口閥門2116c、2116d。在沿著長度上,第三氣體輸送通道2102c分為兩個通道,重新成形為單一通道,然後再次分為兩個通道。在另一具體實施例中,第三輸送通道的入口閥門與出口閥門可相反,因此可存在兩個入口閥門與單一出口閥門。
基板所見之來自氣體分配設備表面的氣體流動可為均勻的或條紋狀。舉例而言,通過第9圖所示之雙螺旋氣體分配設備下方的基板會看見交替的氣體環。在部分具體實施例中,複數個輸送通道係成形為使得基板所見的孔洞圖案在整個氣體分配設備間都為均勻。第22A圖與第22B圖說明了氣體輸送設備2203的一部分實施例,其中基板所見之氣流會是均勻的。第22A圖說明氣體分配設備2203的背側2201,該氣體分配設備2203具有複數個交替的氣體通道2202a、2202b。氣體通道2202a、2202b隨氣體通道的長度上分隔之孔洞2208a、2208b而起伏,因此在第22B圖中的前側2205上所見之孔洞2208圖案是均勻的。此外,基板所見之氣流會是均勻的,因為在氣體分配設備前方有均勻分佈於其間的孔洞。見第22B圖,孔洞2208的最上列將於第一氣體與第二氣體之間交替,而下一列則具有相反圖案。因此,在所示的12個孔洞2208中,第一氣體將流出其中六個孔洞,而第二氣體將流出另外六個孔洞。
可有多數個入口閥門2214a、2214b,如第22A圖所示,或可為分成多個通道的單一閥門。此外,可有多數個出口閥門2216a、2216b,如第22B圖所示,或可為接合各通道之單一出口閥門。
所述氣體分配設備可用以在電漿增強原子層沉積(PEALD)處理中形成一或多層。在部分處理中,電漿的使用提供了充足的能量來促進物種變成激發態,而使表面反應變得有利和可能。將電漿導入處理中可為連續性或脈衝式。在部分具體實施例中,前驅物(或反應性氣體)的連續脈衝與電漿係用以處理膜層。在部分具體實施例中,反應物可經局部(亦即在處理區域內)或遠端(亦即在處理區域外)離子化。遠端離子化可在沉積腔室的上游發生,使得離子或其他高能或發光物種並不與沉積薄膜直接接觸。在部分PEALD處理中,電漿是在處理腔室的外部產生,例如藉由遠端電漿處理器系統。電漿可經由熟習該項技藝之人所知的任何適當電漿產生程序或技術而產生。舉例而言,可由微波(MW)頻率產生器或射頻(RF)產生器中的一或多個來產生電漿。電漿頻率可根據所使用之具體反應性物種而加以調整。適當的頻率包含、但不限於2MHz、13.56 MHz、40 MHz、60 MHz與100 MHz。雖然在本文所揭示的沉積程序中使用電漿,但應注意也可不需要電漿。
根據一或多個具體實施例,氣體分配設備可用以於形成層之前及/或之後處理基板。此處理可在相同腔室、或在一或多個各別處理腔室中進行。在部分具體實施例中,基板係自第一腔室移動至各別第二腔室,以進行進一步處理。基板可直接從第一腔室移動到該各別處理腔室,或是基板可從第一腔室移動至一或多個移送室、然後再移動至所需的各別處理腔室。因此,此處理設備係包含與移送站相通的多個腔室。此種類之設備係稱為「叢集工具」或「叢集式系統」等。
一般而言,叢集工具係模組化系統,包含多個腔室,這些腔室執行各種功能,包括找尋基板中心與定向、脫氣、退火、沉積及/或蝕刻。根據一或多個具體實施例,叢集工具包含至少第一腔室及中央移送室。中央移送室係圍繞機器人,機器人可在處理腔室與負載鎖定腔室之間運送基板。移送室一般是保持為真空條件,並為自一腔室至另一腔室及/或至位於叢集工具前端處的負載鎖定腔室之運送基板提供中間階段。可用於本發明的兩種廣為所知之叢集工具為Centura®與Endura®,兩者都由加州聖大克勞拉市的應用材料有限公司所提供。一種這類階段式真空基板處理設備的細節係揭示於Tepman等人在1993年2月16日所獲准之美國專利號5,186,718(專利名稱為「階段式真空晶圓處理設備與方法」)中。然而,腔室的精確排列與組合係可調整以執行本文所述之處理的具體步驟。可使用之其他處理腔室包含、但不限於循環層沉積(CLD)、原子層沈積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(例如RTP)、電漿氮化、脫氣、取向、氫氧化與其他基板處理。藉由在叢集工具的腔室中實施處理,即可避免大氣雜質對基板的表面污染,不會在沉積後續薄膜之前氧化。
根據一或多個具體實施例,在從腔室移動至下一個腔室時,基板係連續在真空或「負載鎖定」條件下,且未暴露於周圍空氣。移送室係因此而處於真空,且在真空壓力下進行「泵回(pumped down)」。在處理腔室或移送室中存在有惰性氣體。在部分具體實施例中,惰性氣體係作為除氣氣體之用,以在基板表面上形成矽層之後移除部分或全部的反應物。根據一或多個具體實施例,除氣氣體係於沈積腔室的出口處注入,以避免反應物從沈積腔室移動到移送室及/或其他處理腔室。因此,惰性氣體的流動係於腔室出口處形成氣幕。
可使用例如本文所述之氣體分配設備在單一基板沈積腔室中處理基板。在這類腔室中,係負載、處理、並在另一基板被處理之前卸載單一基板。基板也可以連續方式被處理,例如傳送系統,其中多個基板係可各別地被負載至腔室的第一部件中、移動通過腔室、並且自腔室的第二部件卸載。腔室與相關傳送系統的形狀可形成直線路徑或彎曲路徑。此外,處理腔室可為迴旋系統,其中多個基板可沿著中心軸而移動並且經由迴旋系統路徑而暴露以進行沉積、蝕刻、退火、清潔等程序。
在處理期間,基板可被加熱或冷卻。這種加熱或冷卻可藉由任何適當方式完成,包含、但不限於改變基板支座的溫度以及使加熱或冷卻氣體流至基板表面。在部分具體實施例中,基板支座包含加熱器/冷卻器,加熱器/冷卻器可受控制以傳導地改變基板溫度。在一或多個具體實施例中,使用的氣體(反應性氣體或惰性氣體)係經加熱或冷卻以局部改變基板溫度。在部分具體實施例中,加熱器/冷卻器係位於腔室內、鄰近基板表面,以對流地改變基板溫度。
在處理期間,基板也可為靜止或旋轉。旋轉基板係可連續旋轉、或在不連續的步驟中旋轉。舉例而言,基板可在整個處理間都旋轉,或是基板可在暴露於不同反應性或除氣氣體之間小量旋轉。在處理期間旋轉基板(無論是連續地或步驟式)係可藉由使例如氣流幾何局部變異性達最小化而幫助產生更均勻的沉積或蝕刻。
本發明係以參照特定的具體實施例而描述,然應知這些具體實施例僅為例示說明本發明之原理與應用之用。熟習該項技藝之人可在不背離本發明之精神與範疇下對本發明之方法與設備進行各種修飾與變化。因此,本發明意欲包含在如附申請專利範圍中所界定之修飾例與變化例,以及其等效例。
100:設備 102:輸送通道 104:入口端 110:入口 112:出口 114:入口閥門 116:出口閥門 150:控制器 302:支座 304:基板 306:氣體分配板材 400:氣體分配設備 402:背側 403:氣體分配板材 404:入口端 405:前側 406:出口端 407:背蓋 408:縫隙 410:入口 412:出口 414:入口閥門 416:出口閥門 420:外週區域 422:中央區域 424:凸緣 830:部分 832:上方部分 834:第一區段 836:第二區段 838:第三區段 840:孔洞 900:氣體分配設備 901:背側 902a:輸送通道 902b:輸送通道 903:氣體分配板材 904a:入口端 904b:入口端 905:前側 906a:出口端 906b:出口端 907:背蓋 908a:第一縫隙 908b:第二縫隙 910a:入口 910b:入口 912a:出口 912b:出口 914a:入口閥門 914b:入口閥門 916a:出口閥門 916b:出口閥門 920:外週區域 922:中央區域 924a:凸緣 924b:凸緣 925:擋塊連接 1500:氣體分配設備 1502:輸送通道 1504:入口端 1506:出口端 1508:縫隙 1544:基板 1550:真空通道 1552:輸出通道 1554:入口通道 1554a:第一入口通道 1554b:第二入口通道 1558:真空縫隙 1558a:縫隙 1558b:縫隙 1700:氣體分配設備 1702:輸送通道 1704:入口端 1706:出口端 1710:入口 1712:出口 1714:入口閥門 1716:出口閥門 1740:中間出口 1742:中間出口 1744:中間出口閥門 1750:控制器 1800:氣體分配設備 1802:輸送通道 1802a:各別通道 1802b:各別通道 1804:入口端 1806:出口端 1808:縫隙 1810:入口 1812:出口 1814:入口閥門 1816:出口閥門 1900:氣體分配設備 1902:輸送通道 1902a:各別通道 1902b:各別通道 1904:入口端 1908:縫隙 1910:入口 1912a:各別出口 1912b:各別出口 1914:入口閥門 1916a:出口閥門 1916b:出口閥門 1950:控制器 2000:氣體分配設備 2002a:輸送通道 2002b:輸送通道 2002e:第五輸送通道 2014a:入口閥門 2014b:入口閥門 2016a:出口閥門 2016b:出口閥門 2044:中間出口閥門 2060:空隙區 2102a:第一氣體輸送通道 2102b:第二氣體輸送通道 2102c:第三氣體輸送通道 2114a:單一入口閥門 2114b:單一入口閥門 2114c:單一入口閥門 2116a:單一出口閥門 2116b:單一出口閥門 2116c:出口閥門 2116d:出口閥門 2201:背側 2203:氣體分配設備 2205:前側 2208:孔洞 2202a:氣體通道 2202b:氣體通道 2208a:孔洞 2208b:孔洞 2214a:入口閥門 2214b:入口閥門 2216a:出口閥門 2216b:出口閥門
為能詳細理解可達成本發明之上述特徵的方式,可參閱本發明之具體實施例來進行本發明之更具體說明(已簡述如上),這些具體實施例係說明於如附圖式中。然應注意的是,如附圖式僅說明本發明的典型具體實施例,因此不被視為對其範疇的限制,因為本發明也允許其他的等效具體實施例。 第1圖說明根據本發明之一或多個具體實施例的氣體分配設備之視圖。 第2圖說明根據本發明之一或多個具體實施例的氣體分配設備之視圖。 第3圖說明處理腔室之視圖,該處理腔室包含根據本發明之一或多個具體實施例的一或多個氣體分配設備。 第4圖說明根據本發明之一或多個具體實施例的氣體分配設備之上視圖。 第5圖說明根據本發明之一或多個具體實施例的氣體分配設備之立體圖的截面。 第6圖說明根據本發明之一或多個具體實施例的氣體分配設備之立體圖。 第7圖說明根據本發明之一或多個具體實施例的氣體分配設備之下視圖。 第8圖說明根據一或多個具體實施例之氣體分配設備的部分截面圖。 第9圖說明根據本發明之一或多個具體實施例的氣體分配設備之上視圖。 第10圖說明根據本發明之一或多個具體實施例的氣體分配設備的部分截面圖。 第11圖說明根據本發明之一或多個具體實施例的氣體分配設備之分解部分截面圖。 第12圖說明根據本發明之一或多個具體實施例的氣體分配設備之立體圖的截面。 第13圖說明根據本發明之一或多個具體實施例的氣體分配設備之立體圖。 第14圖說明根據本發明之一或多個具體實施例的氣體分配設備之下視圖。 第15圖說明根據本發明之一或多個具體實施例的氣體分配設備的立體圖。 第16A圖說明根據本發明之一或多個具體實施例的氣體分配設備的部分截面圖。 第16B圖說明根據本發明之一或多個具體實施例的氣體分配設備的部分截面圖。 第17圖說明根據本發明之一或多個具體實施例的氣體分配設備。 第18圖說明根據本發明之一或多個具體實施例的氣體分配設備。 第19圖說明根據本發明之一或多個具體實施例的氣體分配設備。 第20圖說明根據本發明之一或多個具體實施例的氣體分配設備。 第21圖說明根據本發明之一或多個具體實施例的氣體分配設備。 第22A圖說明根據本發明之一或多個具體實施例的氣體分配設備的背側部分。 第22B圖說明第22A圖之氣體分配設備的前側。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100:設備
102:輸送通道
104:入口端
110:入口
112:出口
114:入口閥門
116:出口閥門
150:控制器

Claims (15)

  1. 一種氣體分配設備,包含: 一氣體分配板材,具有一前側表面及一背側表面;一凹陷區域,形成在該背側表面,其中該凹陷區域的一下表面是一凹陷表面,該凹陷表面設置成與該背側表面間隔一距離,且該凹陷表面相對於該前側表面;一第一凹陷通道,形成於該氣體分配板材的該凹陷表面上,其中該第一凹陷通道自該凹陷表面的一中央區域延伸至該凹陷表面的一外週區域;一第二凹陷通道,形成於該氣體分配板材的該凹陷表面上,其中該第二凹陷通道自該凹陷表面的該中央區域延伸至該凹陷表面的該外週區域,且該第一凹陷通道及該第二凹陷通道分離且互相纏繞;複數個第一縫隙,沿著該第一凹陷通道的長度分隔,其中該等複數個第一縫隙的每一者自該前側表面延伸至該第一凹陷通道的一表面;以及,複數個第二縫隙,沿著該第二凹陷通道的長度分隔,其中該等複數個第二縫隙的每一者自該前側表面延伸至該第二凹陷通道的一表面。
  2. 如請求項1所述的氣體分配設備,其中: 該第一凹陷通道及該第二凹陷通道各更包含設置於一下方部分與該背側表面之間的一上方部分,其中該下方部分的表面具有一磨圓的形狀。
  3. 如請求項2所述的氣體分配設備,其中: 該下方部分的該表面之該磨圓的形狀是一半圓形或半橢圓形。
  4. 如請求項2所述的氣體分配設備,其中: 該等複數個第一縫隙自該第一凹陷通道的該下方部分的該表面延伸至該前側表面。
  5. 如請求項4所述的氣體分配設備,其中: 該等複數個第二縫隙自該第二凹陷通道的該下方部分的該表面延伸至該前側表面。
  6. 如請求項1所述的氣體分配設備,其中: 該等複數個第一縫隙及該等複數個第二縫隙的每一者包含具有一第一直徑的一第一區段、一第二區段、具有一第二直徑的一第三區段,其中該第二區段具有自該第一直徑漸縮至該第二直徑的一形狀。
  7. 如請求項1所述的氣體分配設備,其中: 該等複數個第一縫隙及該等複數個第二縫隙的每一者包含具有一第一直徑的一第一區段、一第二區段、具有一第二直徑的一第三區段,其中該第二直徑介於0.03吋(0.762公厘)與0.15吋(3.81公厘)之間。
  8. 如請求項1所述的氣體分配設備,其中: 該等複數個第一縫隙及該等複數個第二縫隙的每一者具有位於該前側表面且介於0.03吋(0.762公厘)與0.15吋(3.81公厘)之間之一直徑。
  9. 如請求項8所述的氣體分配設備,其中: 該第一凹陷通道及該第二凹陷通道各具有介於0.3吋(7.62公厘)與0.425吋(10.8公厘)之間之一寬度。
  10. 如請求項9所述的氣體分配設備,其中: 該等複數個第一縫隙包含300至900個縫隙,該等複數個第二縫隙包含300至900個縫隙。
  11. 如請求項1所述的氣體分配設備,其中: 該等複數個第一縫隙及該等複數個第二縫隙的每一者具有位於該前側表面且小於0.08吋(2.03公厘)之一直徑。
  12. 如請求項1所述的氣體分配設備,其中: 該等複數個第一縫隙及該等複數個第二縫隙每一者具有位於該第一凹陷通道或該第二凹陷通道的下方部分的該表面且小於0.02吋(0.508公厘)之一直徑。
  13. 如請求項1所述的氣體分配設備,其中: 該第一凹陷通道及該第二凹陷通道之間所間隔的距離介於0.375吋(9.52公厘)與0.475吋(12.07公厘)之間,其中該距離是沿著平行於該背側表面的一方向來加以測量。
  14. 如請求項1所述的氣體分配設備,其中: 該凹陷區域配置成承接一背蓋,其中該背蓋的一表面配置成當該背蓋位於該凹陷區域中時實質覆蓋該第一凹陷通道及該第二凹陷通道。
  15. 如請求項14所述的氣體分配設備,其中: 該背蓋配置成當該背蓋位於該凹陷區域中時接觸該凹陷表面。
TW108139496A 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備 TWI786341B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161548942P 2011-10-19 2011-10-19
US61/548,942 2011-10-19
US13/653,952 2012-10-17
US13/653,952 US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas

Publications (2)

Publication Number Publication Date
TW202024520A true TW202024520A (zh) 2020-07-01
TWI786341B TWI786341B (zh) 2022-12-11

Family

ID=48134979

Family Applications (4)

Application Number Title Priority Date Filing Date
TW101138731A TWI614446B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備與處理腔室
TW106126029A TWI627368B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備與處理腔室
TW108139496A TWI786341B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備
TW107112516A TWI680255B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備與處理腔室

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW101138731A TWI614446B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備與處理腔室
TW106126029A TWI627368B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備與處理腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107112516A TWI680255B (zh) 2011-10-19 2012-10-19 用於提供均勻氣流之氣體分配設備與處理腔室

Country Status (5)

Country Link
US (3) US9109754B2 (zh)
KR (3) KR102204305B1 (zh)
CN (3) CN107365977B (zh)
TW (4) TWI614446B (zh)
WO (1) WO2013059591A1 (zh)

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9914999B2 (en) 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
CN105047543A (zh) * 2015-06-17 2015-11-11 沈阳拓荆科技有限公司 一种涡旋形表面结构的可控温加热盘
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7122102B2 (ja) * 2017-11-08 2022-08-19 東京エレクトロン株式会社 ガス供給システム及びガス供給方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20200243305A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post Plasma Gas Injection In A Separation Grid
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11420217B2 (en) 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113838735A (zh) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 均匀分配气体的装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113437035B (zh) * 2021-05-27 2022-11-22 天津电气科学研究院有限公司 一种高效双面压接型水冷散热器
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
CN114783907B (zh) * 2022-03-24 2022-10-11 盛吉盛半导体科技(北京)有限公司 一种硅晶圆反应设备
CN115125517B (zh) * 2022-06-23 2023-09-08 北京北方华创微电子装备有限公司 气体分配装置及半导体工艺设备

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
EP0413239B1 (en) 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
KR100479750B1 (ko) 1999-02-06 2005-03-30 제일모직주식회사 이형성 및 내마모성이 우수한 고강성 열가소성 수지의 제조방법
US6620289B1 (en) 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2002248345A (ja) * 2001-02-27 2002-09-03 Foi:Kk プラズマ処理装置
KR100450068B1 (ko) 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
JP2006080374A (ja) 2004-09-10 2006-03-23 Sharp Corp 窒化物半導体の製造装置および窒化物半導体レーザ素子
US7473405B2 (en) 2004-10-13 2009-01-06 Chevron U.S.A. Inc. Fluid distribution apparatus for downflow multibed poly-phase catalytic reactor
JP4819411B2 (ja) * 2005-06-22 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100946159B1 (ko) 2007-08-24 2010-03-11 주식회사 케이씨텍 박막 증착장치
KR100920324B1 (ko) 2007-08-24 2009-10-07 주식회사 케이씨텍 박막 증착장치
KR100931331B1 (ko) 2007-08-24 2009-12-15 주식회사 케이씨텍 박막 증착장치의 분사유닛
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR100949914B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100949913B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR20090055443A (ko) 2007-11-28 2009-06-02 주식회사 케이씨텍 원자층 증착 장치
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
KR100960958B1 (ko) 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
KR20090069075A (ko) 2007-12-24 2009-06-29 주식회사 케이씨텍 원자층 증착 장치용 서셉터 어셈블리
KR100936695B1 (ko) 2007-12-26 2010-01-13 주식회사 케이씨텍 원자층 증착장치
KR100936694B1 (ko) 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
KR101473334B1 (ko) 2008-06-30 2014-12-16 주식회사 케이씨텍 원자층 증착 장치
KR101485580B1 (ko) 2008-07-01 2015-01-22 주식회사 케이씨텍 원자층 증착 장치
JP4930495B2 (ja) * 2008-12-04 2012-05-16 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101028408B1 (ko) 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
JP5089669B2 (ja) 2009-10-15 2012-12-05 三菱電機株式会社 薄膜形成装置
KR101072670B1 (ko) 2009-11-09 2011-10-11 주식회사 케이씨텍 원자층 증착장치
KR101081694B1 (ko) 2009-11-10 2011-11-15 주식회사 케이씨텍 다성분 박막의 증착을 위한 원자층 증착장치
KR101095687B1 (ko) 2010-10-25 2011-12-20 주식회사 케이씨텍 8분기 구조를 갖는 원자층 증착 장치
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
KR101136302B1 (ko) 2010-11-16 2012-04-19 주식회사 케이씨텍 원자층 증착 장치 및 그의 플라즈마 감지 방법
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas

Also Published As

Publication number Publication date
TWI680255B (zh) 2019-12-21
US9109754B2 (en) 2015-08-18
TW201804111A (zh) 2018-02-01
KR102010469B1 (ko) 2019-10-21
KR20140077213A (ko) 2014-06-23
CN103890912B (zh) 2018-07-20
TWI786341B (zh) 2022-12-11
TWI627368B (zh) 2018-06-21
CN103890912A (zh) 2014-06-25
KR102166394B1 (ko) 2020-10-15
KR20190095549A (ko) 2019-08-14
CN107365977A (zh) 2017-11-21
KR20200118259A (ko) 2020-10-14
CN108796472B (zh) 2023-06-30
USRE48994E1 (en) 2022-03-29
WO2013059591A1 (en) 2013-04-25
KR102204305B1 (ko) 2021-01-15
CN108796472A (zh) 2018-11-13
TW201840948A (zh) 2018-11-16
CN107365977B (zh) 2023-02-28
US20130098477A1 (en) 2013-04-25
USRE47440E1 (en) 2019-06-18
TWI614446B (zh) 2018-02-11
TW201326632A (zh) 2013-07-01

Similar Documents

Publication Publication Date Title
TWI627368B (zh) 用於提供均勻氣流之氣體分配設備與處理腔室
TWI677593B (zh) 用於提供均勻流動的氣體的設備及方法
US10400335B2 (en) Dual-direction chemical delivery system for ALD/CVD chambers
US8955547B2 (en) Apparatus and method for providing uniform flow of gas
KR20230169367A (ko) 다중-유동 전구체 투여를 위한 장치
TWM570917U (zh) 用於提供均勻氣流之氣體分配設備與處理腔室