KR20230169367A - 다중-유동 전구체 투여를 위한 장치 - Google Patents

다중-유동 전구체 투여를 위한 장치 Download PDF

Info

Publication number
KR20230169367A
KR20230169367A KR1020237039657A KR20237039657A KR20230169367A KR 20230169367 A KR20230169367 A KR 20230169367A KR 1020237039657 A KR1020237039657 A KR 1020237039657A KR 20237039657 A KR20237039657 A KR 20237039657A KR 20230169367 A KR20230169367 A KR 20230169367A
Authority
KR
South Korea
Prior art keywords
gas
delivery channel
gas distribution
inches
inlet
Prior art date
Application number
KR1020237039657A
Other languages
English (en)
Inventor
무한나드 무스타파
무함마드 엠. 라쉬드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230169367A publication Critical patent/KR20230169367A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유입 단부, 배출 단부, 및 길이를 따라 이격되는 복수의 애퍼쳐들을 갖는 전달 채널을 가진 가스 분배 장치가 제공된다. 전달 채널은 파티션들에 의해 복수의 구역들로 분리된다. 복수의 구역들 각각은 유입구 및 배출구를 갖는다.

Description

다중-유동 전구체 투여를 위한 장치{APPARATUS FOR MULTI-FLOW PRECURSOR DOSAGE}
본 개시내용의 실시예들은 일반적으로, 처리 챔버 내로 가스를 유동시키기 위한 장치 및 방법들에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은, 처리 챔버, 이를테면, 원자 층 증착 챔버 또는 화학 기상 증착 챔버로 가스의 유동을 지향시키기 위한 선형 유동 장치에 관한 것이다.
반도체 처리, 평판 디스플레이 처리 또는 다른 전자 디바이스 처리 분야에서, 기상 증착 프로세스들은 기판들 상에 물질들을 증착하는 데 중요한 역할을 해왔다. 전자 디바이스들의 기하학적 구조들이 계속 축소되고 디바이스들의 밀도가 계속 증가함에 따라, 피쳐들의 크기 및 종횡비는, 0.07 ㎛의 피쳐 크기들 및 10 이상의 종횡비들과 같이 더 공격적이게 되어가고 있다. 그에 따라서, 이러한 디바이스들을 형성하기 위한 물질들의 형상추종적 증착이 점점 더 중요해지고 있다.
원자 층 증착(ALD) 프로세스 동안, 기판을 포함하는 프로세스 챔버 내로 반응물 가스들이 도입된다. 일반적으로, 기판의 구역은 제1 반응물과 접촉되고, 제1 반응물은 기판 표면 상에 흡착된다. 이어서, 기판은 제2 반응물과 접촉되고, 제2 반응물은 제1 반응물과 반응하여, 증착되는 물질을 형성한다. 발생하는 유일한 반응들이 기판 표면 상에 있는 것을 보장하기 위해, 각각의 반응물 가스의 전달들 사이에 퍼지 가스가 도입될 수 있다.
CVD 및 ALD 프로세스들은, 처리 챔버에서의 전구체 유동의 불균일성으로 인해 전구체 투여량 효과들을 나타낼 수 있다. 특히, 중심 대 가장자리 균일성은 종종, 샤워헤드 애퍼쳐들의 구성과 관련된 패턴들을 나타낸다. 애퍼쳐들의 크기들 및 간격이 변경되어 균일성을 증가시킬 수 있다. 그러나, 샤워헤드의 수정들은, 어렵고, 고가이고, 가역적이지 않을 수 있다. 따라서, 가스의 균일한 유동을 처리 챔버에 전달하기 위한 장치 및 방법들에 대한 필요성이 관련 기술분야에 존재한다.
본 개시내용의 하나 이상의 실시예는, 프로세스 챔버로의 가스의 유동을 제어하기 위한 가스 분배 장치들에 관한 것이다. 나선형 가스 전달 채널은 길이를 갖고, 길이를 따라 복수의 애퍼쳐들이 이격된다. 나선형 가스 전달 채널은, 가스 전달 채널을 복수의 가스 전달 구역들로 분리하는 적어도 하나의 파티션 벽을 갖는다. 가스 전달 구역들 각각은 구역 길이, 유입구, 및 배출구를 갖는다.
본 개시내용의 부가적인 실시예들은, 전면측 표면 및 후면측 표면을 갖는 판을 포함하는 가스 분배 장치에 관한 것이다. 제1 가스 전달 채널은 판의 후면측 표면 내로 함몰된다. 제1 가스 전달 채널은 길이를 갖는 나선형 형상을 갖고, 파티션 벽들에 의해 길이를 따라 복수의 제1 구역들로 분리된다. 각각의 제1 구역은 유입구, 배출구, 및 구역 길이를 갖는다. 제2 가스 전달 채널은 판의 후면측 표면 내로 함몰된다. 제2 가스 전달 채널은, 제1 가스 전달 채널과 뒤얽힌(intertwine) 길이를 갖는 나선형 형상을 갖는다. 제2 가스 전달 채널은 파티션 벽들에 의해 길이를 따라 복수의 제2 구역들로 분리된다. 각각의 제2 구역은 길이, 배출구, 및 구역 길이를 갖는다. 복수의 제1 애퍼쳐들은 가스 전달 채널의 길이를 따라 이격된다. 복수의 애퍼쳐들 각각은 판의 전면측 표면으로부터 제1 가스 전달 채널로 연장된다. 복수의 제2 애퍼쳐들은 제2 가스 전달 채널의 길이를 따라 이격된다. 제2 애퍼쳐들 각각은 판의 전면측 표면으로부터 제2 가스 전달 채널로 연장된다.
본 개시내용의 추가적인 실시예들은, 처리 챔버의 프로세스 구역에 가스의 유동을 제공하는 방법들에 관한 것이다. 제1 가스의 유동이 가스 분배 장치의 제1 가스 전달 채널에 제공되고, 제2 가스의 유동이 가스 분배 장치의 제2 가스 전달 채널에 제공된다. 제1 가스 전달 채널 및 제2 가스 전달 채널은 판에 형성된다. 제1 가스 전달 채널은, 길이를 갖는 나선형 형상, 및 길이를 따라 이격되는 복수의 애퍼쳐들을 갖는다. 제1 가스 전달 채널은, 제1 가스 전달 채널을 복수의 제1 구역들로 분리하는 적어도 하나의 파티션 벽을 갖는다. 각각의 제1 구역은, 구역 길이, 유입구, 및 배출구를 갖는다. 제2 가스 전달 채널은, 길이를 갖는 나선형 형상, 및 길이를 따라 이격되는 복수의 애퍼쳐들을 갖는다. 제2 가스 전달 채널은, 제2 가스 전달 채널을 복수의 제2 구역들로 분리하는 적어도 하나의 파티션 벽을 갖는다. 각각의 제2 구역은, 구역 길이, 유입구, 및 배출구를 갖는다.
본 개시내용의 상기 인용된 특징들이 달성되고 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 본 개시내용의 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들은 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안된다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 평면도를 도시한다.
도 2는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 단면 등각도를 도시한다.
도 3은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 등각도를 도시한다.
도 4는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 저면도를 도시한다.
도 5는 하나 이상의 실시예에 따른 가스 분배 장치의 부분 단면도를 도시한다.
도 6은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 평면도를 도시한다.
도 7은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 부분 단면도를 도시한다.
도 8은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 분해 부분 단면도의 도면을 도시한다.
도 9는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 등각도의 단면을 도시한다.
도 10은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 등각도를 도시한다.
도 11은 처리 챔버 내로의 다중-구역 가스 유동의 개략적인 표현을 도시한다.
도 12는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 평면도를 도시한다.
도 13은 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치의 평면도를 도시한다.
도 14는 본 개시내용의 하나 이상의 실시예에 따른, 매니폴드를 갖는 가스 분배 장치의 평면도를 도시한다.
도 15는 본 개시내용의 하나 이상의 실시예에 따른 매니폴드의 단면도를 도시한다.
도 16a는 본 개시내용의 하나 이상의 실시예에 따른, 매니폴드를 갖는 가스 분배 장치의 평면도를 도시한다.
도 16b는 본 개시내용의 하나 이상의 실시예에 따른 매니폴드의 개략적인 단면도를 도시한다.
도 17은 본 개시내용의 하나 이상의 실시예에 따른, 매니폴드를 갖는 가스 분배 장치의 개략도를 도시한다.
본 개시내용의 실시예들은, 화학 기상 증착 유형 프로세스들에서 사용하기 위한 가스 분배 장치에 관한 것이다. 본 개시내용의 하나 이상의 실시예는, 설명되는 가스 분배 장치를 포함하는 (주기적 증착으로 또한 지칭되는) 원자 층 증착 프로세스들 및 장치에 관한 것이다. 설명되는 가스 분배 장치는 샤워헤드 또는 가스 분배 판으로 지칭될 수 있지만, 장치가 샤워헤드 또는 판과 같이 형상화될 필요는 없다는 것이 관련 기술분야의 통상의 기술자들에 의해 인지될 것이다. "샤워헤드" 및 "판"이라는 용어들은 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안된다.
도 1 내지 도 4는, 가스 전달 채널(402)을 갖는 가스 분배 장치(400)의 실시예를 예시한다. 이러한 실시예에서, 가스 전달 채널(402)은, 가스 분배 판(403)의 후면측(401)에 함몰된다. 도시된 실시예는, 가스 분배 판(403)의 후면측(401) 내로 함몰된 큰 내측 부분과 함께 훨씬 더 함몰된 전달 채널(402)을 갖는다. 이는, 도 2에 도시된 바와 같이, 전달 채널(402)을 에워싸는, 후면측(401)의 함몰된 영역에 배치될 수 있는 후면 덮개(407)의 부가를 허용한다. 후면 덮개(407)는, 특정 실시예들의 함몰된 후면측(401) 내로 삽입될 때, 가스 분배 판의 실질적으로 동일 평면 상(flush)의 후면측 표면을 생성한다. 후면 덮개(407)는 가스 분배 판(403)의 후면측(401)의 함몰된 영역 내에 맞춰질 필요가 없지만 가스 분배 판(403)의 후면측(401) 상에 또한 직접 놓일 수 있다는 것이 관련 기술분야의 통상의 기술자들에 의해 이해될 것이다. 이러한 종류의 실시예들에서, 전달 채널들이 더 함몰되어 있는 큰 함몰된 영역이 존재하지 않는다. 대신, 전달 채널들은, 가스 분배 판(403)의 후면측(401) 내로 직접 함몰된다.
후면 덮개(407)는, 전달 채널(402)과의 유체 연통을 허용하는 유입 및 배출 튜브들의 통로를 허용하는 개구들을 가질 수 있다. 이는 도 2 및 도 3에서 볼 수 있다. 유입 및 배출 튜브들은 후면 덮개(407)의 일체형 부분일 수 있거나, 유체 누출을 방지하거나 최소화하도록 그러한 방식으로 후면 덮개(407)에 연결되는 별개의 부품들일 수 있다. 복수의 애퍼쳐들(408)은, 가스 분배 판(403)을 통해 가스 분배 판(403)의 전면측(405)으로 연장된다. 이러한 애퍼쳐들은 도 1, 도 2, 및 도 4에서 볼 수 있다. 복수의 애퍼쳐들(408)은 전달 채널의 길이를 따라 고르게 이격될 수 있거나, 채널의 길이를 따라 다양한 간격을 가질 수 있다. 가변 간격은, 전달 채널을 따른 지점들에서 전달 채널로부터의 더 균일한 가스 유동을 생성하는 데 도움이 될 수 있다. 예컨대, 복잡한 형상을 갖는 가스 전달 채널에서, 애퍼쳐들의 간격은 길이를 따라 변할 수 있다.
도시된 실시예에서, 가스 분배 판(403)은 둥글고, 전달 채널(402)은 나선형 형상을 형성한다. 유입 단부(404)는 가스 분배 판(403)의 외측 둘레 구역(420)에서 나선의 바깥쪽에 표시되고, 배출 단부(406)는 가스 분배 판(403)의 중앙 구역(422)에서 나선의 중심에 있다. 유입 단부(404) 및 배출 단부(406)는, 유입 단부(404)가 나선의 중심에 위치되고 배출 단부(406)가 나선의 바깥쪽에 있게 반전될 수 있다는 것이 관련 기술분야의 통상의 기술자들에 의해 이해될 것이다. 일부 실시예들에서, 유입 단부(404) 및 배출 단부(406) 중 하나는 가스 분배 판(403)의 외측 둘레 구역(420)에 위치되고, 유입 단부(404) 및 배출 단부(406) 중 다른 하나는 가스 분배 판(403)의 중앙 구역(422)에 위치된다. 하나 이상의 실시예에서, 유입 단부(404)는 가스 분배 판(403)의 외측 둘레 구역(420)에 위치되고, 배출 단부(406)는 가스 분배 판(403)의 중앙 구역(422)에 위치된다. 특정 실시예들에서, 배출 단부(406)는 가스 분배 판(403)의 외측 둘레 구역(420)에 위치되고, 유입 단부(404)는 가스 분배 판(403)의 중앙 구역(422)에 위치된다.
도 2 및 도 3에서, 유입 단부(404) 및 배출 단부(406)는, 가스 분배 판(403)의 후면 덮개(407)로부터 연장되는 소형 튜브로서 예시된다. 튜브들은 유입 밸브(414)를 통해 유입구(410)와 후면 덮개(407) 사이에서 연장된다. 다른 튜브가 배출 밸브(416)를 통해 배출구(412)와 후면 덮개(407) 사이에서 연장될 수 있다. 튜브들은 관련 기술분야의 통상의 기술자들에게 알려져 있는 임의의 적합한 연결부에 의해 후면 덮개(407)에 연결될 수 있고, 튜브를 통해 유동하는 유체의 전달 채널(402) 내로의 누출을 방지하기 위해 밀봉될 수 있다. 적합한 밀봉 디바이스들은, 플랜지(424)와 후면 덮개(407) 사이에 위치되는 o-링들을 포함하지만 이에 제한되지 않는다. 플랜지(424)는 튜브와 일체로 형성될 수 있거나 또는 후면 덮개에 튜브를 유지하는 별개의 부품일 수 있다. 플랜지(424)는, 스크류들을 포함하지만 이에 제한되지 않는 임의의 적합한 기계적 연결부에 의해 후면 덮개(407)에 연결될 수 있다.
도 5는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 판(403)의 전달 채널(402) 및 애퍼쳐(408)의 한 부분의 단면도를 도시한다. 도 5에 설명된 전달 채널 및 애퍼쳐들은 단지 예시적이고 본 개시내용의 범위를 제한하는 것으로서 간주되어서는 안된다는 것이 관련 기술분야의 통상의 기술자들에 의해 이해될 것이다. 관련 기술분야의 통상의 기술자들은, 가스 분배 판(403)을 통한 전달 채널(402)로부터의 유동을 생성하는 다른 방식들이 존재한다는 것을 이해할 것이다. 도 5에 도시된 전달 채널(402)은, 상부 부분(832) 및 하부 부분(830)의 2개의 부분을 갖는다. 이러한 부분들이 별개의 영역들로서 도시되지만, 상부 부분(832)과 둥근 하부 부분(830) 사이에 끊김 없는 전환이 존재할 수 있다는 것을 이해할 것이다.
부가적으로, 상부 부분(832)은 임의적이고 전달 채널(402)에 포함될 필요가 없다는 것이 이해될 것이다. 상부 부분(832)이 존재하지 않을 때, 하부 부분(830)이 유일한 부분이다. 그에 따라, 전달 채널은 임의의 적합한 형상을 가질 수 있다. 일부 실시예들에서, 전달 채널의 형상은, 채널을 통한 가스들의 유동에 실질적으로 어떠한 간섭도 존재하지 않도록 이루어진다.
상부 부분(832)은 임의의 적합한 형상을 가질 수 있다. 도 5에 도시된 실시예에서, 상부 부분(832)은, 가스 분배 판(403)의 후면측(401)의 표면에 수직으로 연장되는 벽들을 갖는다. 그러나, 상부 부분(832)은 네모진 부분으로부터 후면측(401)으로 경사지는 벽들을 가질 수 있다는 것이 이해될 것이다. 경사는, 더 작은 개구로 테이퍼링되는 더 큰 개구를 가스 분배 판(403)의 후면측(401)에서 제공할 수 있다. 부가적으로, 경사는, 더 큰 개구로 테이퍼링되는 더 작은 개구를 후면측(401)에서 제공할 수 있다. 상부 부분(832)의 길이는 필요에 따라 수정될 수 있다.
일부 실시예들에서, 상부 부분은, 가스 분배 판(403)의 후면측(401)에 실질적으로 수직이고 후면측(401)의 표면 아래로 약 0.01 인치 내지 약 0.3 인치의 범위 내의 길이(L)로 연장되는 측부들을 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "~에 실질적으로 수직"이라는 용어는, 상부 부분의 벽들이 가스 분배 판의 후면측에 대해 약 85 도 내지 약 95 도의 범위 내의 각도를 갖는다는 것을 의미한다. 일부 실시예들에서, 상부 부분은, 후면측의 표면 아래로 약 0.02 인치 내지 약 0.2 인치의 범위, 또는 약 0.05 인치 내지 약 0.15 인치의 범위, 또는 약 0.08 인치 내지 약 0.12 인치의 범위 내의 길이(L)로 연장된다. 하나 이상의 실시예에서, 상부 부분은 후면측의 표면 아래로 약 0.1 인치의 길이로 연장된다.
둥근 하부 부분(830)은, 반원형 및 반타원형을 포함하지만 이에 제한되지 않는 임의의 적합한 단면을 가질 수 있다. 둥근 하부 부분의 직경으로 또한 지칭되는 둥근 하부 부분의 폭은 필요에 따라 수정될 수 있다. 상부 부분의 폭은 필요에 따라 수정될 수 있다. 전달 채널의 직경은, 일반적으로, 나선형의 루프들의 수에 영향을 줄 수 있다. 일부 실시예들에서, 도 5에 도시된 바와 같이, 상부 부분의 폭은 하부 부분의 직경과 거의 동일하다. 다양한 실시예들의 전달 채널은, 약 0.3 인치(~7.5 mm) 내지 약 0.45 인치(~11.5 mm)의 범위, 또는 약 0.325 인치(~8.25 mm) 내지 약 0.425 인치(~11 mm)의 범위, 또는 약 0.35 인치(~9 mm) 내지 약 0.40 인치(~10 mm)의 범위 내의 직경을 갖는다. 하나 이상의 실시예에서, 전달 채널은 약 0.375 인치(~9.5 mm)의 직경을 갖는다.
애퍼쳐들(408)의 특정 형상은 애퍼쳐들을 통한 가스들의 원하는 유동에 따라 변할 수 있다. 도 5의 실시예에서, 애퍼쳐(408)는, 제1 부분(834), 제2 부분(836), 및 제3 부분(838)의 3개의 별개의 부분을 갖는다. 또한, 부분들의 수 및 부분들의 형상은 단지 일 실시예를 예시하는 것이고, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안된다. 제1 부분(834)은, 전달 채널(402)의 둥근 하부 부분(830)으로부터 가스 분배 판(403)의 전면측(405)을 향해 연장된다. 제1 부분(834)은 제1 직경(D1)을 갖는다. 제2 부분(836)은 제1 부분(834)으로부터 전면측(405)을 향해 연장되고, 제1 직경(D1)으로부터 일반적으로 제1 직경보다 작은 제2 직경(D2)으로 테이퍼링되는 직경을 갖는다. 제3 부분(838)은 제2 부분(836)의 단부로부터 연장되고, 가스 분배 판(403)의 전면측(405)에서 끝난다. 제3 부분(838) 및 전면측(405)의 교차점에서, 홀(840)이 형성된다. 전달 채널(402)을 통해 유동하는 가스들은 이러한 홀(840)을 통해 처리 챔버로 가스 분배 판(403)을 빠져나간다. 홀(840)은 제2 직경(D2)과 거의 동일한 직경을 갖는다. 다양한 실시예들에서, 홀(840)의 직경은, 약 0.01 인치 내지 약 0.25 인치의 범위, 또는 약 0.02 인치 내지 약 0.2 인치의 범위, 또는 약 0.03 인치 내지 약 0.15 인치의 범위, 또는 약 0.04 인치 내지 약 0.1 인치의 범위 내에 있다. 일부 실시예들에서, 홀(840)은 약 0.1 인치 미만, 또는 약 0.08 인치 미만, 또는 약 0.06 인치 미만, 또는 약 0.04 인치 미만, 또는 약 0.02 인치 미만, 또는 약 0.01 인치 미만의 직경을 갖는다.
전달 채널이 가스 분배 판의 외측 둘레 가장자리로부터 중앙 구역으로, 또는 그 반대로 나선형이 됨에 따라, 단일 채널일 수 있다고 하더라도 외견상의 복수의 인접한 채널들이 단면에서 관측가능하다. 도 2는 이러한 외견상의 복수의 채널들을 도시한다. 채널들, 또는 나선의 루프들 사이의 분리는 일정 거리로 분리된다. 일부 실시예들에서, 중심들로부터 측정되는 채널들 또는 단일 채널의 루프들 사이의 거리는, 약 0.375 인치 내지 약 0.475 인치의 범위, 또는 약 0.40 인치 내지 약 0.45 인치의 범위, 또는 약 0.41 인치 내지 약 0.43 인치의 범위 내에 있다. 하나 이상의 실시예에서, 인접한 채널들의 중심들 사이의 평균 거리는 약 0.42 인치이다.
도 1 내지 도 4에 도시된 가스 채널의 길이는, 채널의 직경 및 인접한 채널들 사이의 거리를 포함하지만 이에 제한되지 않는 다수의 인자들에 따라 변할 수 있다. 다양한 실시예들에서, 전달 채널은, 약 140 인치 내지 약 340 인치의 범위, 또는 약 180 인치 내지 약 300 인치의 범위, 또는 약 200 인치 내지 약 280 인치의 범위, 또는 약 220 인치 내지 약 260 인치의 범위 내의 길이를 갖는다. 하나 이상의 실시예에서, 전달 채널은 약 240 인치의 길이를 갖는다.
애퍼쳐들의 수는 또한, 전달 채널의 길이 및 애퍼쳐들의 간격을 포함하지만 이에 제한되지 않는 다수의 인자들에 의존한다. 단일 나선형 채널을 갖는 일부 실시예들에서, 약 300개 내지 900개의 범위 내의 애퍼쳐, 또는 약 400개 내지 약 800개의 범위 내의 애퍼쳐, 또는 약 500개 내지 약 700개의 범위 내의 애퍼쳐가 존재한다. 다양한 실시예들에서, 채널의 길이를 따라 약 300개, 400개, 500개, 600개, 700개, 또는 800개 초과의 애퍼쳐가 존재한다. 하나 이상의 실시예에서, 전달 채널의 길이를 따라 약 600개의 애퍼쳐가 존재한다.
실시예에서, 도 1에 도시된 바와 같이, 가스 전달 판(403)은, 가스 전달 판(403)의 후면측에 단일 전달 채널(402)을 포함한다. 전달 채널(402)은, 가스 분배 판(403)의 외측 둘레 구역(420)에 위치되는 유입 단부(404)를 갖는다. 전달 채널(402)은 유입 단부(404)로부터 가스 분배 판(403)의 중앙 구역(422)에 위치된 배출 단부(406)로의 내측 나선형 경로를 따른다. 전달 채널(402)은, 유입 단부(404)와 배출 단부(406) 사이의 거리로서 정의되는 약 240 인치의 전체 길이를 갖는다. 복수의 애퍼쳐들(408)이 전달 채널(402)의 전체 길이를 따라 이격된다. 전달 채널(403)의 전체 길이를 따라, 약 500개의 애퍼쳐 내지 약 700개의 애퍼쳐의 범위 내의 애퍼쳐가 존재한다. 전달 채널(403)은 약 0.375 인치의 평균 직경을 갖고, 나선형 채널의 인접한 부분들은 중심에서 중심까지 약 0.42 인치 이격된다.
본 개시내용의 다른 실시예가 도 6 내지 도 10에 도시된다. 가스 분배 장치(900)는, 가스 분배 판(903)의 후면측(901)에 함몰된 2개의 전달 채널(902a, 902b)을 포함한다. 제1 전달 채널(902a)은, 제1 유입 단부(904a) 및 제1 배출 단부(906a), 및 제1 전달 채널(902a)의 길이를 따라 이격되는 복수의 제1 애퍼쳐들(908a)을 갖는다. 제2 전달 채널(902b)은, 제2 유입 단부(904b), 제2 배출 단부(906b), 및 제2 전달 채널(902b)의 길이를 따라 이격되는 복수의 제2 애퍼쳐들(908b)을 갖는다.
제1 유입구(910a)는 제1 전달 채널(902a)의 제1 유입 단부(904a)에 연결된다. 제1 유입구(910a)는 가스 소스에 연결되도록 적응된다. 제1 배출구(912a)는 제1 전달 채널(902a)의 제1 배출 단부(906a)에 연결된다. 제1 배출구(912a)는 진공 소스에 연결되도록 적응된다. 제2 유입구(910b)는 제2 전달 채널(902b)의 제2 유입 단부(904b)에 연결된다. 제2 유입구(910b)는 가스 소스에 연결되도록 적응된다. 제2 배출구(912b)는 제2 전달 채널(902b)의 제2 배출 단부(906b)에 연결된다. 제2 배출구(912a)는 진공 소스에 연결되도록 적응된다.
도 6 내지 도 10에 도시된 실시예에서, 전달 채널들(902a, 902b) 각각은 나선형 형상을 형성한다. 하나 이상의 실시예는, 도면들에 도시된 것과 같이, 나선형 형상의 길이를 따라 뒤얽힌 2개의 전달 채널(902a, 902b)을 갖는다. 2개의 전달 채널(902a, 902b)은 나선형 이외의 형상들을 가질 수 있고 뒤얽힐 필요는 없다는 것이 관련 기술분야의 통상의 기술자들에 의해 이해될 것이다. 특정 실시예들에서, 복수의 제1 애퍼쳐들(908a) 및 제2 애퍼쳐들(908b)은, 가스 분배 판(903)을 통해 가스 분배 판(903)의 전면측(905)으로 연장된다.
일부 실시예들에서, 전달 채널들(902a, 902b) 각각은, 유입 단부(904a, 904b) 및 배출 단부(906a, 906b) 중 하나는 가스 분배 판(903)의 외측 둘레 구역(920)에 위치되고 유입 단부(904a, 904b) 및 배출 단부(906a, 906b) 중 다른 하나는 가스 분배 판(903)의 중앙 구역(922)에 위치되는 나선형 형상을 형성한다. 하나 이상의 실시예에서, 두 채널들(902a, 902b) 모두의 유입 단부들(904a, 904b)은 외측 둘레 구역(920)에 위치되고, 두 채널들(902a, 902b) 모두의 유입 단부들(904a, 904b)은 가스 분배 판(903)의 중앙 구역(922)에 위치된다. 특정 실시예들에서, 두 채널들(902a, 902b) 모두의 유입 단부들(904a, 904b)은 중앙 구역(922)에 위치되고, 두 채널들(902a, 902b) 모두의 유입 단부들(904a, 904b)은 가스 분배 판(903)의 외측 둘레 구역(920)에 위치된다. 하나 이상의 실시예에서, 유입 단부들(904a, 904b) 중 하나는 외측 둘레 구역(920)에 위치되고, 다른 하나의 유입 단부(904b, 904a)는 중앙 구역(922)에 위치되며, 각각의 개별 전달 채널(902a, 902b)의 다른 단부에 배출 단부들(906a, 906b)이 있다.
도 8은, 도 6에 도시된 가스 분배 판(903)에 대한 후면 덮개(907)를 도시한다. 전달 채널(902a, 902b)의 유입 단부들(904a, 904b) 및 배출 단부들(906a, 906b)과 대략적으로 정렬되는, 후면 덮개(907)에 위치된 4개의 홀(번호가 매겨지지 않음)이 존재한다. 홀들은, 유입구(910a, 910b) 및 배출구(912a, 912b)에서 채널들(902a, 902b)에 연결되기 위한 접근 지점을 제공하는 데 사용될 수 있다. 일부 실시예들에서는, 거기서, 유입구(910a, 910b) 및 배출구(912a, 912b)가 후면 덮개(907)와 일체로 형성된다. 부가적으로, 도 9 및 도 10에서 보이는 바와 같이, 하나 이상의 유입 밸브(914a, 914b) 및 배출 밸브(916a, 916b)가 존재할 수 있다.
도 9 및 도 10은 본 개시내용의 다양한 실시예들에 따른 가스 분배 장치(900)의 사시도들을 도시한다. 유입구들(910a, 910b)은 플랜지(924a, 924b)로 후면 덮개(907)에 연결된 것으로 도시된다. 플랜지(924a, 924b)의 연결 및 기밀 밀봉은 관련 기술분야의 통상의 기술자들에게 알려져 있는 바와 같은 임의의 적합한 메커니즘 및 기법들에 의해 달성될 수 있다. 배출구들(912a, 912b)은 또한 플랜지로 또는 블록 연결부(925)로 후면 덮개(907)에 연결될 수 있다. 블록(925)은, 후면 덮개(907)와 일체로 형성될 수 있거나 별개의 부품일 수 있다. 블록(925)은, 배출 밸브들(916a, 916b)에 대한 부가적인 지지 및 공간을 제공하여, 연결 튜브들이 후면 덮개(907)로부터 비스듬히 돌출되는 것을 허용할 수 있다. 유입구들(910a, 910b) 및 유입 밸브들(914a, 914b)이 가스 분배 판(903)의 바깥쪽 둘레 구역(920) 상에 도시되고 배출구들(912a, 912b) 및 배출 밸브들(916a, 916b)이 가스 분배 판(903)의 중앙 구역(922)에 도시되지만, 이러한 구성요소들은 반전되거나 상호혼합될 수 있고, 도면들은 단지 일 실시예를 예시한다는 것이 이해될 것이다.
전달 채널들이 가스 분배 판의 외측 둘레 가장자리로부터 중앙 구역으로, 또는 그 반대로 나선형이 됨에 따라, 외견상의 복수의 인접한 채널들이 단면에서 관측가능하다. 나선들이 뒤얽힘에 따라, 모든 각각의 인접한 채널의 가스는 다른 유입구(910a, 910b)로부터 비롯된다. 채널들은 인접한 채널들로부터 일정 거리만큼 분리된다. 일부 실시예들에서, 채널의 중심으로부터 측정되는 채널들 사이의 거리는, 약 0.375 인치 내지 약 0.475 인치의 범위, 또는 약 0.40 인치 내지 약 0.45 인치의 범위, 또는 약 0.41 인치 내지 약 0.43 인치의 범위 내에 있다. 하나 이상의 실시예에서, 인접한 채널들의 중심들 사이의 평균 거리는 약 0.42 인치이다.
도 6 내지 도 10에 도시된 가스 채널의 길이는, 채널의 직경 및 인접한 채널들 사이의 거리를 포함하지만 이에 제한되지 않는 다수의 인자들에 따라 변할 수 있다. 다양한 실시예들에서, 전달 채널들 각각은, 약 70 인치 내지 약 170 인치의 범위, 또는 약 90 인치 내지 약 150 인치의 범위, 또는 약 100 인치 내지 약 140 인치의 범위, 또는 약 110 인치 내지 약 130 인치의 범위 내의 길이를 갖는다. 하나 이상의 실시예에서, 전달 채널은 약 120 인치의 길이를 갖는다.
애퍼쳐들의 수는 또한, 전달 채널의 길이 및 애퍼쳐들의 간격을 포함하지만 이에 제한되지 않는 다수의 인자들에 의존한다. 단일 나선형 채널을 갖는 일부 실시예들에서, 약 150개 내지 450개의 범위 내의 애퍼쳐, 또는 약 200개 내지 약 400개의 범위 내의 애퍼쳐, 또는 약 250개 내지 약 350개의 범위 내의 애퍼쳐가 존재한다. 다양한 실시예들에서, 채널의 길이를 따라 약 150개, 200개, 250개, 300개, 350개, 또는 400개 초과의 애퍼쳐가 존재한다. 하나 이상의 실시예에서, 전달 채널들 각각의 길이를 따라 약 300개의 애퍼쳐가 존재한다.
많은 CVD/ALD 프로세스들은 웨이퍼의 중심으로부터 가장자리로 웨이퍼 상의 금속 증착 균일성에 대해 전구체 투여량 효과들을 나타낸다. 샤워헤드에서의 또는 가스 전달 채널의 길이를 따른 가변 홀 크기들이 변경되어 증착 프로세스들의 균일성을 개선할 수 있다. 본 개시내용의 일부 실시예들은, 중심 대 가장자리 불균일성이 개선된 나선형 가스 분배 장치를 제공한다. 일부 실시예들은, 균일성을 개선하기 위해, 상이한 양들의 전구체 유동을 갖는 상이한 가스 전달 구역들을 포함한다. 일부 실시예들에서, 전구체 유동의 양은 다양한 구역들로의 유동 경로 내에서 가변 치수들의 오리피스들을 사용하여 제어된다.
일부 실시예들에서, 나선형 샤워헤드에는 챔버 내로의 전구체 유동을 위한 상이한 구역들이 제공된다. 샤워헤드는, CVD/ALD 프로세스들을 위한 다수의 전구체들에 대한 N개의 구역(예컨대, N = 3)이 있도록 설계될 수 있다. 각각의 전구체에 대해, 각각의 구역에서의 유동은 개별 밸브들(예컨대, PLC 밸브들)에 의해 제어될 수 있고, 전구체의 투여량은 가변 크기들을 갖는 개별 오리피스에 의해 제어될 수 있다. N개의 밸브들은 웨이퍼에 대한 순차적 전구체 노출 동안 "개방 & 폐쇄" 동작을 하도록 동기화될 수 있다.
일부 실시예들은, 상이한 구역들에 가스 유동을 제공하기 위해 가스라인 매니폴드를 제공한다. 일부 실시예들의 매니폴드는, 챔버를 퍼징하고 챔버의 상류측에 양의 압력을 유지하기 위한 통합 퍼지를 포함한다. 매니폴드는, 샤워헤드를 통해 N개의 구역에서 전구체를 유동시키고 각각의 전구체에 대해 단일 덤프라인(예컨대, 2개의 전구체에 대해 2개의 덤프라인)을 사용하도록 구성될 수 있다. 일부 실시예들에서, 프로세스 조정가능성을 증가시키기 위해 오리피스 대신 니들 밸브가 사용된다. 일부 실시예들에서, 니들 밸브 및 오리피스가 함께 사용되어 프로세스를 제어한다. 본 개시내용의 일부 실시예들은, 프로세스 요건들에 기반하여 다수의 가스 유동들을 유지하기 위한 장치를 제공한다. 일부 실시예들은, 평형 유동 시간들이 개선된, 즉, 가스 유동에서의 변화들이 종래의 가스 분배 장치에 의한 것보다 빠르게 웨이퍼 표면에 도달하는 장치를 제공한다.
도 11은 하나 이상의 실시예에 따른 유동 패턴의 개략적인 표현을 제공한다. 기판(10)은, 기판이 샤워헤드(14)로부터 일정 거리로 이격되도록 기판 지지부(12) 상에 위치된다. 예시된 유동 패턴은 3개의 구역, 즉, 내측 구역(21), 중간 구역(22), 및 외측 구역(23)을 갖는다. 내측 구역(21)은 웨이퍼의 중앙에 위치되고, 외측 구역(23)은 웨이퍼의 외측 둘레에 위치된다. 내측 구역(21)에서 유동하는 전구체(31)의 양은 중간 구역(22)에서 유동하는 전구체(32)의 양보다 적고, 중간 구역(22)에서 유동하는 전구체(32)의 양은 외측 구역(23)에서 유동하는 전구체(33)의 양보다 적다(가변 길이들의 화살표들로 표시됨). 예시된 실시예는 단지 하나의 가능한 구성이며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안된다. 균일성을 개선하기 위해, 예컨대, 구역들의 수, 상대적 전구체 양들 및/또는 유량들이 변경될 수 있다.
도 12는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치(100)를 예시한다. 가스 분배 장치(100)는, 후면측 표면(112) 및 전면측 표면(보이지 않음)을 갖는 판(110)을 포함한다. 가스 전달 채널(120)은 판(110)의 후면측 표면(112) 상에 있다. 일부 실시예들에서, 전달 채널(120)은, 도 2에 예시된 바와 같이, 판(110)의 후면측 표면(112) 내로 함몰된다.
가스 전달 채널(120)은 나선형 채널로서 예시된다. 이는 단지 하나의 가능한 구성을 나타낸다. 일부 실시예들에서, 가스 전달 채널(120)은 불규칙한 형상이다.
나선형 가스 전달 채널(120)은, 나선의 최외측 지점(121)에서 채널(120)을 따라 최내측 지점(122)까지로 정의되는 총 길이를 갖는다. 복수의 애퍼쳐들이 가스 전달 채널(120)의 길이를 따라 이격된다. 애퍼쳐들은 도 1 내지 도 10의 실시예들에서 볼 수 있고, 명확화를 위해 도 12 및 도 13에서는 생략된다.
나선형 가스 전달 채널(120)은 채널(120)의 길이를 따라 적어도 하나의 파티션 벽(130)을 갖는다. 파티션 벽(130)은 채널(120)을 복수의 구역들로 분리한다. 예시된 실시예에서, 채널(120)을 3개의 구역, 즉, 내측 구역(131), 중간 구역(132), 및 외측 구역(133)으로 분리하는 2개의 파티션 벽(130)이 존재한다. 가스 전달 구역들 각각은, 나선형 가스 전달 채널(120)의 길이를 따라 구역의 최내측 지점 및 최외측 지점으로부터 측정된 구역 길이를 갖는다. 가스 전달 구역들(131, 132, 133) 각각은 유입구(131a, 132a, 133a) 및 배출구(131b, 132b, 133b)를 갖는다. 유입구들 및 배출구들은, 구역 길이의 어느 단부가 논의되는지를 표현하기 위해 도면들 상에서 원들로서 예시된다. 유입 및 배출 개구들은 도면들 상에 표시된 표시들과 정렬된 채로 후면 덮개에 있을 수 있다.
예시된 실시예에서, 구역들 각각의 유입구들(131a, 132a, 133a)은 나선의 (중심으로부터 더 먼) 외측 단부에 도시되고, 배출구들(131b, 132b, 133b)은 나선의 (중심에 더 가까운) 내측 단부에 도시된다. 그러나, 유입구들 및 배출구들은, 유입구들이 내측 단부에 더 가깝고 배출구들이 나선의 외측 단부에 더 가깝도록 반전될 수 있다. 일부 실시예들에서, 유입구들 중 일부는 구역들의 내측 단부에 있고, 배출구들 중 적어도 하나는 적어도 하나의 구역의 내측 단부에 있다.
도면들은, 파티션들에 의해 3개의 구역이 형성되는 실시예들을 예시한다. 그러나, 3개보다 많거나 적은 구역이 존재할 수 있다. 일부 실시예들에서, 2개의 구역이 존재한다. 일부 실시예들에서, 4개, 5개, 6개, 또는 그 초과의 구역이 존재한다.
도 13은 본 개시내용의 다른 실시예를 예시하며, 여기서, 2개의 전달 채널들, 즉, 제1 전달 채널(120) 및 제2 전달 채널(125)이 존재한다. 제1 전달 채널(120) 및 제2 전달 채널(125) 각각은 판(110)의 후면측(112)에 함몰된다. 예시된 실시예에서, 전달 채널들(120, 125) 각각은 뒤얽힌 나선형 형상들을 형성한다. 파티션들(130)은 채널들(120, 125) 각각을 3개의 구역으로 분리한다. 제1 전달 채널(120)은 내측 구역(131), 중간 구역(132), 및 외측 구역(133)으로 분리되고, 제2 전달 채널(125)은 내측 구역(136), 중간 구역(137), 및 외측 구역(138)으로 분리된다.
일부 실시예들의 유입구들(131a, 132a, 133a, 136a, 137a, 138a)은, 도 13에 도시된 바와 같이, 구역들의 외측 단부에 위치되고, 배출구들(131b, 132b, 133b, 136b, 137b, 138b) 각각은 구역들의 내측 단부에 위치된다. 일부 실시예들에서, 유입구들 각각은 구역들의 내측 단부에 있고, 배출구들 각각은 구역들의 외측 단부에 있다. 일부 실시예들에서, 제1 전달 채널(120)의 구역들에 대한 유입구들 각각은 구역들의 내측 단부에 위치되고, 제2 전달 채널(125)의 구역들에 대한 유입구들 각각은 구역들의 외측 단부에 위치된다.
도 14는, 일부 실시예들의 가스 분배 장치(100)의 개략적인 평면도를 도시한다. 후면 덮개(107)는, 도 1에 도시된 바와 같이, 판을 덮고 가스 전달 채널들을 에워싸도록 크기가 정해진다. 가스 분배 매니폴드(200)가 후면 덮개(107) 상에 있다. 가스 분배 매니폴드(200)는, 복수의 유입 통로들(210)(점선들로 도시됨) 및 복수의 배출 통로들(212)(점선들로 도시됨)을 갖는다. 유입 통로들(210)은 구역 유입구들과 가스 소스(도시되지 않음) 사이의 유체 연통을 제공하고, 배출 통로(212)는 구역 배출구들과 배기부(도시되지 않음) 사이의 유체 연통을 제공한다.
도 15는, 내부 배출 통로(212)를 도시하는, 매니폴드(200)의 단면도를 도시한다. 일부 실시예들에서, 제1 전달 채널에 대한 구역 배출구들은, 제1 배기 개구(214a)로 병합되는, 매니폴드 내의 복수의 배출 통로들을 통해 배기하도록 유체 연통한다. 도 15에 예시된 6개의 배출 통로(212)는 도 14에 도시된 6개의 구역 중 하나와 유체 연통한다. 일부 실시예들에서, 제2 전달 채널에 대한 구역 배출구들은, 제2 배기 개구(214b)로 병합되는, 매니폴드(200) 내의 복수의 배출 통로들(212)을 통해 배기하도록 유체 연통한다.
다시 도 14를 참조하면, 일부 실시예들에서, 각각의 매니폴드 유입 개구(211)는 밸브(220) 및 임의적 오리피스(230)와 유체 연통한다. 일부 실시예들에서, 오리피스들(230)이 존재하지 않는다. 일부 실시예들에서, 밸브들(220)보다 적은 오리피스들(230)이 존재한다. 일부 실시예들에서, 각각의 밸브(220)는 오리피스(230)를 갖는다. 사용 시, 오리피스들(230)은, 도관들(222)을 통해 밸브들(220)로 유동하는 전구체의 양이 제어될 수 있도록 상이할 수 있다. 전구체의 양을 제한하기 위해 더 작은 크기의 오리피스(230)가 사용될 수 있고, 매니폴드(200) 내로 유동하는 전구체의 양을 증가시키기 위해 더 큰 크기의 오리피스(230)가 사용될 수 있다.
일부 실시예들의 매니폴드(200)는, 퍼지 가스 소스에 연결될 수 있는 퍼지 유입구(240)를 포함한다. 퍼지 유입구는, 채널(150)(도 12 및 도 13 참조)을 통해 처리 챔버 내로 퍼지 가스를 유동시키는 데 사용될 수 있다. 일부 실시예들에서, 퍼지 유입구는, 밸브들(220)을 통해 어떠한 전구체도 유동하지 않을 때 챔버의 상류에 양의 압력을 제공하는 데 사용될 수 있다.
일부 실시예들에서, 밸브들(220) 각각은 제어기(250)와 통신한다. 일부 실시예들의 제어기(250)는, 전달 채널들 중 하나와 유체 연통하는 밸브들(220) 전부를 동시에 개방하도록 구성된다. 예컨대, 제1 가스 전달 채널에 유동을 제공하는 밸브들 전부가 동시에 개방될 수 있고, 제2 가스 전달 채널에 유동을 제공하는 밸브들 전부가 폐쇄될 수 있다. 밸브 상태들은, 제2 가스 전달 채널이 활성이고 제1 가스 전달 채널이 비활성이도록 반전될 수 있다. 한 번에 전구체들 중 하나의 전구체만을 유동시킴으로써, 원자 층 증착 프로세스가 수행될 수 있다. 일부 실시예들에서, 화학 기상 증착 유형 프로세스를 제공하기 위해, 가스 전달 채널들 둘 모두가 동시에 활성이다. 일부 실시예들에서, 하나 이상의 밸브는 배기 라인들(217)과 유체 연통한다. 예컨대, 도 14에 도시된 실시예에서, 배기 라인들(217)은 병합 지점(216)에서 단일 배기 라인으로 병합되고, 병합 지점(216)의 상류의 임의적 밸브들(218) 및 병합 지점(216)의 하류의 임의적 밸브(219)를 갖는다. 일부 실시예들에서, 배기 라인은 병합 지점(216)의 상류에 하나 이상의 밸브(218)를 갖는다. 일부 실시예들에서, 배기 라인은, 병합 지점(216)의 상류에 하나 이상의 밸브(218)를 갖고 병합 지점(216)의 하류에 밸브들을 갖지 않는다. 일부 실시예들에서, 배기 라인은 병합 지점(216)의 하류에 밸브(219)를 갖는다. 일부 실시예들에서, 배기 라인은, 병합 지점(216)의 하류에 밸브(219)를 갖고 병합 지점(216)의 상류에 밸브들을 갖지 않는다.
도 14에 예시된 실시예는 2개의 별개의 도관(222a, 222b)을 갖는다. 일부 실시예들에서, 2개의 도관(222a, 222b)은, 도관(222a) 및 도관(222b) 둘 모두에 단일 가스 유동을 제공하기 위해, 예시의 상류에 연결된다. 일부 실시예들에서, 도관(222a) 및 도관(222b)은 별개의 가스 소스들(도시되지 않음)에 연결되고 그와 유체 연통한다. 도관(222a)은 오리피스들(230a) 및 밸브들(220a)을 통해 매니폴드(200)에 연결되고, 도관(222b)은 오리피스들(230b) 및 밸브들(220b)을 통해 매니폴드(200)에 연결된다.
도 16a는 매니폴드(200)의 다른 실시예를 도시하며, 여기서, 2개의 도관(322a, 322b)이 상이한 크기의 유입 라인들을 통해 매니폴드에 연결된다. 예시된 실시예에서, 가스는 밸브(320a) 및 오리피스(330a)를 통해 도관(322a) 내로 유동한다. 도관(322a)에서의 가스 유동은 3개의 유입 라인(323a, 323b, 323c)으로 분할되고, 각각의 유입 라인(323a, 323b, 323c)은 유입 개구들(311a, 311b, 311c)에 각각 연결된다. 가스는 밸브(320b) 및 오리피스(330b)를 통해 도관(322b) 내로 유동한다. 도관(322b)에서의 가스 유동은 3개의 유입 라인(324a, 324b, 324c)으로 분할되고, 각각의 유입 라인(324a, 324b, 324c)은 유입 개구(321a, 321b, 321c)에 각각 연결된다. 유입 라인들의 크기들은 상이하며, 이에 따라, 개구들에 들어가는 가스 유동 컨덕턴스, 또는 가스 전달 구역들에서의 가스 유동이 제어된다. 컨덕턴스는, 상이한 전달 구역들에서의 컨덕턴스가 수정될 수 있도록 유입 라인들의 크기들을 변경함으로써 변경될 수 있다. 일부 실시예들에서, 상이한 전달 구역들에서의 컨덕턴스들은 거의 동일하다(예컨대, 서로의 5 % 이내임). 일부 실시예들에서, 전달 구역들 각각에서의 컨덕턴스들은 상이하다. 유입 라인들의 크기들은, 유입 라인들의 길이 또는 직경 중 하나 이상을 변경함으로써 변경될 수 있다. 도 16b는 도 16a와 유사한 실시예의 매니폴드(200)의 일부분을 도시하며, 여기서, 도관들(322a, 322b)은 매니폴드(200) 내에 있고, 단일 소스 라인(310a)이 도관(322a)에 연결된다. 예시된 실시예에서, 유입 라인들(323a, 323b, 323c)은 매니폴드(200) 내에서 도관(322a)에 연결된다. 예시된 실시예는 도 16a에 예시된 실시예의 좌측을 포함한다. 우측은 도시된 좌측의 거울상이다.
도 17은 매니폴드(200)의 다른 실시예를 도시하며, 여기서, 개구들(311a, 311b, 311c)에 들어가는 또는 가스 전달 구역들에서의 가스 유동 컨덕턴스가 상이한 크기의 오리피스(340a, 340b, 340c) 개구들(341a, 341b, 341c)에 의해 각각 제어된다. 개구들(341a, 341b, 341c)의 크기들은, 상이한 전달 구역들에서의 컨덕턴스가 수정될 수 있도록 변경될 수 있다. 일부 실시예들에서, 상이한 전달 구역들에서의 컨덕턴스들은 거의 동일하다(예컨대, 서로의 5 % 이내임). 일부 실시예들에서, 전달 구역들 각각에서의 컨덕턴스들은 상이하다. 일부 실시예들에서, 소스 라인(310a)에 가장 가까운 오리피스(340a)의 개구(341a)는 소스 라인(310a)으로부터 더 먼 오리피스(340c)의 개구들(341c)보다 작다.
일부 실시예들에서, 도 14에 도시된 바와 같이, 매니폴드(200)는 하나 이상의 보조 개구(260)를 포함한다. 보조 개구들(260)은, 전체 매니폴드(200)를 통과할 수 있거나 부분적 개구들일 수 있다. 일부 실시예들에서, 보조 개구들(260)은, 매니폴드, 가스들, 및/또는 기판의 온도를 측정하기 위한 열 프로브에 대한 홀더로서 사용된다. 예컨대, 매니폴드를 통해 기판 온도를 측정하기 위해 복수의 고온계들이 삽입될 수 있다.
일부 실시예들에서, 적어도 하나의 제어기(250)가 개별 밸브들(220)에 연결되어 가스 분배 장치(100)를 통한 가스의 유동을 제어한다. 제어기(250)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서, 마이크로제어기, 마이크로프로세서 등 중 하나일 수 있다.
일부 실시예들에서, 적어도 하나의 제어기(250)는, 프로세서(252), 프로세서(252)에 결합되는 메모리(254), 프로세서(252)에 결합되는 입력/출력 디바이스들(256), 및 상이한 전자 및 기계적 구성요소들 사이의 통신을 위한 지원 회로들(258)을 갖는다. 메모리(254)는, 일시적인 메모리(예컨대, 랜덤 액세스 메모리) 및 비-일시적인 메모리(예컨대, 저장소) 중 하나 이상을 포함할 수 있다.
프로세서의 메모리(254) 또는 컴퓨터 판독가능 매체는, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소와 같은, 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 메모리(254)는, 시스템의 파라미터들 및 구성요소들을 제어하도록 프로세서(252)에 의해 동작가능한 명령어 세트를 보유할 수 있다. 통상적인 방식으로 프로세서를 지원하기 위해 지원 회로들(258)이 프로세서(252)에 결합된다. 회로들은, 예컨대, 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다.
프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 장치로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 프로세서(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법 중 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 그러므로, 프로세스는 소프트웨어로 구현될 수 있고, 컴퓨터 시스템을 사용하여 하드웨어로, 예컨대 주문형 집적 회로 또는 다른 유형의 하드웨어 구현으로서, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 범용 컴퓨터를, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 변환한다.
일부 실시예들에서, 제어기(250)는, 방법들의 실시예들을 수행하도록 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성을 갖는다. 제어기(250)는, 중간 구성요소들에 연결되어 방법들의 기능들을 수행하게 그들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(250)는, 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어부들 등 중 하나 이상에 연결되어 그들을 제어하도록 구성될 수 있다.
일부 실시예들의 제어기(250)는, 밸브를 개방 및/또는 폐쇄하도록 하나 이상의 밸브(220)를 제어하기 위한 구성; 하나 이상의 배기 밸브(218, 219)를 제어하기 위한 구성; 퍼지 유입구(240) 내로의 가스의 유동을 제어하기 위한 구성; 또는 하나 이상의 열 센서에 의해 제공되는 정보를 판독하기 위한 구성으로부터 선택되는 하나 이상의 구성을 갖는다.
본 개시내용의 일부 실시예들은, 처리 챔버의 프로세스 구역에 가스의 유동을 제공하는 방법들에 관한 것이다. 제1 가스의 유동이 가스 분배 장치의 제1 가스 전달 채널에 제공되고, 제2 가스의 유동이 가스 분배 장치의 제2 가스 전달 채널에 제공된다. 제1 가스 및 제2 가스 유동들은 순차적이거나 동시적일 수 있다.
제1 가스는, 가스 매니폴드에 대한 복수의 제1 유입 라인들을 통해 처리 챔버로 유동한다. 제1 유입 라인들 각각은 밸브 및 임의적으로는 오리피스를 갖는다. 밸브들은, 처리 챔버 내로의 제1 가스의 유동을 허용하도록 개방될 수 있다. 오리피스들의 크기가 변경되어 밸브들을 통해 가스 매니폴드 내로 지나가는 제1 가스의 양을 변경함으로써 상이한 구역들에서의 전구체 양들이 변경될 수 있다.
제2 가스는, 가스 매니폴드에 대한 복수의 제2 유입 라인들을 통해 처리 챔버로 유동한다. 제2 유입 라인들 각각은 밸브 및 임의적으로는 오리피스를 갖는다. 밸브들은, 처리 챔버 내로의 제2 가스의 유동을 허용하도록 개방될 수 있다. 오리피스들의 크기가 변경되어 밸브들을 통해 가스 매니폴드 내로 지나가는 제2 가스의 양을 변경함으로써 상이한 구역들에서의 전구체 양이 변경될 수 있다.
장치는 플라즈마 처리에 사용될 수 있다. 예컨대, 전달 채널, 가스 분배 장치, 또는 샤워헤드는, 챔버 내에 플라즈마를 점화하기 위해 처리 챔버의 다른 부분에 대하여 분극될 수 있다. 전달 채널, 가스 분배 장치, 또는 샤워헤드가 챔버의 일부분에 대하여 분극될 수 있거나, 챔버의 일부분이 전달 채널, 가스 분배 장치, 또는 샤워헤드에 대하여 바이어싱될 수 있다. 예컨대, 전달 채널, 가스 분배 장치, 또는 샤워헤드가 페디스털에 대하여 분극될 수 있거나, 페디스털이 전달 채널, 가스 분배 장치, 또는 샤워헤드에 대하여 분극될 수 있다. 플라즈마의 주파수가 또한 조정될 수 있다. 하나 이상의 실시예에서, 플라즈마는 약 13.56 MHz의 주파수에 있다. 일부 실시예들에서, 플라즈마는 약 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz 또는 120 MHz의 주파수에 있다.
일부 실시예들에서, 가스 분배 장치의 주 몸체 부분(즉, 가스 전달 채널을 포함하는 부분)과 후면 덮개 사이에 위치되는 절연 물질(도시되지 않음)이 존재한다. 이러한 절연 물질은 가스 분배 장치의 주 몸체 부분과 후면 덮개 사이에 전기적 격리를 제공하며, 이에 따라, 후면 덮개가 주 몸체 부분에 대하여 분극될 수 있다. 그렇게 하는 것은, 가스 분배 장치 내에서의 또는 전달 채널들 내에서의 플라즈마의 점화를 허용할 수 있다. 이어서, 플라즈마는 복수의 애퍼쳐들을 통해 처리 챔버의 처리 구역 내로 유동될 수 있으며, 처리 구역은 가스 분배 장치와 페디스털 사이의 구역이다. 이러한 구성은, 플라즈마가 처리 구역 외부에서 형성(예컨대, 점화)되기 때문에 원격 플라즈마로 지칭될 수 있다.
설명된 가스 분배 장치는, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안 하나 이상의 층을 형성하는 데 사용될 수 있다. 일부 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기된 상태로 종들을 촉진시키기에 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적이거나 펄스화될 수 있다. 일부 실시예들에서, 전구체들(또는 반응성 가스들) 및 플라즈마의 순차적인 펄스들이 층을 처리하기 위해 사용된다. 일부 실시예들에서, 시약들은, 국부적으로(즉, 처리 영역 내에서), 또는 원격으로(즉, 처리 영역 외부에서) 이온화될 수 있다. 이온들 또는 다른 활성 또는 발광 종들이 증착 막과 직접적으로 접촉하지 않도록, 원격 이온화가 증착 챔버의 상류에서 발생할 수 있다. 일부 PEALD 프로세스들에서, 플라즈마는, 처리 챔버 외부에서, 이를테면 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 관련 기술분야의 통상의 기술자들에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예컨대, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 이상에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종들에 의존하여 조정될 수 있다. 적합한 주파수들은, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz 및 100 MHz를 포함하지만 이에 제한되지 않는다. 본원에서 개시되는 증착 프로세스들 동안 플라즈마들이 사용될 수 있지만, 플라즈마들이 요구되지 않을 수 있다는 것이 유의되어야 한다.
하나 이상의 실시예에 따르면, 가스 분배 장치는, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 기판이 처리를 받게 하는 데 사용될 수 있다. 이러한 처리는, 동일한 챔버에서 또는 하나 이상의 별개의 처리 챔버에서 수행될 수 있다. 일부 실시예들에서, 기판은, 추가적인 처리를 위해, 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은, 제1 챔버로부터 별개의 처리 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제1 챔버로부터 하나 이상의 이송 챔버로 이동되고, 이어서, 원하는 별개의 처리 챔버로 이동될 수 있다. 따라서, 처리 장치는 이송 스테이션과 통신하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예에 따르면, 클러스터 툴은, 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 처리 챔버들 사이에서 그리고 이들 간에서 기판들을 왕복시킬 수 있는 로봇을 수납할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 전단부에 위치된 로드 록 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘 알려진 클러스터 툴은 센츄라(Centura®) 및 엔듀라(Endura®)이고, 이들 둘 모두는, 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에 설명된 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 처리 챔버들은, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화, 탈기, 배향, 히드록실화, 및 다른 기판 프로세스들을 포함하지만 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염을, 후속 막을 증착하기 전의 산화 없이, 피할 수 있다.
하나 이상의 실시예에 따르면, 기판은 지속적으로 진공 또는 "로드 록" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pumped down)"된다. 불활성 가스들이 처리 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는, 기판의 표면 상에 규소 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 실시예에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 처리 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
기판은, 예컨대, 설명된 가스 분배 장치를 사용하여 단일 기판 증착 챔버들에서 처리될 수 있다. 그러한 챔버들에서, 단일 기판이 적재되고, 처리되고, 다른 기판이 처리되기 전에 하적된다. 기판은 또한, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 적재되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 하적되는, 컨베이어 시스템과 같이 연속적인 방식으로 처리될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 부가적으로, 처리 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고 캐러셀 경로 전반에 걸쳐 증착, 식각, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
처리 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도식으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류식으로 변화시키기 위해, 챔버 내에서 기판 표면에 인접하게 위치된다.
기판은 또한, 처리 동안, 정지상태이거나 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에서 작은 양만큼 회전될 수 있다. (연속적으로 또는 단계들로) 처리 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하학적 구조들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 식각을 생성하는 것을 도울 수 있다.
본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 응용들을 예시하는 것임이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (1)

  1. 제1항에 따른 가스 분배 장치.
KR1020237039657A 2018-09-14 2019-09-13 다중-유동 전구체 투여를 위한 장치 KR20230169367A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201862731801P 2018-09-14 2018-09-14
US62/731,801 2018-09-14
US16/568,612 2019-09-12
US16/568,612 US11186910B2 (en) 2018-09-14 2019-09-12 Apparatus for multi-flow precursor dosage
PCT/US2019/050983 WO2020056237A1 (en) 2018-09-14 2019-09-13 Apparatus for multi-flow precursor dosage
KR1020217011055A KR20210044907A (ko) 2018-09-14 2019-09-13 다중-유동 전구체 투여를 위한 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217011055A Division KR20210044907A (ko) 2018-09-14 2019-09-13 다중-유동 전구체 투여를 위한 장치

Publications (1)

Publication Number Publication Date
KR20230169367A true KR20230169367A (ko) 2023-12-15

Family

ID=69772685

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217011055A KR20210044907A (ko) 2018-09-14 2019-09-13 다중-유동 전구체 투여를 위한 장치
KR1020237039657A KR20230169367A (ko) 2018-09-14 2019-09-13 다중-유동 전구체 투여를 위한 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217011055A KR20210044907A (ko) 2018-09-14 2019-09-13 다중-유동 전구체 투여를 위한 장치

Country Status (4)

Country Link
US (1) US11186910B2 (ko)
KR (2) KR20210044907A (ko)
CN (1) CN112673461A (ko)
WO (1) WO2020056237A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN112334599B (zh) * 2018-06-25 2023-09-29 东芝三菱电机产业系统株式会社 活性气体生成装置及成膜处理装置
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11186910B2 (en) * 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11420217B2 (en) * 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
JP7275470B2 (ja) * 2020-03-24 2023-05-18 日新イオン機器株式会社 基板冷却装置
JP2024504187A (ja) * 2021-01-27 2024-01-30 ユージェヌス インコーポレイテッド 周期的堆積のための前駆体送達システム及び方法
TW202314018A (zh) * 2021-06-21 2023-04-01 荷蘭商Asm Ip私人控股有限公司 用於形成包含氧化銦鎵鋅的層之反應器系統及方法
CN115125517B (zh) * 2022-06-23 2023-09-08 北京北方华创微电子装备有限公司 气体分配装置及半导体工艺设备
WO2024059684A1 (en) * 2022-09-15 2024-03-21 Lam Research Corporation Showerhead faceplates

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0413239B1 (en) 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6620289B1 (en) 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4008644B2 (ja) 2000-06-14 2007-11-14 株式会社日立国際電気 半導体製造装置
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
JP4819411B2 (ja) 2005-06-22 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
JP2008124424A (ja) 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7884025B2 (en) * 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090159002A1 (en) 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
KR101009100B1 (ko) 2008-11-18 2011-01-18 주식회사 케이씨텍 플라즈마 처리장치용 샤워헤드
JP4930495B2 (ja) 2008-12-04 2012-05-16 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
CN105088191B (zh) 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
JP5089669B2 (ja) 2009-10-15 2012-12-05 三菱電機株式会社 薄膜形成装置
WO2011109758A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Measuring flow properties of multiple gas nozzles of a gas distributor
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
CN108140550B (zh) * 2015-10-08 2022-10-14 应用材料公司 具有减少的背侧等离子体点火的喷淋头
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
WO2017200696A1 (en) * 2016-05-20 2017-11-23 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US11186910B2 (en) * 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11420217B2 (en) * 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery

Also Published As

Publication number Publication date
US11186910B2 (en) 2021-11-30
CN112673461A (zh) 2021-04-16
WO2020056237A1 (en) 2020-03-19
TW202020218A (zh) 2020-06-01
US20200087789A1 (en) 2020-03-19
KR20210044907A (ko) 2021-04-23

Similar Documents

Publication Publication Date Title
KR20230169367A (ko) 다중-유동 전구체 투여를 위한 장치
USRE48994E1 (en) Apparatus and method for providing uniform flow of gas
US10400335B2 (en) Dual-direction chemical delivery system for ALD/CVD chambers
KR102122904B1 (ko) 가스의 균일한 흐름을 제공하기 위한 장치 및 방법
US8955547B2 (en) Apparatus and method for providing uniform flow of gas
TWI848974B (zh) 用於多流前驅物配分劑量的裝置
TWM570917U (zh) 用於提供均勻氣流之氣體分配設備與處理腔室

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal