CN102027810A - 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备 - Google Patents

使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备 Download PDF

Info

Publication number
CN102027810A
CN102027810A CN2009801178206A CN200980117820A CN102027810A CN 102027810 A CN102027810 A CN 102027810A CN 2009801178206 A CN2009801178206 A CN 2009801178206A CN 200980117820 A CN200980117820 A CN 200980117820A CN 102027810 A CN102027810 A CN 102027810A
Authority
CN
China
Prior art keywords
power supply
source
power
matching network
bias voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801178206A
Other languages
English (en)
Other versions
CN102027810B (zh
Inventor
S·巴纳
V·托多罗
K·拉马斯瓦米
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102027810A publication Critical patent/CN102027810A/zh
Application granted granted Critical
Publication of CN102027810B publication Critical patent/CN102027810B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的实施例大体而言提供一种用于在广工艺窗口(wide process window)内进行脉冲等离子体工艺的方法及设备。在某些实施例中,一设备可包括一具有调频的RF电源及一耦接至该RF电源的匹配网络,该RF电源与该匹配网络共享一共同感应器,该共同感应器用于读取反射回该RF电源的反射RF功率。在某些实施例中,一设备可包括一具有调频的RF电源、一耦接至该RF电源的匹配网络及一用于调谐各个该RF电源及该匹配网络的通用控制器,该RF电源与该匹配网络共享一共同感应器,该共同感应器用于读取反射回该RF电源的反射RF功率。

Description

使用RF功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备
技术领域
本发明的实施例大体而言关于半导体基板工艺系统,且更详言之,关于使用脉冲等离子体处理基板的方法及设备。
背景技术
在半导体集成电路(integrated circuit,IC)制造中,诸如组件晶体管的装置形成于通常由硅构成的半导体晶圆基板上。在制造过程中,各种材料经沉积在不同的层上,以构建或形成所需集成电路。各层对经由金属化线互连的装置进行定义。在对已包含装置及金属化线的晶圆(在业内亦称作基板)上执行的某些等离子体增强工艺中,晶圆的表面上可能会累积相当量的电荷。此电荷累积在晶圆上可能不均匀。因而此电荷累积可能会在某些金属化材料中引发感应出破坏性电流,及/或在介电层引起电弧。此等电流及/或电弧可能会毁坏或损坏晶圆上之前已形成的某些装置。为缓解电荷效应并避免电荷损害,供应至等离子体增强反应器中的等离子体的功率可经过脉冲处理。因而,在完整或部分等离子体增强工艺期间,耦接至该等离子体的功率经脉冲处理。于2001年7月3日颁予的美国专利第6,255,221号中揭示了该技术用于一蚀刻反应器中的一实例。
使用脉冲的等离子体蚀刻反应器的一缺陷在于,来自RF发电机或RF源的功率必须经由一动态调谐的匹配网络(亦称作匹配单元)耦接至一等离子体反应器中的天线或电极。该脉冲功率自天线或电极耦接至反应器中的工艺气体,以形成用于蚀刻工艺的等离子体。该匹配网络确保该RF源的输出有效耦接至等离子体,以最大化耦接至该等离子体的能量。该匹配网络通常将50奥姆匹配等离子体的复变阻抗(complex impedance)。在工艺期间,随着等离子体特性变化,为促进动态匹配,该匹配网络不断地可调整,以确保在工艺期间实现并维持匹配。
大体而言,执行工艺配方的控制器控制该匹配网络。该控制器亦监视来自该匹配网络的反射功率。若来自该匹配网络的反射功率变大,则控制器将调整该匹配网络的电容或电感,以在RF源与腔室中现有等离子体之间实现更充足的匹配。由于用于将高功率RF能量耦接至等离子体的匹配网络大体而言包含机械可调谐组件(亦即电容器及/或电感器),因此与耦接至等离子体所需的RF脉冲的脉冲长度相比,该调谐过程可能会慢。因而,当以脉冲方式向该匹配网络传递功率时,由于将针对每一脉冲调整网络,反射功率可能会比较分散或与实际的反射功率不一致,引起该控制器过度调整该匹配网络或调整得不够。此类连续调整可引起过大的反射功率,及等离子体功率耦接效率的降低。
因此,行业中需要改良的方法及设备,用以操作一使用脉冲功率的等离子体增强半导体晶圆工艺。
发明内容
本发明的实施例大体而言提供一种用于在广工艺窗口内进行脉冲等离子体工艺的方法及设备。在某些实施例中,一设备可包括一具有调频的RF电源及一耦接至该RF电源的匹配网络,该RF电源与该匹配网络共享一共同感应器,该共同感应器用于读取反射回该RF电源的反射RF功率。在某些实施例中,一设备可包括一具有调频的RF电源、一耦接至该RF电源的匹配网络及一用于调谐该RF电源及该匹配网络中的各者的通用控制器,该RF电源与该匹配网络共享一共同感应器,该共同感应器用于读取反射回该RF电源的反射RF功率。
在某些实施例中,提供一调谐程序使得基于各种工艺配方或参数以进行脉冲等离子体工艺成为可能。在某些实施例中,该调谐程序可包括在一连续波(CW)模式下激发一等离子体,同时使该源及偏压RF电源处于固定频率,且这些匹配网络处于自动模式。一旦匹配网络经过调节以确保来自源及偏压的反射功率最小(约2-3秒),将固定源匹配网络参数,同时将偏压匹配网络保持在自动模式。此后将为源RF电源开启调频,而偏压RF电源的调频保持关闭。大约1秒之后,开启脉冲模式,随后为偏压RF电源开启调频,并将源匹配网络切换回自动模式。系统实现稳定且实现最小的反射功率还需要2-4秒(视匹配网络的预设及配方参数而定)。
在某些实施例中,提供一种方法:使用处于一主/从配置的一源RF电源及一偏压RF电源对一操作等离子体工艺的系统进行调谐,其中每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室。该方法可包括首先使用该源RF电源及该偏压RF电源在一工艺腔室中激发一等离子体,其中每一电源设定于一连续波模式或一固定频率模式,该源匹配网络及该偏压匹配网络各自设定为一自动调谐模式。随后,在这些匹配网络调节以减小来自该源RF电源及该偏压RF电源的反射功率之后,可将该源匹配网络更改为锁定模式,同时将该偏压匹配网络保持在自动模式。可开启该源RF电源的调频,同时将偏压RF电源的调频保持关闭状态。可开启该源RF电源及/或该偏压RF电源的脉冲模式。可随后将该偏压RF电源置于调频模式。
在某些实施例中,该调谐程序可包括在所需脉冲频率及约为90%的工作周期(duty cycle)的情况下,将RF电源设定为脉冲模式。为偏压及源开启调频,并将匹配网络设定为自动模式。此后,开启RF电源。系统需要约2-3秒进入稳定状态并将自身调节至最小反射功率。之后,在RF电源仍处于开启状态下,在操作窗口内,自约90%将工作周期更改为所需值。系统需要另外2-3秒来对自身进行调谐,然后在所需脉冲模式下进入操作就绪状态。
在某些实施例中,提供一种方法:使用处于一主/从配置的一源RF电源及一偏压RF电源对一操作等离子体工艺的系统进行调谐,其中每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室。该方法可包括:(a)经由自处于调频模式下的该源RF电源及/或该偏压RF电源提供RF功率来在一工艺腔室中形成一等离子体,其中这些电源处于一所需脉冲频率及一位于约85%与约95%的初始工作周期的条件下,且该源匹配网络及该偏压匹配网络处于自动调谐模式;及(b)在该源RF电源及该偏压RF电源仍处于开启状态的同时,在这些匹配网络调节以降低来自该源RF电源及该偏压RF电源的反射功率之后,将该初始工作周期更改为一所需工作周期。
在某些实施例中,可提供一种方法:使用一源RF电源及一偏压RF电源、以时间分解方式对一操作等离子体工艺的系统进行调谐,其中每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室。该方法包括使用该源RF电源及该偏压RF电源在一工艺腔室中激发等离子体,每一电源经独立设定为处于一自连续波模式或脉冲模式选择的第一操作模式,并处于一自固定频率模式或频率调谐模式选择的第一调谐模式,其中该源匹配网络及该偏压匹配网络经各自独立设定为处于一自自动调谐模式或锁定模式选择的第一匹配模式。在一第一时间周期过期时,可切换该源RF电源及该偏压RF电源的一者或二者的第一操作模式。在一第二时间周期过期时,可切换该源RF电源及该偏压RF电源的一者或二者的第一调谐模式。在一第三时间周期过期时,可切换该源匹配网络及该偏压匹配网络的一者或二者的第一匹配模式。第一、第二及第三时间周期被选择以减小反射回源RF电源及偏压RF电源的反射功率。
以下将更详细说明其它及进一步实施例。
附图说明
虽然可详细理解以上详述的本发明的特征,参考以下实施例可更详细描述以上简述的本发明,其中在随附图示中说明了某些实施例。但应注意,随附图示仅说明本发明的典型实施例,且因此不应理解为对其范畴的限制,因为本发明可允许其它等效实施例。
图1一根据本发明的某些实施例实现的半导体晶圆工艺系统的示意图。
图2根据本发明的某些实施例展示匹配网络及RF电源的独立时序特征的示意图。
图3为一适用于本发明某些实施例的例示性匹配电路。
图4为一适用于本发明某些实施例的例示性匹配电路。
具体实施方式
本发明提供用于使用脉冲等离子体处理基板的方法和设备。在某些实施例中,针对偏压及源中的一者或二者使用等离子体脉冲工艺可有助于从晶圆级别改良效能(更佳的蚀刻均匀性及选择率)并减少损害,以及减少沟槽及凹槽问题。本发明的实施例所提供的程序赋能在脉冲模式下使用具有调频(亦称作频率扫描)的RF电源以及动态匹配网络情况下的一稳定操作窗口。由于在蚀刻工艺期间调谐系统所需时间是关键的,此等程序的一优点在于,脉冲处理时能够在约6秒内完成调谐,藉此最小化晶圆曝露至不稳定等离子体的时间。尽管以下描述可意指某些工艺、RF频率及RF功率,但本文所提供的教示可大体优于其它工艺、其它频率及其它功率电平。
图1为一等离子体增强半导体晶圆处理系统100,在一实施例中其用于蚀刻半导体晶圆122(或其它基板及工件)。尽管本发明所揭示实施例在蚀刻反应器及工艺的情况下进行描述,但本发明适用于在等离子体增强工艺中使用脉冲功率的任何形式的等离子体工艺。这些反应器包括等离子体退火;等离子体增强化学气相沉积、物理气相沉积、等离子体清洗及类似过程。
此说明性系统100包含一蚀刻反应器101、一工艺气体供应126、一控制器114、一第一RF电源112、一第二RF电源116、一第一匹配网络110及一第二匹配网络118。该第一及第二RF电源112、116中的一者或二者可经组态以便快速进行调频(例如,为响应所感测到的反射功率测量值,电源可在约+/-5%范围内改变频率,以最小化反射功率)。该调频可能需要约100微秒或更少的时间,以最小化来自处于某一给定稳定状态的等离子体的反射功率。每一RF电源(112,116)可操作于连续波(continuous wave,CW)或脉冲模式下。当处于脉冲模式时,可在高达约100kHz的脉冲频率下对任一电源(112,116)进行脉冲处理,或在某些实施例中,在约100Hz至约100kHz之间的频率下进行脉冲处理。可在一位于约10%与约90%之间的工作周期(例如,在给定周期中,总的工作时间及空闲时间期间工作时间所占百分比)下操作任一电源(112,116)。
该蚀刻反应器101包含一真空容器102,该真空容器包含一阴极基座120,该基座为晶圆122形成一基座。该工艺腔室的顶部或盖部103具有至少一天线组件104,其靠近顶部103。在本发明的某些实施例中,该天线组件104包含一对天线106及108。本发明的其它实施例可使用一或多个天线,或可使用一电极来代理天线将RF能量耦接至等离子体。在此特定说明性实施例中,该天线106及108将能量电感耦接至由该工艺气体供应126供应至该容器102内部的工艺气体。由这些天线106及108供应的RF能量经电感耦接至这些工艺气体,以在该晶圆122上方的反应区域形成等离子体124。这些反应气体将对晶圆122上的材料进行蚀刻。
在某些实施例中,供应至该天线组件104的功率激发等离子体124,且耦接至该阴极基座120的功率控制等离子体124。因而,RF能量经耦接至天线组件104及阴极基座120。第一RF电源112(亦称作源RF电源)向一第一匹配网络110供应能量,接着该匹配网络将能量耦接至该天线组件104。类似地,一第二RF电源116(亦称作偏压RF电源)将能量耦接至一第二匹配网络118,接着该网络将能量耦接至该阴极基座120。一控制器114控制这些RF电源112及116的活化及钝化时序以及这些第一及第二匹配网络110及118的调谐。耦接至该天线组件104的功率称作源电源,而耦接至该阴极基座120的功率称作偏压电源。在本发明的实施例中,该源电源、偏压电源中的一者或二者可操作于连续波(CW)模式或脉冲模式下。
在某些实施例中,可提供一链接140来耦接第一及第二RF电源112、116,以便促进同步彼此的操作。其中任一RF电源可为主要或主RF电源,而另一者为从RF电源。该链接140可辅助第一及第二RF电源112、116操作于完全同步、所需偏移或相差状态下。
一第一指示器装置或感应器150及一第二指示器装置或感应器152用于确定这些匹配网络110、118匹配等离子体124的能力的有效性。在某些实施例中,这些指示器装置150及152监视反射自这些个别匹配网络110、118的反射功率。此等装置通常集成在匹配网络110、118或电源112、115中;然而,鉴于描述的目的,此处将其自匹配网络110、118独立出来。当反射功率用作指示器时,这些装置150及152被耦接在电源112、116与匹配网络110、118之间。为产生一表示反射功率的信号,这些装置150及152为经耦接至一RF侦测器的双向耦合器,使得该匹配有效性指示器信号成为一表示反射功率的量值的电压。大反射功率表示不匹配情况。由这些装置150及152产生的信号经耦接至控制器114。为响应一指示器信号,控制器114将产生一调谐信号(与网络控制信号匹配),该信号经耦接至这些匹配网络110、118。此信号用于调谐匹配网络110、118中的电容器或电感器。举例而言,该调谐过程旨在最小化或实现特定电平的反射功率(该指示器信号所表示)。匹配网络110、118通常可能需要约100微秒至约数毫秒来最小化来自给定稳定状态的下的等离子体的反射功率。
图3描述一用作例如该第一RF匹配网络110的说明性匹配网络的示意图。此特定实施例具有一单个输入400及一双输出(亦即,主输出402及辅输出404)。每一输出用于驱动该两个天线中的一者。该匹配电路406由C1、C2及L1形成,且一电容功率分配器408由C3及C4形成。该电容分配器值经过设定以建立特定大小的功率,以供应至每一天线。电容器C1及C2的值经机械调谐,以调整网络110的匹配。C1或C2或者二者同时可经调谐以调整网络的运作。在功率较小的系统中,这些电容器可经电子学调谐,而非机械调谐。一匹配网络的其它实施例可具有一可调谐的电感器。此源电源可操作于脉冲或CW模式下。由该网络110匹配的源电源约为13.56MHz,且具有一高达约3000瓦特的功率电平。此种匹配网络可自NAVIGATOR 3013-ICP85型号获得,该产品来自位于科罗拉多州Fort Collins的AE公司。可根据本文所提供的教示利用其它各种组态的匹配网络。
图4描述一用作例如该第二RF匹配网络118的说明性匹配网络的一实施例的示意图。此特定实施例具有一单个输入500及一单个输出502。该输出用于驱动该基座。该匹配网络包含电容器C1、C2、C3、L1及L2。电容器C2及C3的值经机械调谐,以调整网络116的匹配。C3或C2或者二者同时可经调谐以调整网络的运作。在功率较小的系统中,这些电容器可经电子学调谐,而非机械调谐。一匹配网络的其它实施例可具有一可调谐的电感器。此偏压电源116可操作于脉冲或CW模式下。在脉冲模式下,可在100Hz-100KHz的频率及10-90%的工作周期的情况下发生脉冲。在一实施例中,偏压电源具有约为13.56MHz的频率及约为1500瓦特的功率电平。此种匹配网络可自NAVIGATOR 1013-L35Z型号获得,该产品来自位于科罗拉多州Fort Collins的AE公司。可根据本文所提供的教示利用其它各种组态的匹配网络。
该控制器114包含一中央处理单元(CPU)130、一内存132及支持电路134。该控制器114经耦接至系统100的各组件以促进对蚀刻工艺的控制。该控制器114经由接口来调节并监视腔室中的处理,这些接口可广义描述为模拟、数字、有线、无线、光学及光纤接口。为促进按照下述方式对腔室进行控制,CPU 130可以是可用于在一行业环境下控制各种腔室及子处理器的任何形式通用计算机处理器中的一者。该内存132经耦接至该CPU 130。内存132或一计算机可读媒体可为一或多个容易购得的内存装置,诸如随机存取内存、只读存储器、软盘、硬盘或任何其它形式的本地或远程数字储存。支持电路134经耦接至CPU 130,以习知方式支持该处理器。此等电路包括高速缓存、电源、频率电路、输入/输出电路及相关子系统及类似物。
蚀刻或其它工艺指令大体而言作为软件常用程序(通常称作配方)储存在内存132中。软件常用程序亦可由一第二CPU(未图示)储存及/或执行,该第二CPU的位置远离受CPU 130控制的硬件。当由CPU 130执行时,该软件常用程序将该通用计算机转换成一专用计算机(控制器)114,以在蚀刻工艺期间对诸如等离子体的系统操作进行控制。尽管本发明的工艺可实施为一软件常用程序,本文所揭示的某些方法步骤可经由硬件以及软件控制器来执行。因此,可将本发明的实施例实施为执行于一计算机系统上的软件及诸如一应用特定集成电路的硬件,或软件与硬件的组合。
习知匹配网络及RF电源通常各自包含用于调谐个别独立系统的控制算法。因此,每一算法在针对旨在降低发送至该RF电源的反射功率的时间或方式的两者下并未彼此链接。缺乏此种链接可能会引起该两个调谐算法之间发生显著竞争,且因此可能引起系统不稳定。为克服此问题,在本发明的某些实施例中,可在具有调频功能的RF电源(如第一或第二RF源112或116)中嵌埋一积体匹配网络,同时可基于在该RF电源输出端量测(如,使用一共享的感应器)的相同读数来控制用于调谐匹配网络的算法以及具有RF周期的频率。藉此,两个独立算法之间的竞争可得到清除,且可增大基于脉冲等离子体的反应器的操作窗口。在某些实施例中,可在物理上集成该第一RF源112及该第一匹配网络110(及/或该第二RF源116及该第二匹配网络118),或仅共享一用于指导该对装置的调谐过程的控制器,以移除二者之间的竞争并最大化整体系统的调谐效率。在某些实施例中,该第一RF源112及该第一匹配网络110(及/或该第二RF源116及该第二匹配网络118)可仅共享一共同感应器,用于读取反射功率,使得其至少经调谐以最小化针对相同读数的反射功率。
图2描述有关可随着时间独立控制的变量图,这些变量经独立控制以在各脉冲等离子体过程中促进等离子体的阻抗与RF源的组件匹配。图2展示源RF电源、源匹配、偏压RF电源及偏压匹配中每一者的独立于时间的操作参数。此等参数经去耦,且可独立进行控制。可在固定频率模式或频率扫描(或调频)模式下操作这些源及偏压RF电源。此外,可在CW RF模式下或脉冲RF模式下操作这些源及偏压RF电源。每一RF电源可在需要时在不同操作模式之间独立切换,且可同步操作,或可按照所需量彼此偏移,如源RF开启时间与偏压RF开启时间之间的源/偏压延迟所示。此类异相操作的同步或控制亦可适用于处于任何RF电源操作模式下的操作(举例而言,当都处于脉冲RF模式下时)。
这些源及偏压匹配网络(如图2中源匹配及偏压匹配)可各自独立操作于自动调谐模式或锁定模式下(其中,匹配网络固定匹配中分量的值,且不会进行调谐以最小化反射功率)。可独立控制此等模式之间的切换操作,以在脉冲等离子体工艺期间、在广工艺窗口内最小化反射功率并稳定等离子体处理,以下将更详细论述。因此,对于脉冲等离子体工艺,可提供以下控制“旋纽(knob)”以促进在各工艺期间进行有效操作。每一匹配网络可独立运行于自动调谐或锁定模式;每一RF电源的调频可开启或关闭;时间A(开始于约90%或CW,接着在时间A之后切换至脉冲);时间B(开始于调频关闭状态,随后在时间B之后开启);时间C(匹配网络可起初处于自动调谐模式,随后可在时间C之后处于固定模式或找到一个RF反射功率最小的位置)。此外,可设定在不同模式之间进行切换的“逾时(timeout)”或时间点,使得切换不发生(例如,将切换的时间设定为较待执行工艺长的持续时间),或使得切换模式为实时操作模式(例如,将时间设定为零)。由于此等旋纽时间中的每一者是独立的,因此可对每一参数进行控制,以促进在更多的工艺中进行脉冲等离子体操作。因此,本文针对RF功率传递提供了一种时间分解调谐程序,下文将更详细论述,该程序赋能在各种工艺化学处理、压力、功率电平及类似情况下对脉冲等离子体进行稳定的处理。此类方案独立于时间的特性赋能确定不同旋纽的操作序列,以在脉冲等离子体操作期间针对各种基于等离子体的工艺优化RF传递。
使用以上“逾时”,系统100可操作于各种RF模式下,经由控制以上变量可使用时间分解方式对系统进行调谐。举例而言,在某些实施例中,可提供一种方法:使用一源RF电源及一偏压RF电源、以时间分解方式对一操作等离子体工艺的系统进行调谐,其中每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室。该方法包括使用该源RF电源及该偏压RF电源在一工艺腔室中激发等离子体,每一电源经独立设定为处于一自连续波模式或脉冲模式选择的第一操作模式,并处于一自固定频率模式或调频模式选择的第一调谐模式,其中该源匹配网络及该偏压匹配网络经各自独立设定为处于一自自动调谐模式或锁定模式选择的第一匹配模式。在一第一时间周期过期时,可切换该源RF电源及该偏压RF电源的一者或二者的第一操作模式。在一第二时间周期过期时,可切换该源RF电源及该偏压RF电源的一者或二者的第一调谐模式。在一第三时间周期过期时,可切换该源匹配网络及该偏压匹配网络的一者或二者的第一匹配模式。第一、第二及第三时间周期经选择以减小反射回源RF电源及偏压RF电源的反射功率。
举例而言,使用以上“逾时”,系统100可操作于各种RF模式下,具体视对以上变量的控制而定。在某些实施例中,可使用任一RF电源(例如RF电源112或116)在CW模式下操作系统100。在该模式下,个别RF电源处于固定模式、CW模式及主模式下。此模式适用于源RF电源及偏压RF电源,且各RF电源可独立操作。
在某些实施例中,可使用任一RF电源在CW脉冲模式下操作系统100。在该模式下,个别RF电源处于固定模式及主模式下。在一可组态的逾时“CW/脉冲时间”时,个别RF电源处于CW模式,且在此逾时之后,其将切换为脉冲模式。此模式适用于源RF电源及偏压RF电源,且各RF电源可独立操作。
在某些实施例中,可使用任一RF电源在CW频率模式下操作系统100。在该模式下,个别RF电源处于CW模式及主模式下。在一可组态的逾时“固定频率时间”时,RF电源处于固定模式下,且在此逾时之后,其开启调频。此模式适用于源RF电源及偏压RF电源,且各RF电源可独立操作。
在某些实施例中,可使用任一RF电源在CW频率脉冲模式下操作系统100。在此模式下,在一可组态的逾时“CW/脉冲时间”时,个别RF电源处于CW模式,且在此逾时之后,其将切换为脉冲模式。在一可组态的逾时“固定频率时间”时,RF电源亦处于固定模式下,且在此逾时之后,其开启调频。RF电源处于主模式下,且因此其可独立操作。此模式适用于源RF电源及偏压RF电源。
在某些实施例中,可使用任一RF电源在脉冲频率脉冲模式下操作系统100。在此模式下,在一可组态的逾时“CW/脉冲时间”时,个别RF电源处于脉冲模式(例如,高达90%的工作周期),且在此逾时之后,其将切换为所需的脉冲参数。在一可组态的逾时“固定频率时间”时,RF电源亦处于固定模式下,且在此逾时之后,其开启调频。RF电源处于主模式下,且因此其可独立操作。此模式适用于源RF电源及偏压RF电源。
在某些实施例中,可在CW频率同步脉冲模式下操作系统100。在此模式下,源RF电源处于主模式下,而偏压RF电源处于从模式下。在一可组态的逾时“CW/脉冲时间”时,源RF电源处于CW模式,且在此逾时之后,其将切换为脉冲模式。在一可组态的逾时“固定频率时间”时,源RF电源处于固定模式下,且在此逾时之后,其开启调频。偏压RF电源脉冲的脉冲频率及工作周期与源RF电源的相同。当主/从延迟初始设定为零时,源RF电源与偏压RF电源便完全同步。脉冲之间的延迟由一可组态的逾时“从偏压PR脉冲延迟”来控制,其提供高达360度的相位控制。在一特定配方中,主/从延迟可适用于所有同步脉冲步骤。
在某些实施例中,可在CW频率同步脉冲模式下操作系统100。在此模式下,源RF电源处于主模式下,而偏压RF电源处于从模式下。在一可组态的逾时“CW/脉冲时间”时,源RF电源处于脉冲模式(90%工作周期),且在此逾时之后,其将切换为所需脉冲参数。在一可组态的逾时“固定频率时间”时,源RF电源处于固定模式下,且在此逾时之后,其开启调频。偏压RF电源脉冲的脉冲频率及工作周期与源RF电源的相同。当主/从延迟初始设定为零时,源RF电源与偏压RF电源便完全同步。脉冲之间的延迟由一可组态的逾时“从偏压PR脉冲延迟”来控制,其提供高达360度的相位控制。在一特定配方中,主/从延迟可适用于所有同步脉冲步骤。
在某些实施例中,若匹配网络操作得到适当控制,则可实现最低的可能的反射功率。对于CW/脉冲操作模式,匹配网络可处于两种主要模式中的一者:自动模式或锁定模式。在脉冲模式期间,不推荐单独使用自动模式,因为匹配网络通常无法跟踪脉冲周期内的快速变更,且因此所实现的调谐效果(如果有效果)不佳,除非脉冲频率非常低。在某些实施例中,只要系统处于脉冲模式下,该匹配网络可操作于锁定模式下,除非极其需要额外的旋纽来调谐系统及调谐频率。
在某些实施例中,已发现对于给定的脉冲频率,90%的工作周期期间的行为类似于CW模式。因此,系统调谐相对容易。然而,吾人仍会受益于处于脉冲模式下的系统。因而,在某些情况下,相对于CW频率脉冲或CW频率同步脉冲模式,脉冲频率脉冲或脉冲频率同步脉冲模式可能会实现更低的反射功率。若这些脉冲参数(脉冲频率及工作周期)中任一者被设定为零,则RF电源将操作于CW模式下。
由于在不同条件下(压力/功率电平/化学处理)可能会利用脉冲模式,可推荐使用典型的逾时,但对于新配方,需要进行某些优化,以确保等离子体稳定以及反射功率最小。以下所示为在脉冲模式下推荐使用的典型操作模式:源脉冲&偏压CW、偏压脉冲&源CW或同步源及偏压脉冲。然而,其它模式可能适用于不同应用。当更改诸如工艺化学处理、腔室压力、RF功率电平、分配器电容设定及脉冲参数的工艺参数时,可能需要修改逾时设定。
作为一说明性示例,在某些实施例中,可在源RF电源处于脉冲模式下且偏压RF电源处于CW模式下操作系统100。在此类实施例中,源RF电源可设定为CW频率脉冲模式。可选择一CW/脉冲时间来控制RF电源处于CW模式的时间长度,例如6秒。此时间可减少为不小于4秒,以确保在切换为脉冲模式之前,在CW模式下对系统进行调谐。可选择一固定/频率时间以控制在开启调频之前RF电源处于固定模式的时间长度,例如约5秒。在某些实施例中,可在脉冲模式开启之前/之后1秒开启调频(假定对应匹配处于锁定状态)。在某些实施例中,匹配网络不应处于自动模式或调频状态,因此在开启调频之前其可置于锁定模式。可能会出现短时间的重迭(例如,小于5秒的重迭),以确保脉冲等离子体操作的最佳调谐位置。
偏压发生器设定于CW频率模式。因此,偏压匹配网络可设定为自动锁定模式。可选择一锁定时间,以控制匹配网络在切换为“锁定”模式之前处于“自动”模式的时间长度。在某些实施例中,匹配时间可较RF电源CW/脉冲时间及固定频率时间短至少1秒。匹配锁定时间不应低于某一合适值(例如,约3秒),否则系统将不具有足够时间进行调谐。
在某些实施例中,视所用化学处理而定,就等离子体负载而言,自CW模式至脉冲模式的过渡可能相当明显。在此情况下,脉冲频率脉冲模式可有效用于源脉冲。如果在工作周期为90%的情况下调谐系统,则至所需工作周期(例如50%)的过渡可能较自CW切换更平滑。在某些实施例中,此技术可用于在低压力的情况下(例如,低于10m托)进行工艺操作。
或者,在某些实施例中,可在偏压RF电源处于脉冲模式且源RF电源处于固定模式下操作系统100。当偏压RF电源设定为CW频率脉冲模式时。可选择一CW/脉冲时间来控制RF电源处于CW模式的时间长度,例如6秒。此时间可减少为不小于4秒,以确保在切换为脉冲模式之前,在CW模式下对系统进行调谐。可选择一固定/频率时间以控制在开启调频之前RF电源处于固定模式的时间长度,例如约5秒。在某些实施例中,可在脉冲模式开启之前/之后1秒开启调频(假定对应匹配处于锁定状态)。在某些实施例中,匹配网络不应处于自动模式或调频状态,因此在开启调频之前其可置于锁定模式。可能会出现短时间的重迭(例如,小于5秒的重迭),以确保脉冲等离子体操作的最佳调谐位置。
在此类操作模式下,源RF电源可置于CW模式或CW频率模式。当源RF电源处于CW模式而非调频模式下时,其对应匹配可处于自动锁定模式。然而,在一段时间(例如,约8秒)之后,可将匹配切换为锁定模式,以便源在偏压RF电源切换为脉冲模式之后进行调谐。在某些实施例中,源RF电源可设定为处于CW频率模式,而非CW模式。因此,源匹配可设定为自动锁定模式。锁定时间通常小于固定频率时间,以避免任何可能的竞争。匹配锁定时间可较RF电源CW/脉冲时间及固定频率时间短至少约1秒。匹配自动锁定时间应具有足够长的持续时间,例如约3秒以上,以确保系统具有足够时间进行调谐。
在某些实施例中,视所用化学处理而定,就等离子体负载而言,自CW模式至脉冲模式的过渡可能相当明显。在此情况下,脉冲频率脉冲模式可有效用于源脉冲。如果在工作周期为90%的情况下调谐系统,则至所需工作周期(例如30%)的过渡可能较自CW切换更平滑。在某些实施例中,此技术可用于在低压力的情况下(例如,低于10m托)进行工艺操作。
或者,在某些实施例中,可在同步脉冲模式下操作系统100。在此模式下,源RF电源及偏压RF电源应处于相同的RF模式,且为主/从组态。可提供一较脉冲持续时间短的从延迟,以确保从RF电源接收同步信号。
对于源及偏压脉冲情况,可使用脉冲频率同步脉冲模式,在该模式下,系统在工作周期为90%、以一同步方式开始操作于脉冲模式下,然后切换为所需的工作周期。对于低压工艺(例如,低于约10m托),主要推荐使用此方式。
或者,在某些实施例中,系统100可操作于背对背RF脉冲模式下,在该模式中,将在处理期间更改脉冲频率及/或工作周期。在此模式下,可将一第一步骤用作一稳定步骤。一第二步骤为一同步脉冲步骤,类似于本文鉴于相同考虑而论述的彼等步骤。在该第二步骤中开启RF之后5秒之后,开启两个RF电源端的调频。6秒之后,系统开始以3kHz及60%的工作周期进行脉冲处理。在系统抵达其稳定状态之后(亦即,实现调谐),源RF电源及/或偏压RF电源的实际频率可能不同于调频处于开启状态时的标称值(如,13.56MHz)。在一第三步骤中,当RF电源的工作周期切换到某些其它值时,系统可维持相同的调谐情况。为维持调频,在第二步骤结束之前,RF保持开启状态(相对于关闭RF,并重新启动工艺)。在第三步骤中,选择与第二步骤中相同的RF模式。然而,为了维持RF电源处于脉冲模式及调频模式,可在源及偏压RF电源中将“CW/脉冲”及“固定/频率”逾时设定为零。藉此,实现了维持在第二步骤中实现的调频,且较的在RF电源不提供RF功率且系统未调谐的情况下启动,系统在第三步骤中将更快抵达其稳定状态。若在第二步骤结束时匹配处于锁定状态,则其在第三步骤亦应处于锁定状态。
在某些实施例中,可利用一特殊嵌埋脉冲,其适用于本文所述任一脉冲模式。在一嵌埋脉冲模式中,一RF脉冲临时嵌埋于另一RF脉冲中。换言之,一第一RF电源可具有一第一开启时间,且一第二RF电源具有一小于或等于该第一开启时间的第二开启时间。相对于该第一开启时间,该第二开启时间的临时位置应能够使得第二RF功率的启动时间将始终不会与第一RF功率的关闭时间重迭。因此,一RF电源脉冲的更短(或相等)的开启时间将完全与另一RF电源脉冲的更长(或相等)的开启时间重迭。作为示例,若源RF电源具有五秒的开启时间及五秒的关闭时间(工作周期为50%),则偏压RF电源可具有小于或等于五秒的开启时间,且该时间处于临时校准状态,使得在源RF电源关闭时,偏压RF电源绝不处于开启状态。
下述脉冲等离子体处理工艺可适用于源电源或偏压电源的匹配网络,或二者的匹配网络。本发明某些实施例中的技术可用于操作任何可调谐的匹配网络及可调谐的频率RF电源,以有效将脉冲RF功率施加于等离子体反应器中的等离子体。因而,在更宽的脉冲等离子体工艺窗口期间,当经由耦接至匹配网络的天线或电极驱动之时,匹配网络及RF电源可使RF电源的阻抗与等离子体的阻抗相匹配。
RF脉冲程序(特定非限制性实例)
如之前所提及,在晶圆上所执行的某些等离子体增强工艺期间,晶圆表面上可能会累积大量电荷。此累积现象可能会对晶圆带来显著损害。为缓解电荷效应并避免其损害,可对供应至等离子体增强反应器中等离子体的电源进行脉冲处理。经由在等离子体工艺中利用RF脉冲处理,应可引入一动态调谐系统,以最大化自RF脉冲电源耦接至等离子体的能量。
在习知等离子体工艺中,传递至等离子体反应器的是连续波(CW)RF功率。一动态匹配网络确保RF功率的输出有效耦接至等离子体,亦即,最小化反射回RF电源的反射功率。在处理期间,随着等离子体特性发生改变,匹配网络可连续调节,以确保实现匹配且在整个处理期间维持匹配,因此匹配网络处于自动模式。大体而言,由执行工艺配方的控制器来控制匹配网络,并调节匹配网络的电容及/或电感来实现更佳匹配,亦即使得反射功率更小。调节电容及/或电感经由机械调谐电容器及/或电感器来达成。一旦传递到等离子体反应器的RF功率经脉冲处理,习知匹配网络将无法调谐系统实现合理的低反射功率,因为较的RF脉冲持续时间,调谐过程可能更慢。因此,当脉冲RF信号施加于匹配网络时,其无法跟踪工艺期间等离子体阻抗的变化,且因此连续调节可导致反射功率过大且等离子体功率耦接效率降低。因此,当将脉冲RF信号施加于等离子体反应器中时,极其需要匹配技术及程序来确保在等离子体增强半导体晶圆处理系统中有效操作。在传递电源时,当试图将RF脉冲施加于源及偏压RF电源时,经解耦的等离子体源反应器中的匹配问题成为关键。
本文所揭示的本发明某些实施例将一额外特征适用于脉冲RF电源,其能提供快速的调频。引入此特征的主要用途在于,经由在给定范围内(~5%)调谐主RF操作频率,减小反射到RF电源的功率,因此提高等离子体匹配的动态范围。然而,对于将匹配网络用作其主匹配系统的等离子体反应器,此调频的动态范围较窄,且相应地当操作于CW模式时其无法用来取代习知匹配网络。此外,在RF电源中启用调频,同时启用可自动调节的匹配网络,将在两个调谐程序之间产生竞争,且因此在操作于CW模式时降低耦接至等离子体的RF功率。
一旦脉冲RF施加于等离子体反应器,可利用调频特征来联合操作匹配网络,以达成更小的反射功率,且因此赋能高效的脉冲等离子体工艺。本文所揭示的本发明某些实施例引入了在基于时间分解的调谐方案期间用于等离子体电抗匹配的有效程序。此等程序在宽的操作窗口内提供稳定的脉冲等离子体,系统中无需使用额外设备。然而对于某些配方,此等程序中的一者较另一者更快进入调谐状态,使得短时工艺利用等离子体脉冲。
在美国专利第6,818,562号中,Valentin Todorow等人提出在CW模式中激发等离子体,且在激发等离子体之后,匹配网络自动对自身进行调节,以确保反射功率最小。一旦达成所需匹配,将锁定匹配网络调谐参数,且系统将切换到脉冲模式(RF功率经脉冲处理)。然而,此技术所揭示的操作窗口较窄,且在不利用调频的情况下,当试图对等离子体反应器中的源及偏压进行脉冲处理时,几乎不可能达成任何稳定脉冲操作。
以下引入若干程序,其中每一程序需遵循一列步骤,以促进在脉冲处理时实现稳定的操作。经由利用此等程序,应能够显著增强脉冲模式下稳定的操作窗口,为更多的基于等离子体的工艺利用等离子体脉冲机制打下基础。本文所揭示的程序主要适用于当源及偏压RF电源处于脉冲模式的等离子体脉冲。然而,可以多种应用方式来实施以下概念:在脉冲等离子体操作中以时间分解的方式操作快速调频与动态匹配网络的组合。举例而言,时间分解调谐方案适用于所有以下RF机制:1)源处于脉冲模式且偏压处于CW模式;2)偏压处于脉冲模式且源处于CW模式;3)源及偏压均以异步方式进行脉冲处理;及4)源及偏压均以完全同步方式进行脉冲处理,或具有相位控制。
当源及偏压处于脉冲模式时,以下两个例示性程序可用于调谐。以下两个程序时间分解概念的特例,此处提供作为特定应用中有效程序的实例。对于具有不同参数(例如化学处理、压力、功率电平及类似参数)的工艺,可对本文所述程序进行改变。举例而言,为提供及/或维持低反射功率,可对上述工艺进行逆序操作,或以不同次序执行步骤。
程序#1.CW至脉冲
在此程序中,以CW模式激发等离子体,同时使源及偏压RF电源处于固定频率(例如,约13.56MHz),且匹配网络处于自动模式。一旦匹配网络经过调节以确保来自源及偏压的反射功率最小(此过程可能需要2-3秒),将固定源匹配网络参数,同时将偏压匹配网络保持在自动模式。此后将为源RF电源开启调频,而偏压RF电源的调频保持关闭。大约1秒之后,可开启脉冲模式,随后为偏压RF电源开启调频,并将源匹配网络切换回自动模式。系统实现稳定且实现最小的反射功率还需要约2-4秒(视匹配网络的预设及配方参数而定)。现在系统可操作于脉冲模式。
总结:
1.将RF电源设定为主/从模式,赋能同步脉冲模式。
2.将RF电源设定为固定频率。
3.将源RF电源的脉冲频率或工作周期设定为零。
4.将匹配网络设定为自动模式。
5.开启RF电源,以便进行CW操作。
6.当调谐CW模式时,将源匹配网络转到锁定模式,并将偏压匹配网络保持在自动模式。
7.在源RF电源端开启调频。
8.开启脉冲模式(经由在源RF电源端将脉冲频率及工作周期设为非零)。
9.在偏压RF电源端开启调频。
10.将源匹配网络切换回自动模式。
11.系统脉冲操作就绪。
12.系统调谐之后,将匹配网络切换至锁定模式。在某些情况下,可跳过步骤10,而将源匹配网络保持在锁定模式(与步骤6中的设定相同)。举例而言,对于高压工艺(例如,大于约10m托)以及某些低压工艺,可跳过步骤10。
使用此程序,发明人还会遇到以下情况:在CW模式期间,RF电源端的调频模式与匹配网络端的自动模式同时起作用,因此避免了调谐算法竞争(可能会导致不稳定)。调谐源与调谐偏压的差异因为以下事实:在习知解耦等离子体源RF电源中,偏压的匹配网络达成较源更低的反射功率,且对于任何实用用途,来自偏压的反射功率都相同为零。因此,经由在系统处于CW模式时将偏压匹配网络切换为锁定状态,并启动调频,来改变该零反射功率,因为RF电源试图达成更佳的调谐(而此不可能),因而可导致不稳定。后者主要对于低压工艺较为明显。此问题在源中不会发生,因为匹配网络所达成的反射功率较小,虽然不完全等于零,且因此当匹配网络处于锁定甚至CW模式时,经由适用快速调频,存在达成较低反射功率的余地。
程序#2:脉冲至脉冲
此程序主要基于以下发现:几乎在任何脉冲频率下(所用典型频率位于100Hz-50kHz范围内)且在工作周期约为90%(或在约85-95%之间)情况下,对源及偏压施加脉冲,效果将相当接近CW模式,对于可运行于CW模式中的相同配方,很容易达成较低的反射功率。然而,主要差异在于事实:RF电源运行于脉冲模式,且相应地,可能的情况便是,匹配网络处于自动模式同时RF电源处于调频模式,而不会进入竞争机制。此程序中需要遵循的步骤序列如下。在所需脉冲频率且在工作周期约为90%(或在约85-95%之间)的情况下,将RF电源设定为脉冲模式。为偏压及源开启调频,并将匹配网络设定为自动模式。此后,开启RF电源。系统需要约2-3秒进入稳定状态并将自身调节至最小反射功率。之后,在RF电源仍处于开启状态下,在操作窗口内,自约90%将工作周期更改为所需值。系统需要另外2-3秒来对自身进行调谐,然后在所需脉冲模式下进入操作就绪状态。
总结:
1.将RF电源设定为主/从模式,赋能同步脉冲模式。
2.将RF电源设定为频率扫描状态。
3.将源RF电源工作周期设定为约90%(或位于85-95%之间),且将脉冲频率设定为所需操作频率。
4.将匹配网络设定为自动模式。
5.开启RF电源,以便进入脉冲模式。
6.进行调谐时,将工作周期切换为所需工作周期。
7.系统可操作于脉冲模式。
8.系统调谐之后(步骤7之后2-3秒),将匹配网络切换为锁定模式。在一定条件下,在步骤6中调谐系统之后但在将工作周期切换为所需值之前,建议将匹配网络切换为锁定模式。在此等情况下,不再需要步骤8,可略去。
在前述两个程序中,当脉冲处理时,源及偏压脉冲可完全同步(例如,在任何给定时间,脉冲包络之间的相位为零)。若不同步脉冲,则更难以达成较低的反射功率,虽然同时对源及偏压进行脉冲处理。然而,基于前述时间分解程序,可获得较小的反射功率,即便脉冲之间的相位不为零。
此外,此处所引入的程序增大了等离子体电抗匹配的动态范围。然而,其明显增大了等离子体脉冲的操作窗口,使得更多工艺可以利用此机制。此动态范围主要由两个特征决定。第一特征为匹配网络中电容器/电感器的动态范围。第二特征为RF电源中的调频范围。修改此两个特征将可更改脉冲模式下的有效操作窗口。
决定使用上述程序中哪一者的主要参数(确定所涉配方的特征)为压力设定点。可区分两组操作,第一为低压操作(例如对于硅蚀刻,低于约10m托),第二为高压操作。前述两个程序均可对系统进行稳定调谐,同时在稳定的操作窗口内在脉冲模式下操作。对于高压操作,两种方法表现出类似结果,具有相同的时间讯框。然而,对于低压操作,第二种方法更快(3-4秒,相比6秒)。
尽管前述关于本发明的实施例,但在不偏离本发明的基本范畴的条件下,可设计本发明的其它及进一步实施例。

Claims (15)

1.一种设备,包含:
一具有调频的第一RF电源;
一耦接至该第一RF电源的第一匹配网络;及
一用于读取反射回该第一RF电源的反射RF功率的第一共同感应器,该共同感应器经耦接至该第一RF电源及该第一匹配网络。
2.如权利要求1所述的设备,进一步包含:
一具有一天线组件及一阴极基座的工艺腔室,该天线组件安置于靠近该工艺腔室的一盖子处,该阴极基座用于支撑一待处理的基板,其中该第一RF电源经耦接至该天线组件;及
一具有调频且耦接至该阴极基座的第二RF电源、一耦接至该第二RF电源的第二匹配网络,及一用于读取反射回该第二RF电源的反射RF功率的第二共同感应器,该第二共同感应器耦接至该第二RF电源及该第二匹配网络。
3.如权利要求1至2中的任何一者所述的设备,进一步包含:
一控制器,用于基于自该第一共同感应器的读数来调谐该第一RF电源及该第一匹配网络,若存在有该第二匹配网络、该第二RF电源、及该第二共同感应器,则用于基于自该第二共同感应器的读数调谐该第二RF电源和该第二匹配网络。
4.如权利要求1至3中的任何一者所述的设备,其中该第一匹配网络嵌埋在该第一RF电源内,且其中该控制器基于由该第一共同感应器测量该第一RF电源的输出所提供的共享读数来控制该第一匹配网络的调谐以及一具有该第一RF电源的一RF周期的频率二者;及
若存在有该第二匹配网络、该第二RF电源、和该第二共同感应器,其中该第二匹配网络嵌埋在该第二RF电源内,且其中该控制器基于由该第二共同感应器测量该第二RF电源的输出所提供的共享读数来控制该第二匹配网络的调谐及一具有该第二RF电源的一RF周期的频率二者。
5.如权利要求2所述的设备,进一步包含:
一链接,用于耦接该第一RF电源与该第二RF电源,以促进一RF电源的操作与另一RF电源同步,其中该第一RF电源与该第二RF电源经组态而以完全同步的状态或以一所需相差的状态而操作。
6.如权利要求1至5中的任何一者所述的设备,其中该第一RF电源与若存在有的该第二RF电源可操作于一脉冲模式下,该脉冲模式具有一位于约100Hz与约100kHz之间的脉冲频率。
7.如权利要求1至5所述的任何一者所述的设备,其中该第一RF电源与若存在有的该第二RF电源可在一工作周期下操作,该工作周期位于约10%与约90%之间。
8.一种使用一源RF电源及一偏压RF电源对一操作一等离子体工艺的系统进行调谐的方法,每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室,该方法包含下列步骤:
使用该源RF电源及该偏压RF电源在一工艺腔室中激发一等离子体,每一电源设定于一连续波模式或一固定频率模式,该源匹配网络及该偏压匹配网络各自设定为一自动调谐模式;
在这些匹配网络调节以减小来自该源及该偏压RF发生器二者的反射功率之后,将该源匹配网络更改为锁定模式,同时将该偏压匹配网络保持在自动模式;
开启该源RF电源的调频,同时将该偏压发生器的调频保持关闭状态;
开启该源RF电源及/或该偏压RF电源的一脉冲模式;及
将该偏压RF电源置于调频模式。
9.如权利要求8所述的方法,进一步包含下列步骤:
在将该偏压RF电源置于调频模式之后,使该源匹配网络返回至自动模式。
10.如权利要求8所述的方法,其中该源RF电源及该偏压RF电源处于一主/从配置,以提供同步或嵌埋的同步脉冲,或其中该源RF电源及该偏压RF电源各处于一主模式,以使得该源RF电源操作于脉冲模式,且该偏压RF电源操作于连续波模式,或该偏压RF电源操作于脉冲模式,而该源RF电源操作于连续波模式。
11.一种使用一源RF电源及一偏压RF电源对一操作一等离子体工艺的系统进行调谐的方法,每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室,该方法包含下列步骤:
(a)经由自处于调频模式下的该源RF电源及/或该偏压RF电源提供RF功率来在一工艺腔室中形成一等离子体,这些电源处于一所需脉冲频率及一位于约85%与约95%之间的初始工作周期的条件下,且该源匹配网络及该偏压匹配网络处于自动调谐模式;及
(b)在该源RF电源及该偏压RF电源仍处于开启状态的同时,在这些匹配网络调节以降低来自该源及该偏压RF发生器二者的反射功率之后,将该初始工作周期更改为一所需工作周期。
12.如权利要求11所述的方法,进一步包含下列步骤:
(c)在将该初始工作周期更改为一所需工作周期之后,将这些匹配网络切换为锁定模式。
13.如权利要求11所述的方法,其进一步包含下列步骤:
(c)在这些匹配网络调节以降低来自该源及该偏压RF发生器二者的反射功率之后,且在将该初始工作周期更改为一所需工作周期之前,将这些匹配网络切换为锁定模式。
14.如权利要求11所述的方法,其中该源RF电源及该偏压RF电源处于一主/从配置,以提供同步或嵌埋的同步脉冲,或其中该源RF电源及该偏压RF电源各处于一主模式,以使得该源RF电源操作于脉冲模式,且该偏压RF电源操作于连续波模式,或该偏压RF电源操作于脉冲模式,而该源RF电源操作于连续波模式。
15.一种以一时间分解方式使用一源RF电源及一偏压RF电源对一操作一等离子体工艺的系统进行调谐的方法,每一电源能够进行调频且分别经由一源匹配网络及一偏压匹配网络耦接至一工艺腔室,该方法包含下列步骤:
使用该源RF电源及该偏压RF电源在一工艺腔室中激发一等离子体,每一电源经独立设定为处于一自一连续波模式或一脉冲模式选择的第一操作模式,并处于一自一固定频率模式或一调频模式选择的第一调谐模式,其中该源匹配网络及该偏压匹配网络经各自独立设定为处于一自一自动调谐模式或一锁定模式选择的第一匹配模式;
在一第一时间周期过期时,切换该源RF电源及该偏压RF电源的一者或二者的该第一操作模式;
在一第二时间周期过期时,切换该源RF电源及该偏压RF电源的一者或二者的该第一调谐模式;以及
在一第三时间周期过期时,切换该源匹配网络及该偏压匹配网络的一者或二者的该第一匹配模式;
其中该第一、第二及第三时间周期经选择以减小一反射回该源RF电源及该偏压RF电源的反射功率。
CN200980117820.6A 2008-05-14 2009-05-13 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备 Active CN102027810B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12763408P 2008-05-14 2008-05-14
US61/127,634 2008-05-14
PCT/US2009/043771 WO2009140371A2 (en) 2008-05-14 2009-05-13 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery

Publications (2)

Publication Number Publication Date
CN102027810A true CN102027810A (zh) 2011-04-20
CN102027810B CN102027810B (zh) 2014-08-13

Family

ID=41315533

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980117820.6A Active CN102027810B (zh) 2008-05-14 2009-05-13 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备

Country Status (6)

Country Link
US (1) US8264154B2 (zh)
JP (2) JP2011525682A (zh)
KR (2) KR101528528B1 (zh)
CN (1) CN102027810B (zh)
TW (2) TWI519211B (zh)
WO (1) WO2009140371A2 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102766857A (zh) * 2011-05-04 2012-11-07 诺信公司 在多个电极间均匀分配射频功率的等离子体处理系统和方法
CN103632914A (zh) * 2012-08-24 2014-03-12 株式会社日立高新技术 等离子体处理装置以及等离子体处理方法
CN103681195A (zh) * 2012-09-14 2014-03-26 朗姆研究公司 基于三个或更多个状态的功率和频率的调节
CN103814155A (zh) * 2011-07-06 2014-05-21 朗姆研究公司 等离子体处理室中的同步且缩短的主从式射频脉冲
CN104160789A (zh) * 2012-02-22 2014-11-19 朗姆研究公司 在等离子体处理系统中同步rf脉冲的方法和装置
CN104518753A (zh) * 2013-10-01 2015-04-15 朗姆研究公司 使用建模、反馈和阻抗匹配来控制蚀刻速率
TWI501289B (zh) * 2013-04-09 2015-09-21 Hitachi High Tech Corp A plasma processing method and a plasma processing apparatus
CN105247967A (zh) * 2013-06-17 2016-01-13 应用材料公司 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
CN107836031A (zh) * 2015-07-13 2018-03-23 Mks仪器有限公司 用于连续模式和脉冲模式操作的统一rf功率传输单输入、多输出控制
CN108028165A (zh) * 2015-10-05 2018-05-11 应用材料公司 用于处理基板的射频功率传输调节
CN108028166A (zh) * 2015-10-13 2018-05-11 应用材料公司 用于处理基板的射频脉冲反射减量
CN108666197A (zh) * 2017-03-31 2018-10-16 北京北方华创微电子装备有限公司 一种脉冲功率源和半导体设备
CN110648888A (zh) * 2018-06-27 2020-01-03 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
CN110945622A (zh) * 2017-04-07 2020-03-31 朗姆研究公司 射频匹配网络中用于频率调谐辅助双电平脉冲的辅助电路
CN111293021A (zh) * 2018-12-07 2020-06-16 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
CN111602223A (zh) * 2017-11-15 2020-08-28 朗姆研究公司 以非重叠方式施加频率和匹配调谐以处理衬底的系统和方法

Families Citing this family (434)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP2011521735A (ja) * 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマを発生させるためのシステム、方法、および装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP2010238881A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110094683A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Rf feed structure for plasma processing
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
JP5946227B2 (ja) 2011-01-04 2016-07-05 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 電力送達システム、電力制御システム、および、電力を送達するまたは電力制御する方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
US8828259B2 (en) * 2011-07-07 2014-09-09 Lam Research Corporation Methods for automatically determining capacitor values and systems thereof
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
CN103327723A (zh) * 2012-03-23 2013-09-25 中微半导体设备(上海)有限公司 一种电容耦合等离子反应器及其控制方法
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103730316B (zh) 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
KR102010321B1 (ko) * 2013-01-10 2019-08-13 삼성전자주식회사 플라즈마 처리 방법과 상기 방법을 이용할 수 있는 장치들
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
US9336995B2 (en) * 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
US9837252B2 (en) * 2013-05-09 2017-12-05 Lam Research Corporation Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9472416B2 (en) 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
TWI677263B (zh) * 2014-04-23 2019-11-11 美商蘭姆研究公司 軟脈動
KR101522891B1 (ko) * 2014-04-29 2015-05-27 세메스 주식회사 플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
EP3029711B1 (en) 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US12119206B2 (en) 2015-02-18 2024-10-15 Asm America, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10164483B2 (en) 2015-03-17 2018-12-25 Semiconductor Components Industries, Llc Tunable resonant inductive coil systems for wireless power transfer and near field communications
EP3091559A1 (en) 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9876476B2 (en) * 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6043852B2 (ja) * 2015-10-01 2016-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9614524B1 (en) 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
KR20170075887A (ko) * 2015-12-23 2017-07-04 삼성전자주식회사 플라즈마 처리 장치, 그의 플라즈마 처리 방법, 및 플라즈마 식각 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR20170103657A (ko) * 2016-03-03 2017-09-13 램 리써치 코포레이션 매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 시스템들 및 방법들
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) * 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9839109B1 (en) 2016-05-30 2017-12-05 Applied Materials, Inc. Dynamic control band for RF plasma current ratio control
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10424467B2 (en) * 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11538662B2 (en) 2019-05-21 2022-12-27 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR102359463B1 (ko) * 2020-04-21 2022-02-08 인제대학교 산학협력단 온/오프 스위칭이 가능한 중주파 플라즈마 처리장치
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
JP2021180215A (ja) 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び制御装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP2023553066A (ja) * 2020-12-08 2023-12-20 ラム リサーチ コーポレーション 低周波rf発生器および関連する静電チャック
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11538663B2 (en) 2021-02-23 2022-12-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11749505B2 (en) * 2021-02-23 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
WO2022270347A1 (ja) * 2021-06-21 2022-12-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
JP2023050839A (ja) * 2021-09-30 2023-04-11 株式会社ダイヘン 高周波電源装置
US11877378B2 (en) * 2021-10-08 2024-01-16 National Yang Ming Chiao Tung University Plasma fine bubble liquid generating apparatus
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US12046448B2 (en) 2022-01-26 2024-07-23 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US12046449B2 (en) * 2022-04-22 2024-07-23 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1233147A (zh) * 1998-02-09 1999-10-27 恩尼技术公司 射频等离子体发生器的比值自动调谐算法
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US20040107906A1 (en) * 2000-08-11 2004-06-10 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
CN1507646A (zh) * 2001-03-30 2004-06-23 ��ķ�о����޹�˾ 具有多个绕组线圈的感应等离子处理器和控制等离子浓度的方法
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2727607B2 (ja) * 1988-11-22 1998-03-11 株式会社ダイヘン プラズマ装置のインピーダンス整合方法
JP2737377B2 (ja) * 1990-06-25 1998-04-08 富士電機株式会社 プラズマ処理装置
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
JP2884056B2 (ja) * 1995-12-07 1999-04-19 パール工業株式会社 放電プラズマ発生用高周波電源装置及び半導体製造装置
US6353206B1 (en) * 1996-05-30 2002-03-05 Applied Materials, Inc. Plasma system with a balanced source
JPH10241895A (ja) * 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
JP3629705B2 (ja) * 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
JPH11345803A (ja) * 1998-06-03 1999-12-14 Matsushita Electric Ind Co Ltd プラズマ発生加工方法およびプラズマ発生加工装置
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
JP2003179045A (ja) * 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法
US6703080B2 (en) * 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
CN1233147A (zh) * 1998-02-09 1999-10-27 恩尼技术公司 射频等离子体发生器的比值自动调谐算法
US20040107906A1 (en) * 2000-08-11 2004-06-10 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
CN1507646A (zh) * 2001-03-30 2004-06-23 ��ķ�о����޹�˾ 具有多个绕组线圈的感应等离子处理器和控制等离子浓度的方法
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102766857B (zh) * 2011-05-04 2016-04-13 诺信公司 等离子体处理系统
CN102766857A (zh) * 2011-05-04 2012-11-07 诺信公司 在多个电极间均匀分配射频功率的等离子体处理系统和方法
CN103814155B (zh) * 2011-07-06 2016-05-18 朗姆研究公司 等离子体处理室中的同步且缩短的主从式射频脉冲
CN103814155A (zh) * 2011-07-06 2014-05-21 朗姆研究公司 等离子体处理室中的同步且缩短的主从式射频脉冲
CN104160789A (zh) * 2012-02-22 2014-11-19 朗姆研究公司 在等离子体处理系统中同步rf脉冲的方法和装置
CN103632914B (zh) * 2012-08-24 2016-10-05 株式会社日立高新技术 等离子体处理装置以及等离子体处理方法
CN103632914A (zh) * 2012-08-24 2014-03-12 株式会社日立高新技术 等离子体处理装置以及等离子体处理方法
CN103681195A (zh) * 2012-09-14 2014-03-26 朗姆研究公司 基于三个或更多个状态的功率和频率的调节
CN103681195B (zh) * 2012-09-14 2017-03-01 朗姆研究公司 基于三个或更多个状态的功率和频率的调节
TWI501289B (zh) * 2013-04-09 2015-09-21 Hitachi High Tech Corp A plasma processing method and a plasma processing apparatus
CN105247967A (zh) * 2013-06-17 2016-01-13 应用材料公司 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
CN104518753A (zh) * 2013-10-01 2015-04-15 朗姆研究公司 使用建模、反馈和阻抗匹配来控制蚀刻速率
CN104518753B (zh) * 2013-10-01 2018-07-10 朗姆研究公司 使用建模、反馈和阻抗匹配来控制蚀刻速率
CN107836031A (zh) * 2015-07-13 2018-03-23 Mks仪器有限公司 用于连续模式和脉冲模式操作的统一rf功率传输单输入、多输出控制
CN108028165B (zh) * 2015-10-05 2020-01-07 应用材料公司 用于处理基板的射频功率传输调节
CN108028165A (zh) * 2015-10-05 2018-05-11 应用材料公司 用于处理基板的射频功率传输调节
CN108028166A (zh) * 2015-10-13 2018-05-11 应用材料公司 用于处理基板的射频脉冲反射减量
CN108028166B (zh) * 2015-10-13 2020-02-18 应用材料公司 用于处理基板的射频脉冲反射减量
TWI695411B (zh) * 2015-10-13 2020-06-01 美商應用材料股份有限公司 用於處理基板的射頻脈衝反射減量的方法和系統
CN108666197A (zh) * 2017-03-31 2018-10-16 北京北方华创微电子装备有限公司 一种脉冲功率源和半导体设备
CN110945622A (zh) * 2017-04-07 2020-03-31 朗姆研究公司 射频匹配网络中用于频率调谐辅助双电平脉冲的辅助电路
CN110945622B (zh) * 2017-04-07 2023-09-12 朗姆研究公司 射频匹配网络中用于频率调谐辅助双电平脉冲的辅助电路
CN111602223A (zh) * 2017-11-15 2020-08-28 朗姆研究公司 以非重叠方式施加频率和匹配调谐以处理衬底的系统和方法
CN111602223B (zh) * 2017-11-15 2024-04-05 朗姆研究公司 以非重叠方式施加频率和匹配调谐以处理衬底的系统和方法
CN110648888A (zh) * 2018-06-27 2020-01-03 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11056316B2 (en) 2018-06-27 2021-07-06 Beijing Naura Microelectronics Equipment Co., Ltd. Radio frequency pulse matching method and device thereof and pulsing plasma generation system
CN111293021A (zh) * 2018-12-07 2020-06-16 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
CN111293021B (zh) * 2018-12-07 2024-01-12 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置

Also Published As

Publication number Publication date
CN102027810B (zh) 2014-08-13
TWI586224B (zh) 2017-06-01
US20090284156A1 (en) 2009-11-19
WO2009140371A3 (en) 2010-04-01
JP2014222657A (ja) 2014-11-27
JP2011525682A (ja) 2011-09-22
TW201542042A (zh) 2015-11-01
JP5877873B2 (ja) 2016-03-08
TW201010524A (en) 2010-03-01
KR20150017389A (ko) 2015-02-16
KR20110019743A (ko) 2011-02-28
TWI519211B (zh) 2016-01-21
KR101528528B1 (ko) 2015-06-12
US8264154B2 (en) 2012-09-11
WO2009140371A2 (en) 2009-11-19

Similar Documents

Publication Publication Date Title
CN102027810A (zh) 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备
US6818562B2 (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
CN105247967B (zh) 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
CN108028167B (zh) 具有近似锯齿波脉冲的rf功率传输
KR101510775B1 (ko) 동기식 펄스 플라즈마 에칭 장비
US9318304B2 (en) Frequency tuning for dual level radio frequency (RF) pulsing
US9099284B2 (en) Method and system for autotuning of RF match
KR100525961B1 (ko) 플라즈마시스에서발생하는고주파를필터링하는플라즈마처리장치및방법
US20160044775A1 (en) State-based adjustment of power and frequency
US10854427B2 (en) Radio frequency (RF) pulsing impedance tuning with multiplier mode
WO2018169631A1 (en) Smart rf pulsing tuning using variable frequency generators
CN103515181B (zh) 用于在具有电极的等离子体处理系统中处理衬底的方法和装置
US20210013005A1 (en) Process control enabled vdc sensor for plasma process
TWI837114B (zh) 用於頻率產生器的共同激勵的方法與設備
KR20140090324A (ko) 기판 처리 장치 및 기판 처리 방법
US20240194447A1 (en) Learning based tuning in a radio frequency plasma processing chamber
TW202344142A (zh) 用於處理基板的方法及裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant