KR20110019743A - Rf 전력 전달을 위한 시간 분석된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치 - Google Patents

Rf 전력 전달을 위한 시간 분석된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치 Download PDF

Info

Publication number
KR20110019743A
KR20110019743A KR1020107028091A KR20107028091A KR20110019743A KR 20110019743 A KR20110019743 A KR 20110019743A KR 1020107028091 A KR1020107028091 A KR 1020107028091A KR 20107028091 A KR20107028091 A KR 20107028091A KR 20110019743 A KR20110019743 A KR 20110019743A
Authority
KR
South Korea
Prior art keywords
power supply
source
bias
mode
matching network
Prior art date
Application number
KR1020107028091A
Other languages
English (en)
Other versions
KR101528528B1 (ko
Inventor
사머 반나
발렌틴 토도로우
카르틱 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110019743A publication Critical patent/KR20110019743A/ko
Application granted granted Critical
Publication of KR101528528B1 publication Critical patent/KR101528528B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 실시예들은 일반적으로 넓은 프로세스 윈도우에 걸쳐서 펄스화된 플라즈마 처리를 위한 방법 및 장치를 제공한다. 일부 실시예들에서, 장치는 주파수 조정을 갖는 RF 전력 공급부, 및 상기 RF 전력 공급부로 재반사되는 반사 RF 전력을 판독하기 위한 공통 센서를 공유하고 상기 RF 전력 공급부에 결합된 정합망을 포함할 수 있다. 일부 실시예들에서, 장치는 주파수 조정을 갖는 RF 전력 공급부, 및 RF 전력 공급부로 재반사되는 반사 RF 전력을 판독하기 위한 공통 센서 및 RF 전력 공급부와 정합망을 각각 조정하기 위한 공통 제어기를 공유하고 RF 전력 공급부에 결합된 정합망을 포함할 수 있다.

Description

RF 전력 전달을 위한 시간 분석된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치{METHOD AND APPARATUS FOR PULSED PLASMA PROCESSING USING A TIME RESOLVED TUNING SCHEME FOR RF POWER DELIVERY}
본 발명의 실시예들은 일반적으로 반도체 기판 처리 시스템에 관한 것으로서, 보다 구체적으로는 펄스화된(plused) 플라즈마를 이용하여 기판들을 처리하기 위한 방법 및 장치에 관한 것이다.
반도체 집적회로(IC) 제조에서, 컴포넌트 트랜지스터들과 같은 소자(device)들은 전형적으로 실리콘으로 제조되는 반도체 웨이퍼 기판 상에 형성된다. 제조 프로세스 동안, 다양한 물질들은 목표된 집적회로를 형성 또는 구축하기 위해 상이한 층들 상에 증착된다. 다양한 층들은 금속배선(metallization line)들에 의해 상호연결된 소자들을 한정(define)한다. 소자들 및 금속배선들을 이미 포함하는 웨이퍼들(기판들로도 종래기술에서 지칭됨) 상에 수행되는 특정 플라즈마 강화 프로세스들 동안, 많은 양의 전하(charge)가 웨이퍼의 표면 상에 축적될 수 있다. 이러한 전하 축적은 웨이퍼에 걸쳐서 균일하지 않을 수 있다. 따라서, 전하 축적은 금속배선 물질들의 일부에 유도되는 파괴성(destructive) 전류들을 유발하거나 및/또는 유전체층들 내에 아킹(arcing)을 유발할 수 있다. 상기 전류들 및/또는 아킹은 웨이퍼 상에 미리 형성되었던 특정 소자들을 파괴 또는 손상시킬 수 있다. 하전(charging) 효과들을 경감하고 하전 손상을 방지하기 위하여, 플라즈마 강화 반응기 내의 플라즈마에 공급되는 전력은 펄스화될 수 있다. 따라서, 플라즈마에 결합되는 전력은 플라즈마 강화 프로세스의 일부 또는 전부 동안 펄스화된다. 식각 반응기에 사용하기 위한 그러한 기술의 일 예는 2001년 7월 3일자로 등록된 미국특허번호 제6,255,221호에 제시되어 있다.
펄스화된 플라즈마 식각 반응기를 사용하는 한가지 단점은 RF 발생기 또는 RF 소스로부터의 전력이 동적으로 조정되는(tuned) 정합망(matching network)을 통하여 플라즈마 반응기 내의 안테나 또는 전극에 결합되어야 한다는 점이다. 펄스화된 전력은 안테나 또는 전극으로부터 반응기 내부의 프로세스 가스들에 결합되어 식각 프로세스를 위해 사용되는 플라즈마를 형성한다. 정합망은 RF 소스의 출력이 플라즈마에 결합되는 에너지의 양을 극대화하기에 충분하게 플라즈마에 결합되도록 보장한다. 정합망은 플라즈마의 복소 임피던스에 전형적으로 50옴을 정합시킨다. 처리 동안 플라즈마 특성이 변화함에 따라 동적 정합을 원활하게 하기 위해, 정합망은 처리 전반에 걸쳐서 정합이 달성 및 유지되도록 보장하기 위해 연속적으로 조정가능하다.
일반적으로, 프로세스 방법을 실행하는 제어기는 정합망을 제어한다. 제어기는 또한 정합망으로부터의 반사 전력을 모니터링한다. 정합망으로부터의 반사 전력이 상승되는 경우, 제어기는 챔버 내부의 존재하는 플라즈마에 대한 RF 소스의 보다 충분한 정합을 달성하기 위해 정합망의 커패시턴스 또는 인덕턴스를 조정한다. 고전력 RF 에너지를 플라즈마에 결합하기 위한 정합망들은 일반적으로 기계적으로 조정가능한(tunable) 엘리먼트들(즉, 커패시터들 및/또는 인덕터들)을 포함하기 때문에, 조정 프로세스는 플라즈마에 결합되도록 목표되는 RF 펄스의 펄스 길이에 비해 느릴 수 있다. 따라서, 정합망이 각각의 펄스로 조정됨에 따라 전력을 정합망에 펄싱할 때, 반사 전력은 실제 반사 전력과 불일치하거나 또는 돌발적(sporadic)일 수 있어서, 제어기가 정합망을 과소(under) 또는 과도(over)하게 조정하도록 유발한다. 그러한 연속적인 조정은 과도한 반사 전력 및 플라즈마 전력 결합 효율의 감소를 유발할 수 있다.
따라서, 펄스화된 전력을 이용하는 플라즈마 강화 반도체 웨이퍼 처리 동작을 위한 개선된 방법 및 장치가 종래기술에 필요하다.
본 발명의 실시예들은 일반적으로 넓은 프로세스 윈도우 동안 펄스화된 플라즈마 처리를 위한 방법 및 장치를 제공한다. 일부 실시예들에서, 장치는 주파수 조정(frequency tuning)을 갖는 RF 전력 공급부, 및 상기 RF 전력 공급부에 결합되고 상기 RF 전력 공급부로 재반사되는 반사 RF 전력을 판독하기 위한 공통 센서를 공유하는 정합망을 포함할 수 있다. 일부 실시예들에서, 장치는 주파수 조정을 갖는 RF 전력 공급부, 상기 RF 전력 공급부에 결합되고 상기 RF 전력 공급부로 재반사되는 반사 RF 전력을 판독하기 위한 공통 센서를 공유하는 정합망, 및 상기 RF 전력 공급부와 상기 정합망을 각각 조정하기 위한 공통 제어기를 포함할 수 있다.
일부 실시예들에서, 광범위한 프로세스 방법들, 또는 파라미터들에 걸쳐서 펄스화된 플라즈마 처리를 가능하게 하기 위한 조정 프로시저가 제공된다. 일부 실시예들에서, 조정 프로시저는 고정 주파수의 소스 및 바이어스 발생기들과 자동 모드의 정합망들을 가지면서, 지속파(continuous wave: CW) 모드로 플라즈마를 점화(igniting)하는 단계를 포함할 수 있다. 정합망들이 소스 및 바이어스 둘다로부터 최소 반사 전력을 보장하도록 조정되면(약 2-3초), 바이어스 정합망을 자동 모드로 유지하면서 소스 정합망 파라미터들은 일정하게 유지된다. 그 후에 바이어스 발생기에 대한 주파수 조정을 오프로 유지하면서 소스 발생기에 대한 주파수 조정을 턴온한다. 약 1초 후에, 펄싱 모드가 턴온된 다음, 바이어스 발생기에 대한 주파수 조정을 턴온하고, 소스 정합망을 자동 모드로 복귀(sending back)시킨다. 시스템이 안정화되고 최소 반사 전력을 달성하는데 또 다른 2-4초가 걸린다(정합망의 사전설정들과 방법의 파라미터들에 따라).
일부 실시예들에서, 각각 주파수 조정할 수 있고 소스 정합망과 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되는 마스터/슬레이브 배열(master/slave arrangement)의 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법이 제공된다. 방법은 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 프로세스 챔버 내에 플라즈마를 먼저 점화하는 단계를 포함할 수 있으며, 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 지속파 모드 또는 고정 주파수 모드로 각각 설정되고, 소스 정합망 및 바이어스 정합망은 자동 조정 모드로 각각 설정된다. 그 다음, 소스 정합망은 홀드(hold) 모드로 변경되면서, 정합망들이 소스 RF 발생기 및 바이어스 RF 발생기 둘다로부터의 반사 전력을 감소시키도록 조정된 후에 바이어스 정합망을 자동 모드로 유지할 수 있다. 주파수 조정은 소스 RF 전력 공급부에 대해 턴온되면서 바이어스 발생기에 대해 오프로 유지될 수 있다. 펄싱 모드는 소스 RF 전력 공급부 및/또는 바이어스 RF 전력 공급부에 대해 턴온될 수 있다. 그 다음, 바이어스 RF 전력 공급부는 주파수 조정 모드가 될 수 있다.
일부 실시예들에서, 조정 프로시저는 목표된 펄싱 주파수를 갖지만 약 90% 듀티 사이클(duty cycle)을 갖는 펄싱 모드로 발생기들을 설정하는 단계를 포함할 수 있다. 바이어스 및 소스 둘다에 대해 주파수 조정을 턴온하고 정합망들을 자동 모드로 설정한다. 이와 같이 한 후에, RF 발생기들을 턴온한다. 시스템이 안정화되고 최소 반사 전력으로 자체 조정하는데 약 2-3초가 걸릴 것이다. 그 후에, 발생기들이 여전히 온되면서, 약 90%에서부터 동작 윈도우 내의 목표된 듀티 사이클로 듀티 사이클을 변경한다. 시스템이 자체 조정한 다음, 목표된 펄싱 모드에서 동작 대기하는데 또 다른 2-3초가 걸릴 것이다.
일부 실시예들에서, 각각 주파수 조정할 수 있고 소스 정합망과 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되는 마스터/슬레이브 배열의 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법이 제공된다. 방법은, (a) 소스 정합망 및 바이어스 정합망을 자동 조정 모드로 하면서, 주파수 조정 모드에서 약 85% 내지 95%의 초기 듀티 사이클 및 목표된 펄싱 주파수에서 소스 RF 전력 공급부 및/또는 바이어스 RF 전력 공급부로부터 RF 전력을 제공함으로써 프로세스 챔버 내에 플라즈마를 형성하는 단계; 및 (b) 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 여전히 온(on)하면서, 소스 RF 발생기 및 바이어스 RF 발생기 둘다로부터의 반사 전력을 감소시키도록 정합망들이 조정된 후에 초기 듀티 사이클을 목표된 듀티 사이클로 변경하는 단계를 포함할 수 있다.
일부 실시예들에서, 각각 주파수 조정할 수 있고 소스 정합망 및 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되는 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 시간 분석되는 방식으로 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법이 제공될 수 있다. 방법은 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 프로세스 챔버 내에 플라즈마를 점화하는 단계를 포함할 수 있으며, 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 지속파 모드 또는 펄싱 모드로부터 선택된 제 1 동작 모드, 및 고정 주파수 모드 또는 주파수 조정 모드로부터 선택된 제 1 조정 모드로 각각 독립적으로 설정되고, 소스 정합망 및 바이어스 정합망은 자동 조정 모드 또는 홀드 모드로부터 선택된 제 1 정합 모드로 각각 독립적으로 설정된다. 제 1 시간 기간(period of time)이 종료될 때, 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부 중 하나 또는 둘의 제 1 동작 모드는 스위칭(switch)될 수 있다. 제 2 시간 기간이 종료될 때, 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부 중 하나 또는 둘의 제 1 조정 모드는 스위칭될 수 있다. 제 3 시간 기간이 종료될 때, 소스 정합망 및 바이어스 정합망 중 하나 또는 둘의 제 1 정합 모드는 스위칭될 수 있다. 제 1, 제 2 및 제 3 시간 기간은 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부로 재반사되는 반사 전력을 감소시키도록 선택된다.
다른 실시예들 및 추가적인 실시예들은 이하의 상세한 설명에 제공된다.
본 발명의 앞서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간단히 요약된 본 발명의 보다 특정한 설명은 그 일부가 첨부된 도면들에 도시된 실시예들을 참조로 이루어질 수 있다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하고, 이에 따라서 그 범주의 제한으로서 간주되어서는 안되며 본 발명은 다른 동일하게 유효한 실시예들에 적용될 수 있다는 점을 유의한다.
도 1은 본 발명의 일부 실시예들에 따른 반도체 웨이퍼 처리 시스템의 개략도이다.
도 2는 본 발명의 일부 실시예들에 따른 정합망들 및 RF 발생기들의 독립적인 타이밍 특징들을 도시하는 개략적인 도표이다.
도 3은 본 발명의 일부 실시예들과 연계하여 사용하기 위해 적합한 예시적인 정합 회로이다.
도 4는 본 발명의 일부 실시예들과 연계하여 사용하기 위해 적합한 예시적인 정합 회로이다.
본 발명은 펄스화된 플라즈마를 사용하여 기판들을 처리하기 위한 방법 및 장치를 제공한다. 일부 실시예들에서, 바이어스 및 소스 중 하나 또는 둘다에 대한 플라즈마 펄싱 프로세스는 트렌칭(trenching) 및 노칭(notching) 문제들을 감소시킬 뿐만 아니라 웨이퍼 레벨에서의 적은 손상으로 보다 양호한 성능(보다 양호한 식각 균일성 및 선택성)을 촉진시킬 수 있다. 본 발명의 실시예들은 동적 정합망들과 조합되는 주파수 조정(주파수 스윕(sweep)으로도 지칭됨)을 갖는 RF 발생기들을 사용하여 펄싱 모드에 대한 동작의 안정한 윈도우를 가능하게 하는 프로시저들을 제공한다. 시스템이 조정되는데 필요한 시간은 식각 프로세스 동안 중요하기(critical) 때문에, 이러한 프로시저들의 한가지 장점은 펄싱 동안 약 6초 미만 내에서 조정할 수 있고, 이에 따라 그 중에 웨이퍼가 불안정한 플라즈마에 노출되는 시간을 최소화할 수 있다. 이하의 설명은 특정 프로세스들, RF 주파수들, 및 RF 전력들을 참조할 수 있지만, 본 명세서에서 제공되는 사상들은 일반적으로 다른 프로세스들, 다른 주파수들, 및 다른 전력 레벨들에 비해 장점을 갖기 위해 사용될 수 있다.
도 1은 일 실시예에서 반도체 웨이퍼들(122)(또는 다른 기판들 및 소재들)을 식각하기 위해 사용되는 플라즈마 강화 반도체 웨이퍼 처리 시스템(100)이다. 본 발명의 제시된 실시예들은 식각 반응기 및 프로세스의 범주에서 설명되지만, 본 발명은 플라즈마 강화 프로세스 동안 펄스화된 전력을 사용하는 임의의 형태의 플라즈마 프로세스에 적용가능하다. 그러한 반응기들은 플라즈마 어닐링, 플라즈마 강화 화학적 기상 증착, 물리적 기상 증착, 플라즈마 세정 등을 포함한다.
예시적인 시스템(100)은 식각 반응기(101), 프로세스 가스 공급부(126), 제어기(114), 제 1 RF 전력 공급부(112), 제 2 RF 전력 공급부(116), 제 1 정합망(110), 및 제 2 정합망(118)을 포함한다. 제 1 및 제 2 RF 공급부(112, 116) 중 어느 하나 또는 둘다는 고속 주파수 조정을 위해 구성될 수 있다(예, 소스는 반사 전력을 최소화하기 위해 감지된 반사 전력 측정에 응답하여 약 ±5% 내에서 주파수를 가변할 수 있음). 그러한 주파수 조정은 주어진 정상 상태(steady state)에서 플라즈마로부터 반사 전력을 최소화하기 위해 약 100㎲ 또는 휠씬 그 이하를 요구할 수 있다. 각각의 RF 전력 공급부(112, 116)는 지속파(CW) 또는 펄스 모드로 동작가능할 수 있다. 펄스 모드에 있을 때, 전력원(112, 116)은 약 100kHz 까지의 펄스 주파수 또는 일부 실시예들에서 약 100Hz 내지 약 100kHz의 펄스 주파수에서 펄스화될 수 있다. 전력원(112, 116)은 약 10% 내지 약 90%의 듀티 사이클(예, 주어진 사이클에서 온(on) 시간 및 오프(off) 시간의 합계 중에서 온 시간의 퍼센티지)에서 동작될 수 있다.
식각 반응기(101)는 웨이퍼(122)에 대한 페디스털(pedestal)을 형성하는 캐소드 페디스털(120)을 포함하는 진공 용기(102)를 포함한다. 프로세스 챔버의 루프(roof) 또는 리드(lid)(103)는 루프(103)에 인접하는 적어도 하나의 안테나 어셈블리(104)를 갖는다. 본 발명의 일부 실시예들에서, 안테나 어셈블리(104)는 한 쌍의 안테나들(106, 108)을 포함한다. 본 발명의 다른 실시예들은 하나 이상의 안테나들을 사용할 수 있거나 또는 RF 에너지를 플라즈마에 결합하기 위해 안테나 대신에 전극을 사용할 수 있다. 이러한 특정한 예시적인 실시예에서, 안테나들(106, 108)은 프로세스 가스 공급부(126)에 의해 용기(102) 내부에 공급되는 프로세스 가스 또는 가스들에 에너지를 유도성으로(inductively) 결합한다. 안테나들(106, 108)에 의해 공급되는 RF 에너지는 웨이퍼(122) 위의 반응 구역에 플라즈마(124)를 형성하기 위해 프로세스 가스들에 유도성으로 결합된다. 반응성 가스들은 웨이퍼(122) 상의 물질들을 식각할 것이다.
일부 실시예들에서, 안테나 어셈블리(104)로의 전력은 플라즈마를 점화하고 캐소드 페디스털(120)에 결합된 전력은 플라즈마(124)를 제어한다. 따라서, RF 에너지는 안테나 어셈블리(104) 및 캐소드 페디스털(120) 둘다에 결합된다. 제 1 RF 전력 공급부(112)(소스 RF 전력 공급부로도 지칭됨)는 제 1 정합망(110)에 에너지를 공급한 다음 에너지를 안테나 어셈블리(104)에 결합시킨다. 유사하게, 제 2 RF 전력 공급부(116)(바이어스 RF 전력 공급부로도 지칭됨)는 제 2 정합망(118)에 에너지를 결합시키고 캐소드 페디스털(120)에 에너지를 결합시킨다. 제어기(114)는 제 1 및 제 2 정합망(110, 118)을 조정할 뿐만 아니라 RF 전력 공급부들(112, 116)을 활성화(activating) 및 비활성화(deactivating)하는 타이밍을 제어한다. 안테나 어셈블리(104)에 결합된 전력은 소스 전력으로 공지되어 있고, 캐소드 페디스털(120)에 결합된 전력은 바이어스 전력으로 공지되어 있다. 본 발명의 실시예들에서, 소스 전력, 바이어스 전력, 또는 이 둘다는 지속파(CW) 모드 또는 펄스 모드로 동작될 수 있다.
일부 실시예들에서, 링크(140)는 하나의 소스의 동작을 다른 소스의 동작에 동기화시키는 것을 촉진시키도록 제 1 및 제 2 RF 공급부(112, 116)를 결합시키기 위해 제공될 수 있다. 어느 하나의 RF 소스는 리드(lead) 또는 마스터(master) RF 발생기일 수 있는 반면에, 다른 발생기는 후속(follow)하거나 또는 슬레이브이다. 링크(140)는 완전한 동기화, 또는 목표된 오프셋, 또는 위상 차이에서 제 1 및 제 2 RF 공급부(112, 116)의 동작을 추가적으로 촉진시킬 수 있다.
제 1 표시자 디바이스(indicator device) 또는 센서(150) 및 제 2 표시자 디바이스 또는 센서(152)는 플라즈마(124)에 정합하기 위한 정합망들(110, 118)의 능력의 유효성(effectiveness)을 결정하기 위해 사용된다. 일부 실시예들에서, 표시자 디바이스들(150, 152)은 각각의 정합망들(110, 118)로부터 반사되는 반사 전력을 모니터링한다. 이러한 디바이스들은 일반적으로 정합망들(110, 118) 또는 전력 공급부들(112, 115) 내에 통합된다. 그러나, 설명을 목적으로, 이들은 정합망들(110, 118)로부터 분리된 것으로 여기에 도시된다. 반사 전력이 표시자로서 사용될 때, 디바이스들(150, 152)은 공급부들(112, 116)과 정합망들(110, 118) 사이에 결합된다. 반사 전력을 나타내는 신호를 생성하기 위하여, 디바이스들(150, 152)은 정합 유효성 표시자 신호가 반사 전력의 크기를 나타내는 전압이도록 하기 위해 RF 검출기에 결합된 지향성 커플러(directional coupler)들이다. 큰 반사 전력은 정합되지 않은 상황을 나타낸다. 디바이스들(150, 152)에 의해 생성되는 신호들은 제어기(114)에 결합된다. 표시자 신호에 응답하여, 제어기(114)는 정합망들(110, 118)에 결합되는 조정 신호(정합망 제어 신호)를 생성한다. 이러한 신호는 정합망들(110, 118)의 커패시터 또는 인덕터들을 조정하기 위해 사용된다. 조정 프로세스는 표시자 신호에서 표시되는 바와 같은 예를 들어 특정 반사 전력 레벨을 달성 또는 최소화하려고 노력한다. 정합망들(110, 118)은 전형적으로 주어진 정상 상태에서 플라즈마로부터의 반사 전력을 최소화하기 위해 약 100㎲ 내지 약 수 ms를 요구할 수 있다.
도 3은 예를 들어 제 1 RF 정합망(110)으로서 사용되는 예시적인 정합망의 개략도를 도시한다. 이러한 특정한 실시예는 단일 입력(400) 및 이중 출력(즉, 메인 출력(402) 및 보조 출력(404))을 갖는다. 각각의 출력은 2개의 안테나들 중 하나를 구동하기 위해 사용된다. 정합 회로(406)는 C1, C2 및 L1으로 형성되고 용량성(capacitive) 전력 분배기(408)는 C3 및 C4로 형성된다. 용량성 분배기 값들은 각각의 안테나에 공급될 특정한 전력량을 달성하도록 설정된다. 커패시터들 C1 및 C2의 값들은 정합망(110)의 정합을 조절하기 위해 기계적으로 조정된다. C1 또는 C2 중 어느 하나 또는 둘다는 정합망의 동작을 조절하기 위해 조정될 수 있다. 하위 전력 시스템들에서, 커패시터들은 기계적으로 조정되기 보다는 전자적으로 조정될 수 있다. 정합망의 다른 실시예들은 조정가능한(tunable) 인덕터를 가질 수 있다. 이러한 소스 전력 공급부는 펄스 또는 CW 모드에서 동작될 수 있다. 정합망(110)에 의해 정합되는 소스 전력은 약 13.56 MHz에서 약 3000W까지의 전력 레벨을 갖는다. 그러한 정합망은 콜로라드주 Fort Collins의 AE, Inc.의 NAVIGATOR 3013-ICP85 모델에서 이용가능하다. 정합망들의 또 다른 다양한 구성들은 본 명세서에서 제공되는 사상들에 따라 사용될 수 있다.
도 4는 예를 들어 제 2 정합망(118)으로서 사용되는 예시적인 정합망의 일 실시예의 개략도를 도시한다. 이러한 특정 실시예는 단일 입력(500) 및 단일 출력(502)을 갖는다. 출력은 페디스털을 구동하기 위해 사용된다. 정합망은 커패시터들 C1, C2, C3, L1 및 L2를 포함한다. 커패시터들 C2 및 C3의 값들은 정합망(116)의 정합을 조절하기 위해 기계적으로 조정된다. C2 또는 C3 중 어느 하나 또는 둘다는 정합망의 동작을 조절하기 위해 조정될 수 있다. 하위 전력 시스템들에서, 커패시터들은 기계적으로 조정되기 보다는 전자적으로 조정될 수 있다. 정합망의 다른 실시예들은 조정가능한 인덕터를 가질 수 있다. 이러한 바이어스 전력 공급부(116)는 펄스 또는 CW 모드로 동작될 수 있다. 펄스 모드에서, 펄스들은 100 Hz 내지 100 KHz의 주파수와 10-90%의 듀티 사이클에서 발생될 수 있다. 일 실시예에서, 바이어스 전력은 약 13.56 MHz의 주파수를 갖고 약 1500W의 전력 레벨을 갖는다. 그러한 정합망은 콜로라드주 Fort Collins의 AE, Inc.의 NAVIGATOR 1013-L35Z 모델에서 이용가능하다. 정합망들의 또 다른 다양한 구성들은 본 명세서에서 제공되는 사상들에 따라 사용될 수 있다.
제어기(114)는 중앙 처리 유닛(CPU)(130), 메모리(132) 및 지원회로들(134)을 포함한다. 제어기(114)는 식각 프로세스의 제어를 원활하게 하기 위해 시스템(100)의 다양한 컴포넌트들에 결합된다. 제어기(114)는 아날로그, 디지털, 유선, 무선, 광, 및 광섬유 인터페이스들로서 광범위하게 설명될 수 있는 인터페이스들을 통하여 챔버 내에서의 처리를 조절 및 모니터링한다. 이하에서 설명되는 바와 같이 챔버의 제어를 원활하게 하기 위해, CPU(130)는 다양한 챔버들 및 서브프로세서들을 제어하기 위한 산업적 세팅에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리(132)는 CPU(130)에 결합된다. 메모리(132), 또는 컴퓨터 판독가능 매체는 랜덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장장치와 같은 하나 이상의 용이하게 이용가능한 메모리 소자들일 수 있다. 지원회로들(134)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(130)에 결합된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 관련 서브시스템들 등을 포함한다.
식각 또는 다른 프로세스 명령들은 전형적으로 방법(recipe)으로 공지된 소프트웨어 루틴으로서 메모리(132) 내에 일반적으로 저장된다. 소프트웨어 루틴은 또한 CPU(130)에 의해 제어되는 하드웨어로부터 원격지에 위치되는 제 2 CPU(미도시됨)에 의해 실행 및/또는 저장될 수 있다. 소프트웨어 루틴은 CPU(130)에 의해 실행될 때, 식각 프로세스 동안 플라즈마를 제어하기 위한 것과 같은 시스템 동작을 제어하는 특정 목적의 컴퓨터(제어기)(114)로 범용 컴퓨터를 변환시킨다. 본 발명의 프로세스는 소프트웨어 루틴으로서 구현될 수 있지만, 본 명세서에서 제시되는 방법 단계들의 일부는 소프트웨어 제어기에 의해서 뿐만 아니라 하드웨어로 수행될 수 있다. 따라서, 본 발명의 실시예들은 컴퓨터 시스템에서 실행되는 소프트웨어, 주문형 집적회로 또는 다른 타입의 하드웨어 구현으로서 하드웨어, 또는 소프트웨어와 하드웨어의 조합으로 구현될 수 있다.
종래의 정합망들과 발생기들은 전형적으로 독립적인 각각의 시스템들을 조정하기 위해 사용되는 제어 알고리즘들을 각각 포함한다. 따라서, 각각의 알고리즘은 이들이 발생기로의 반사 전력을 감소시키는 것을 목적으로 해야 하는 방식 또는 시간과 관련하여 다른 것에 링크되지 않는다. 그러한 링크의 결여는 2개의 조정 알고리즘들 간의 큰 경합(competition)을 유발할 수 있고, 이에 따라 시스템 불안정성들을 유발할 수 있다. 이러한 문제를 극복하기 위하여, 본 발명의 일부 실시예들에서, 통합형(integrated) 정합망은 주파수 조정 능력을 갖는 RF 발생기(예, 제 1 또는 제 2 RF 소스(112 또는 116)) 내에 내장될 수 있는 반면에, RF 사이클을 갖는 주파수 뿐만 아니라 정합망을 조정하기 위해 사용되는 알고리즘들은 모두 발생기 출력에서 측정되는 바와 같은(예, 공유된 센서를 이용하여) 동일한 판독값들(readings)에 기초하여 제어될 수 있다. 이와 같이 함으로써, 2개의 독립적인 알고리즘들 간의 경합은 제거될 수 있고, 펄스화된-플라즈마 기반 반응기들에 대한 동작 윈도우가 증가될 수 있다. 일부 실시예들에서, 제 1 RF 소스(112)와 제 1 정합망(110)(및/또는 제 2 RF 소스(116)와 제 2 정합망(118))은 물리적으로 통합될 수 있거나, 또는 그 둘간의 조정 경합을 제거하고 전체 시스템의 조정 효율을 극대화하기 위해 디바이스들의 쌍에 대한 조정 프로세스를 명령하는 제어기를 단지 공유할 수 있다. 일부 실시예들에서, 제 1 RF 소스(112)와 제 1 정합망(110)(및/또는 제 2 RF 소스(116)와 제 2 정합망(118))은 이들이 적어도 동일한 판독값의 반사 전력 오프를 최소화하기 위해 조정되도록 반사 전력을 판독하기 위한 공통 센서를 단지 공유할 수 있다.
도 2는 광범위한 펄스화된 플라즈마 프로세스들에 걸쳐서 RF 소스의 임피던스에 플라즈마의 임피던스를 정합하는 것을 원활하게 하기 위해 시간 동안 독립적으로 제어될 수 있는 변수들의 도면을 도시한다. 도 2는 소스 발생기, 소스 정합, 바이어스 발생기, 및 바이어스 정합 각각을 위한 시간 독립적인 동작 파라미터들을 도시한다. 이러한 파라미터들은 분리되어 독립적으로 제어될 수 있다. 소스 및 바이어스 발생기들은 고정 주파수 모드 또는 주파수 스윕(또는 주파수 조정) 모드로 동작될 수 있다. 또한, 소스 및 바이어스 발생기들은 CW RF 모드 또는 펄싱 RF 모드로 동작될 수 있다. 각각의 발생기는 동작 모드들 간에 독립적으로 목표된 시간에 스위칭될 수 있고 함께 동작되도록 동기화될 수 있거나, 또는 소스 RF 온 및 바이어스 RF 온 시간들 사이의 소스/바이어스 지연으로 나타낸 것처럼, 목표된 양만큼 오프셋될 수 있다. 그러한 동기화 또는 제어되는 위상반전(out of phase) 동작은 또한 발생기들의 임의의 동작 모드들(예를 들어, 둘다 펄싱 RF 모드에 있을 때)에서의 동작에 적용될 수 있다.
소스 및 바이어스 정합망들(예, 도 2의 소스 정합 및 바이어스 정합)은 각각 자동조정(autotuning) 모드 또는 홀드(hold) 모드(여기서, 정합망은 정합망의 컴포넌트들의 값들을 고정시키고 반사 전력을 최소화하도록 조정되지 않음)로 독립적으로 동작할 수 있다. 각각의 이러한 모드들 간의 스위칭은 반사 전력을 최소화하고 이하에서 보다 상세히 논의되는 바와 같이 넓은 프로세스 윈도우에 걸쳐서 펄스화된 플라즈마 프로세스들 동안 플라즈마 처리를 안정화시키는 것을 원활하게 하도록 독립적으로 제어될 수 있다. 따라서, 펄스화된 플라즈마 프로세스들 동안, 이하의 제어 "노브(knob)들"은 광범위한 프로세스들에 걸쳐서 효율적인 동작을 원활하게 하기 위해 제공될 수 있다: 각각의 정합망은 자동조정 또는 홀드 모드로 독립적으로 실행될 수 있고; 각각의 RF 발생기의 주파수 조정은 온 또는 오프될 수 있으며; 시간 A(약 90% 또는 CW에서 시작한 다음, 시간 A 후에 펄싱으로 스위칭); 시간 B(주파수 조정이 오프되면서 시작한 다음, 시간 B 후에 이를 턴온); 시간 C(정합망이 초기에 자동조정 모드에 있을 수 있고, 그 다음에 시간 C 후에 고정될 수 있거나 최소화된 RF 전력 반사의 위치를 탐색). 또한, 모드들 사이의 스위칭을 수행하기 위한 "타임아웃(timeout)" 또는 시간은 스위칭이 발생하지 않도록 설정될 수 있거나(예, 수행될 프로세스의 지속시간보다 더 긴 지속시간으로 스위칭하도록 시간을 설정), 또는 스위칭된 모드가 즉각적인(immediate) 동작 모드이도록 설정될 수 있다(예, 제로로 스위칭하도록 시간을 설정). 이러한 노브들에 대한 각각의 시간들은 독립적이기 때문에, 각 파라미터의 제어는 광범위한 프로세스들에 걸쳐서 펄스화된 플라즈마 동작을 원활하게 하기 위해 사용될 수 있다. 따라서, 이하에서 상세히 논의되는 것처럼, RF 전력 전달을 위한 시간-분석되는 조정 프로시저가 본 명세서에서 제공되어, 광범위한 프로세스 화학제들, 압력들, 전력 레벨들 등에 걸쳐서 안정적인 펄스화된 플라즈마 처리를 가능하게 한다. 그러한 방식의 시간 의존적인 특성은 다양한 플라즈마-기반 프로세스들에 대한 펄스화된 플라즈마 동작 동안 RF 전달을 최적화하기 위해 상이한 노브들의 동작 시퀀스의 결정을 가능하게 한다.
상기 "타임아웃들"을 사용하여, 시스템(100)은 상기 변수들의 제어에 의해 시간 분석되는 방식으로 조정될 수 있는 다양한 RF 모드들로 동작될 수 있다. 예를 들어, 일부 실시예들에서, 각각 주파수 조정할 수 있고 소스 정합망 및 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되는, 소스 RF 전력 공급부와 바이어스 RF 전력 공급부를 사용하여 시간 분석되는 방식으로 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법이 제공될 수 있다. 방법은 소스 정합망 및 바이어스 정합망을 자동 조정 모드 또는 홀드 모드로부터 선택된 제 1 정합 모드로 각각 독립적으로 설정하면서, 지속파 모드 또는 펄싱 모드로부터 선택된 제 1 동작 모드, 및 고정 주파수 모드 또는 주파수 조정 모드로부터 선택된 제 1 조정 모드로 각각 독립적으로 설정되는 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 프로세스 챔버에서 플라즈마를 점화하는 단계를 포함할 수 있다. 제 1 시간 기간이 종료될 때, 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부 중 하나 또는 둘다의 제 1 동작 모드는 스위칭될 수 있다. 제 2 시간 기간이 종료될 때, 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부 중 하나 또는 둘다의 제 1 조정 모드는 스위칭될 수 있다. 제 3 시간 기간이 종료될 때, 소스 정합망 및 바이어스 정합망 중 하나 또는 둘다의 제 1 정합 모드는 스위칭될 수 있다. 제 1, 제 2 및 제 3 시간 기간은 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부로 재반사되는 반사 전력을 감소시키도록 선택된다.
예를 들어, 상기 "타임아웃들"을 사용하여, 시스템(100)은 상기 변수들의 제어에 따라 다양한 RF 모드들로 동작될 수 있다. 일부 실시예들에서, 시스템(100)은 CW 모드의 어느 하나의 RF 발생기(예, RF 전력 공급부(112 또는 116))로 동작될 수 있다. 그러한 모드에서, 각각의 발생기는 고정 모드, CW 모드, 및 마스터 모드이다. 이러한 모드는 소스 및 바이어스 발생기들 둘다에 적용되고 각각의 발생기는 독립적으로 동작한다.
일부 실시예들에서, 시스템(100)은 CW-펄스 모드의 어느 하나의 RF 발생기로 동작될 수 있다. 그러한 모드에서, 각각의 발생기는 고정 모드 및 마스터 모드이다. 각각의 발생기는 컨피규어러블(configurable) 타임아웃 "CW/펄스 시간" 동안 CW 모드이고 이러한 타임아웃 후에 펄싱 모드로 스위칭한다. 이러한 모드는 소스 및 바이어스 발생기들 둘다에 적용되고 각각의 발생기는 독립적으로 동작한다.
일부 실시예들에서, 시스템(100)은 CW-주파수 모드의 어느 하나의 RF 발생기로 동작될 수 있다. 그러한 모드에서, 각각의 발생기는 CW 모드 및 마스터 모드이다. 발생기는 컨피규어러블 타임아웃 "고정 주파수 시간" 동안 고정 모드이고 이러한 타임아웃 후에 주파수 조정을 턴온한다. 이러한 모드는 소스 및 바이어스 발생기들 둘다에 적용되고 각각의 발생기는 독립적으로 동작한다.
일부 실시예들에서, 시스템(100)은 CW-주파수-펄스 모드의 어느 하나의 RF 발생기로 동작될 수 있다. 그러한 모드에서, 각각의 발생기는 컨피규어러블 타임아웃 "CW/펄스 시간" 동안 CW 모드이고 이러한 타임아웃 후에 펄싱 모드로 스위칭한다. 발생기는 또한 컨피규어러블 타임아웃 "고정 주파수 시간" 동안 고정 모드이고 이러한 타임아웃 후에 주파수 조정을 턴온한다. 발생기는 마스터 모드이므로 독립적으로 동작한다. 이러한 모드는 소스 및 바이어스 발생기들 둘다에 적용된다.
일부 실시예들에서, 시스템(100)은 펄스-주파수-펄스 모드의 어느 하나의 RF 발생기로 동작될 수 있다. 그러한 모드에서, 각각의 발생기는 컨피규어러블 타임아웃 "CW/펄스 시간" 동안 펄싱 모드이고(예를 들어, 90%의 높은 듀티 사이클) 이러한 타임아웃 후에 목표된 펄스 파라미터들로 스위칭한다. 발생기는 또한 컨피규어러블 타임아웃 "고정 주파수 시간" 동안 고정 모드이고 이러한 타임아웃 후에 주파수 조정을 턴온한다. 발생기는 마스터 모드이므로 독립적으로 동작한다. 이러한 모드는 소스 및 바이어스 발생기들 둘다에 적용된다.
일부 실시예들에서, 시스템(100)은 CW-주파수-싱크펄스(SyncPulse) 모드로 동작될 수 있다. 그러한 모드에서, 소스 발생기는 마스터 모드인 반면에 바이어스 발생기는 슬레이브 모드이다. 소스 발생기는 컨피규어러블 타임아웃 "CW/펄스 시간" 동안 CW 모드이고 이러한 타임아웃 후에 펄싱 모드로 스위칭한다. 소스 발생기는 컨피규어러블 타임아웃 "고정 주파수 시간" 동안 고정 모드이고 이러한 타임아웃 후에 주파수 조정을 턴온한다. 바이어스 발생기는 소스 발생기와 동일한 펄스 주파수 및 듀티 사이클에서 펄싱한다. 소스 및 바이어스는 마스터/슬레이브 지연이 초기에 제로로 설정되면서 완전히 동기화된다. 펄스들 간의 지연은 360도까지 위상 제어를 제공하는 컨피규어러블 타임아웃 "슬레이브 바이어스 RF를 위한 펄싱 지연"에 의해 제어된다. 마스터/슬레이브 지연은 특정 방법 내에서 모든 동기화된 펄싱 스텝(step)들에 적용될 수 있다.
일부 실시예들에서, 시스템(100)은 CW-주파수-싱크펄스 모드로 동작될 수 있다. 그러한 모드에서, 소스 발생기는 마스터 모드인 반면에 바이어스 발생기는 슬레이브 모드이다. 소스 발생기는 컨피규어러블 타임아웃 "CW/펄스 시간" 동안 펄싱 모드(90% 듀티 사이클)이고, 이러한 타임아웃 후에 목표된 펄스 파라미터들로 스위칭한다. 소스 발생기는 컨피규어러블 타임아웃 "고정 주파수 시간" 동안 고정 모드이고 이러한 타임아웃 후에 주파수 조정을 턴온한다. 바이어스 발생기는 소스 발생기와 동일한 펄싱 주파수 및 듀티 사이클에서 펄싱한다. 소스 및 바이어스 발생기들은 마스터/슬레이브 지연이 초기에 제로로 설정되면서 완전히 동기화된다. 펄스들 간의 지연은 360도까지 위상 제어를 제공하는 컨피규어러블 타임아웃 "슬레이브 바이어스 RF를 위한 펄싱 지연"에 의해 제어된다. 마스터/슬레이브 지연은 특정 방법 내에서 모든 동기화된 펄싱 스텝들에 적용될 수 있다.
일부 실시예들에서, 정합망 동작이 적절하게 제어되는 경우, 가능한 최저 반사 전력이 제공될 수 있다. CW/펄스 동작 모드들에서, 저합은 2개의 메인 모드들 중 어느 하나, 즉 자동 모드 또는 홀드 모드일 수 있다. 자동 모드는 정합망이 펄스 내에서의 빠른 변화들을 따라갈 수(track) 없으므로 펄스 주파수가 매우 낮지 않는 한 불량한(poor) 조정이 달성되기 때문에, 펄스 모드 동안 단독으로 동작하도록 권장되지 않는다. 일부 실시예들에서, 정합망은 여분의 노브가 주파수 조정과 함께 시스템을 조정할 결정적인 필요성이 없는 한, 시스템이 펄스 모드일 때마다 홀드 모드로 동작될 수 있다.
일부 실시예들에서, 주어진 펄스 주파수에 대한 90% 듀티 사이클은 CW 모드와 유사하게 동작한다는 것이 발견되었다. 따라서, 시스템을 조정하는 것은 상대적으로 용이하다. 그러나, 펄스 모드인 시스템의 장점을 여전히 갖는다. 따라서, 특정 조건들에서, 펄스-주파수-펄스 또는 펄스-주파수-싱크펄스 모드는 CW-주파수-펄스 또는 CW-주파수-싱크펄스 모드들보다 더 낮은 반사 전력을 달성할 수 있다. 펄스 파라미터들(펄스 주파수 및 듀티 사이클) 중 어느 하나가 제로로 설정되면, 발생기는 CW 모드로 동작할 것이다.
펄스 모드가 상이한 조건들(압력/전력 레벨들/화학제들) 하에서 사용될 수 있기 때문에, 전형적인 타임아웃들을 권장할 수 있지만, 각각의 새로운 방법에서 일부 최적화는 최저 반사 전력으로 안정한 플라즈마를 보장하도록 수행될 필요가 있다. 펄스 모드들에서 전형적인 권장된 동작 모드들은 다음과 같이 제공된다: 소스 펄싱 & 바이어스 CW, 바이어스 펄싱 & 소스 CW, 또는 동기화된 소스 및 바이어스 펄싱. 그러나, 다른 모드들은 상이한 애플리케이션들에 적용될 수 있다. 타임아웃 세팅들은 프로세스 화학제들, 챔버 압력들, RF 전력 레벨들, 분배기 캡(divider cap) 세팅들 및 펄스 파라미터들과 같은 프로세스 파라미터들을 변경할 때 변화될 필요가 있을 수 있다.
예시적인 일 예로서, 일부 실시예들에서, 시스템(100)은 펄싱 모드의 소스 발생기 및 CW 모드의 바이어스 발생기로 동작될 수 있다. 그러한 실시예들에서, 소스 발생기는 CW-주파수-펄스 모드로 설정될 수 있다. CW/펄스 시간은 발생기가 얼마나 오래동안 CW 모드인지를 제어하도록 선택될 수 있다(예, 6초). 이러한 시간은 시스템이 펄싱 모드로 스위칭하기 전에 CW 모드에서 조정되도록 보장하기 위해 4초 이상(no less than)까지 감소될 수 있다. 고정/주파수 시간은 발생기가 주파수 조정을 스위칭 온하기 전에 얼마나 오래동안 고정 모드인지를 제어하도록 선택될 수 있다(예, 5초). 일부 실시예들에서, 주파수 조정은 펄싱 모드가 시작되기 전/후에 약 1초 동안 턴온될 수 있다(대응하는 정합은 홀드상태라고 가정함). 일부 실시예들에서, 정합망은 주파수 조정과 함께 자동 모드이지 않아야 하기 때문에, 정합망은 주파수 조정을 턴온하기 이전에 홀드 모드로 둘 수 있다. 펄스화된 플라즈마 동작을 위한 최상의 조정 위치를 보장하기 위해, 예를 들어 5초 이하와 같은 짧은 시간 동안 오버랩(overlap)이 발생할 수 있다.
바이어스 발생기는 CW-주파수(Freq)로 설정된다. 바이어스 정합망은 자동-홀드 모드로 설정될 수 있다. 홀드 시간은 정합망이 "홀드"로 스위칭하기 전에 얼마나 오래동안 "자동(Auto)" 모드일지를 제어하도록 선택될 수 있다. 일부 실시예들에서, 정합 시간은 발생기 CW/펄스 시간 및 고정 주파수 시간보다 더 짧은 적어도 1초일 수 있다. 정합 홀드 시간은 시스템이 조정할 충분한 시간을 갖는 것을 방해하는 적절한 값 미만, 예를 들어 약 3초 미만이 되지 않아야 한다.
일부 실시예들에서, 사용되는 화학제에 따라, CW 모드에서 펄싱 모드로의 전환은 플라즈마 부하 면에서 매우 두드러질 수 있다(pronounced). 그러한 경우에, 펄스_주파수_펄스 모드는 소스 펄싱을 위해 효과적으로 사용될 수 있다. 시스템이 90% 듀티 사이클에서 조정되면, 목표된 듀티 사이클(예, 50%)로의 전환은 CW로부터의 스위칭보다 더 원활하다. 일부 실시예들에서, 이러한 기술은 저압(예, 10mTorr 미만)에서 동작하는 프로세스들을 위해 사용될 수 있다.
대안적으로, 일부 실시예들에서, 시스템(100)은 펄싱되는 바이어스 발생기 및 고정된 소스 발생기로 동작될 수 있다. 바이어스 발생기가 CW-주파수-펄스 모드로 설정될 때, CW/펄스 시간은 발생기가 얼마나 오래동안 CW 모드인지를 제어하도록 선택될 수 있다(예, 6초). 이러한 시간은 시스템이 펄싱 모드로 스위칭하기 전에 CW 모드에서 조정되도록 보장하기 위해 4초 이상까지 감소될 수 있다. 고정/주파수 시간은 발생기가 주파수 조정을 스위칭 온하기 전에 얼마나 오래동안 고정 모드인지를 제어하도록 선택될 수 있다(예, 약 5초). 일부 실시예들에서, 주파수 조정은 펄싱 모드가 시작되기 전/후에 약 1초간 턴온될 수 있다(대응하는 정합은 홀드상태라고 가정함). 일부 실시예들에서, 정합망은 주파수 조정과 함께 자동 모드이지 않아야 하기 때문에, 정합망은 주파수 조정을 턴온하기 이전에 홀드 모드로 둘 수 있다. 펄스화된 플라즈마 동작을 위한 최상의 조정 위치를 보장하기 위하여, 예를 들어 5초 이하의 짧은 시간 동안 오버랩이 발생할 수 있다.
그러한 동작 모드에서, 소스 발생기는 CW 모드 또는 CW-주파수 모드로 제공될 수 있다. 소스 발생기가 CW 모드이고 주파수 조정되지 않을 때, 이의 대응하는 정합망은 자동-홀드 모드일 수 있다. 그러나, 정합망은 바이어스 발생기가 펄스 모드로 스위칭한 후에 소스가 조정되도록 허용하기 위해 시간 기간(예, 약 8초) 이후에 홀드로 스위칭할 수 있다. 일부 실시예들에서, 소스 발생기는 CW 모드 보다는 CW-주파수 모드로 설정될 수 있다. 따라서, 소스 정합은 자동-홀드 모드로 설정될 수 있다. 홀드 시간은 전형적으로 임의의 가능한 경합을 방지하기 위해 고정-주파수-시간 미만이다. 정합 홀드 시간은 발생기 CW/펄스 시간 및 고정-주파수 시간보다 더 짧은 적어도 약 1초일 수 있다. 정합 자동-홀드 시간은 시스템이 조정할 충분한 시간을 갖도록 보장하기 위하여 예를 들어 약 3초 이상과 같은 충분한 지속시간이어야 한다.
일부 실시예들에서, 사용되는 화학제에 따라, CW 모드에서 펄싱 모드로의 전환은 플라즈마 부하 면에서 매우 두드러질 수 있다. 그러한 경우, 펄스_주파수_펄스 모드는 소스 펄싱을 위해 효과적으로 사용될 수 있다. 시스템이 90% 듀티 사이클에서 조정되면, 목표된 듀티 사이클(예를 들어, 30%)로의 전환은 CW로부터의 스위칭보다 더 원활하다. 일부 실시예들에서, 이러한 기술은 저압(예, 10mTorr 미만)에서 동작하는 프로세스들을 위해 사용될 수 있다.
대안적으로, 일부 실시예들에서, 시스템(100)은 동기식 펄싱 모드로 동작될 수 있다. 그러한 모드에서, 소스 및 바이어스 발생기들은 둘다 동일한 RF 모드 및 마스터/슬레이브 컨피규레이션이어야 한다. 슬레이브 발생기가 동기화 신호를 수신하도록 보장하기 위하여 펄스 지속시간보다 더 짧은 슬레이브 지연이 제공될 수 있다.
소스 및 바이어스 펄싱에 대한 경우처럼, 펄스-주파수-싱크펄스를 사용할 수 있으며, 여기서 시스템은 90% 듀티 사이클을 가지면서 동기화되는 방식으로 펄스 모드로의 동작을 시작한 다음, 목표된 듀티 사이클로 스위칭한다. 이는 저압 프로세스들(예, 약 10mTorr 미만)을 위해 주로 권장된다.
대안적으로, 일부 실시예들에서, 시스템(100)은 연속적인(back-to-back) RF 펄싱 모드로 동작될 수 있으며, 그 동안 펄스 주파수 및/또는 듀티 사이클은 처리 동안 변경된다. 그러한 모드에서, 제 1 스텝은 안정화 스텝으로서 사용될 수 있다. 제 2 스텝은 동일한 고려사항들로 본 명세서에서 논의되는 것들과 유사한 동기화된 펄싱 스텝이다. 두 발생기들에서의 주파수 조정은 제 2 스텝에서 RF를 턴온시키는 것으로부터 5초 후에 온으로 스위칭한다. 6초 후에, 시스템은 3 kHz 및 60% 듀티 사이클에서 펄싱을 시작한다. 시스템이 자신의 정상 상태에 도달하면, 즉 조정이 달성되면, 소스 및/또는 바이어스 발생기들의 실제 주파수는 주파수 조정이 온됨에 따라 공칭값(예, 13.56 MHz)과 상이할 수 있다. 제 3 스텝에서, 시스템의 동일하게 조정되는 조건이 유지되면서 발생기들의 듀티 사이클을 일부 다른 값으로 스위칭할 수 있다. 주파수 조정을 상실(lose)하지 않기 위해, RF는 제 2 스텝의 종료까지 온으로 유지된다(RF를 턴오프하고 프로세스를 다시 시작하는 것과 비교하여). 제 3 스텝에서, 동일한 RF 모드들은 제 2 스텝에서와 같이 선택된다. 그러나, 주파수 조정 모드 뿐만 아니라 펄스 모드로 발생기들을 유지하기 위해, "CW/펄스" 및 "고정/주파수"에 대한 타임아웃들은 소스 및 바이어스 발생기들 둘다에서 제로로 설정될 수 있다. 이와 같이 함으로써, 제 2 스텝에서 달성되는 주파수 조정은 유지되고, 시스템은 조정되는 시스템 및 RF 전력을 제공하는 발생기들 없이 시작하는 경우보다 더 신속하게 제 3 스텝에서 자신의 정상 상태에 도달한다. 정합이 제 2 스텝의 종료까지 홀드였던 경우, 제 3 스텝에서도 홀드 모드이어야 한다.
본 명세서에서 제시된 임의의 펄싱 모드들에 적용가능한 일부 실시예들에서, 내장형 펄싱(embedded pulsing)의 특수한 경우가 사용될 수 있다. 내장형 펄싱 모드에서, 하나의 RF 펄스는 다른 RF 펄스 내에 일시적으로 내장된다. 즉, 제 1 RF 전력 공급부는 제 1 온 시간(on time)을 가질 수 있고, 제 2 RF 전력 공급부는 제 1 온 시간 이하인 제 2 온 시간을 가질 수 있다. 제 2 온 시간은 제 2 RF 전력 공급부의 온 시간이 제 1 RF 전력 공급부의 오프 시간과 오버랩되지 않도록 제 1 온 시간에 대하여 일시적으로 놓이게 될 것이다(situated). 따라서, 하나의 RF 전력 공급부의 펄스에 대한 보다 짧은(또는 동일한) 온 시간은 다른 RF 전력 공급부의 펄스에 대한 더 긴(또는 동일한) 온 시간과 완전히 오버랩된다. 일 예로서, 소스 RF 전력 공급부가 5초의 온 시간과 5초의 오프 시간을 갖는 경우(50% 듀티 사이클), 바이어스 RF 전력 공급부는 소스 RF 전력 공급부가 오프될 때 바이어스 RF 전력 공급부가 결코 온되지 않도록 일시적으로 정렬되는 5초 이하의 온 시간을 가질 수 있다.
펄스화된 플라즈마 처리를 위해 이하에서 설명되는 프로세스들은 소스 전력, 바이어스 전력, 또는 이 둘다에 대한 정합망들에 적용될 수 있다. 본 발명의 일부 실시예들의 기술들은 플라즈마 반응기 내에서 펄스화된 RF 전력을 플라즈마에 효율적으로 인가하기 위한 임의의 조정가능한 정합망 및 조정가능한 주파수 발생기를 동작시키기 위해 사용될 수 있다. 따라서, 정합망 및 RF 전력 공급부는 RF 전력 공급부의 임피던스를 펄스화된 플라즈마 프로세스들의 더 넓은 윈도우 동안 정합망에 결합되는 안테나 또는 전극에 의해 구동되는 플라즈마의 임피던스에 정합할 수 있다.
RF 펄싱 프로시저들(특정한 비-제한적인 예들)
이전에 언급된 것처럼, 웨이퍼들 상에 수행되는 특정한 플라즈마 강화 프로세스들 동안, 많은 양의 전하가 웨이퍼의 표면 상에 축적될 수 있다. 그러한 축적은 웨이퍼에 대한 큰 손상을 유발할 수 있다. 하전 효과를 경감하고 그 손상을 방지하기 위해, 플라즈마 강화 반응기 내의 플라즈마에 공급되는 전력은 펄스화될 수 있다. 플라즈마 프로세스들을 위해 RF 펄싱을 사용함으로써, RF-펄스화된 발생기들로부터 플라즈마에 결합되는 에너지량을 극대화하기 위해 동적 조정 시스템이 도입되어야 한다.
종래의 플라즈마 프로세스들에서, 지속파(CW) RF 전력이 플라즈마 반응기에 전달된다. 동적 정합망은 RF 소스의 출력이 플라즈마에 충분하게 결합되도록, 즉 발생기로 재반사되는 전력을 최소화하도록 보장한다. 플라즈마 특성들은 처리 동안 변화하기 때문에, 정합망은 처리 전반에 걸쳐서 정합이 달성되고 유지되도록 보장하기 위해 연속적으로 조정가능하며, 이에 따라서 정합망은 자동 모드이다. 일반적으로, 프로세스 방법을 실행하는 제어기는 정합망을 제어하고 정합망의 커패시턴스 및/또는 인덕턴스를 조정하여 보다 양호한 정합, 즉 적은 반사 전력을 달성한다. 커패시턴스 및/또는 인덕턴스의 조정은 커패시터 및/또는 인덕터를 기계적으로 조정함으로써 달성된다. 플라즈마 반응기로 전달되는 RF 전력이 펄스화되면, 종래의 정합망은 조정 프로세스가 RF 펄스 지속시간에 비해 느릴 수 있기 때문에 시스템을 상당히 낮은 반사 전력으로 조정할 수 없을 것이다. 따라서, 펄스화된 RF 신호가 정합망에 인가될 때, 프로세스 동안 플라즈마 임피던스의 변화들을 따라갈 수 없고, 결과적으로 연속적인 조정은 과도한 반사 전력 및 플라즈마 전력 결합 효율의 감소를 유발할 수 있다. 따라서, 펄스화된 RF 신호들을 플라즈마 반응기에 인가할 때 플라즈마 강화 반도체 웨이퍼 처리 시스템에서 효율적인 동작을 보장하기 위한 정합 기술들 및 프로시저들이 결정적으로 필요하다. 정합 문제는 전력을 전달하면서 소스 및 바이어스 발생기들에 RF 펄싱을 인가하려고 시도할 때 분리된(decoupled) 플라즈마 소스 반응기들에서 중요해진다.
본 명세서에 제시된 본 발명의 일부 실시예들은 고속 주파수 조정을 제공하는 펄스화된-RF 발생기에 적용되는 부가적인 특징을 장점으로 갖는다. 이러한 특징을 도입하는 배후의 주 목적은 주어진 범위(~ 5%) 내에서 주 RF 동작 주파수를 조정하고 이에 따라 플라즈마 정합을 위한 동적 범위를 증가시킴으로써 발생기로 반사되는 전력을 감소시키는 것이다. 그러나, 플라즈마 반응기들이 이들의 주 정합 시스템으로서 정합망을 사용하기 위하여, 이러한 주파수 조정의 동적 범위는 좁고, 이에 따라 CW 모드에서 동작할 때 종래의 정합망에 대한 대체물로서 사용될 수 없다. 더욱이, 자동 조정가능한 정합망과 동시에 RF 발생기에서 주파수 조정을 가능하게 하는 것은 2개의 조정 프로시저들 사이의 경합을 생성하기 때문에, CW 모드에서 동작하는 동안 플라즈마로의 RF 전력 결합을 감소시킨다.
펄스화된 RF가 플라즈마 반응기에 인가되면, 주파수 조정 특징이 사용되어 더 낮은 반사 전력을 달성하기 위해 정합망과 공동으로 상호작용할 수 있고, 이에 따라 효율적인 펄스화된 플라즈마 프로세스들을 가능하게 한다. 본 명세서에 제시된 본 발명의 일부 실시예들은 시간-분석되는 조정 방식에 기초하여 RF 펄싱 동안 플라즈마 임피던스 정합을 위한 효율적인 프로시저들을 도입한다. 이러한 프로시저들은 시스템에서 사용될 여분의 장치에 대한 필요성 없이 넓은 동작 윈도우 내에서 안정한 펄스화된 플라즈마를 제공한다. 그러나, 특정한 방법들에서, 이러한 프로시저들 중 하나는 플라즈마 펄싱의 장점을 갖기 위해 짧은-시간 프로세스들을 가능하게 하는 다른 것에 비해 훨씬 더 빨리 조정된 상태로 된다.
Valentin Todorow 외의 미국특허번호 제6,818,562호에서, CW 모드에서 플라즈마를 점화하는 것이 제안되었으며, 플라즈마가 점화되면, 정합망은 최소 반사 전력을 보장하도록 자동으로 자체 조정된다. 목표된 정합이 달성되면, 정합망 조정 파라미터들은 일정하게 유지되고 시스템은 RF 전력이 펄스화되는 펄스 모드로 스위칭된다. 그러나, 이러한 기술에 의해 밝혀진 동작 윈도우는 좁고, 주파수 조정을 사용함이 없이 플라즈마 반응기에서 소스 및 바이어스 둘다를 펄싱하려고 시도할 때 임의의 안정한 펄스 동작을 달성하는 것은 거의 불가능하다.
이하에서, 몇가지 프로시저들이 도입되며, 각각의 프로시저는 펄싱 동안 안정한 동작을 촉진시키는 후속되는 스텝들의 시퀀스를 갖는다. 이러한 프로시저들을 사용함으로써, 플라즈마 펄싱 체제(regime)의 장점을 갖는 더 많은 플라즈마-기반 프로세스들을 가능하게 하는 펄싱 모드에 대한 안정한 동작 윈도우를 크게 향상시킬 수 있다. 본 명세서에서 제시된 프로시저들은 소스 및 바이어스 발생기들이 둘다 펄싱 모드일 때 플라즈마 펄싱을 위해 주로 적용된다. 그러나, 펄스화된 플라즈마 동작을 위한 시간-분석되는 방식으로 동작하는 동적 정합망과 조합되는 고속 주파수 조정을 갖는 주요한 개념은 다양한 애플리케이션들에서 구현될 수 있다. 예를 들어, 시간-분석되는 조정 방식은 이하의 모든 RF 체제들에 적용가능하다: 1) 소스 펄싱 및 CW 모드의 바이어스; 2) 바이어스 펄싱 및 CW 모드의 소스; 3) 비-동기화되는 방식으로 소스 및 바이어스 둘다의 펄싱; 및 4) 완전히 동기화되는 방식으로 또는 위상 제어되는 소스 및 바이어스 둘다의 펄싱.
이하의 2개의 예시적인 프로시저들은 소스 및 바이어스 둘다에 펄싱을 적용할 때 조정을 위해 사용될 수 있다. 이하의 2개의 프로시저들은 시간-분석되는 개념의 특수한 경우들이며 특정한 애플리케이션들을 위한 효율적인 프로시저들의 일 예로서 여기에 제공된다. 여기에서 설명되는 프로시저들의 변화들은 가변하는 파라미터들(예, 화학제들, 압력들, 전력 레벨들 등)을 갖는 프로세스들에 대해 사용될 수 있다. 예를 들어, 앞서 설명된 프로세스들은 낮은 반사 전력을 제공 및/또는 유지하기 위해 상이한 시퀀스들로 단계들이 수행되거나 역전(reverse)될 수 있다.
프로시저 #1: CW 대 펄스
본 프로시저에서, 플라즈마는 소스 및 바이어스 발생기들이 고정 주파수(예, 약 13.56MHz)에 있고 정합망들은 자동 모드에 있으면서, CW 모드에서 점화된다. 정합망들이 소스 및 바이어스 둘다로부터 최소 반사 전력을 보장하도록 조정되면(본 프로세스는 약 2-3초가 걸릴 수 있음), 바이어스 정합망을 자동 모드로 유지하면서 소스 정합망 파라미터들은 일정하게 유지된다. 그 후에, 소스 발생기에 대한 주파수 조정을 턴온하면서 바이어스 발생기에 대한 주파수 조정을 오프로 유지한다. 약 1초 후에, 펄싱 모드가 턴온된 다음, 바이어스 발생기에 대한 주파수 조정을 턴온하고 소스 정합망을 자동 모드로 복귀시킨다. 시스템이 안정화되고 최소 반사 전력을 달성하는데 또 다른 약 2-4초가 걸린다(정합망의 사전설정들과 방법의 파라미터들에 따라). 이제 시스템은 펄싱 모드로 동작할 준비가 된다.
요약하면:
1. 동기화된 펄싱 모드를 가능하게 하기 위해 발생기들을 마스터/슬레이브 모드로 설정.
2. 발생기를 고정 주파수로 설정.
3. 소스 발생기의 듀티 사이클 또는 펄싱 주파수 중 하나를 제로로 설정.
4. 정합망들을 자동 모드로 설정.
5. CW 동작을 위한 발생기들을 턴온.
6. CW 모드가 조정됨에 따라 소스 정합망을 홀드로 전환하고 바이어스를 자동 모드로 유지.
7. 소스 발생기에서 주파수 조정을 턴온.
8. 펄싱 모드를 턴온(소스 발생기의 듀티 사이클 및 펄싱 주파수 둘다에서 제로와 상이한 값들을 적용함으로써).
9. 바이어스 발생기에서 주파수 조정을 턴온.
10. 소스 정합망을 자동 모드로 다시 스위칭.
11. 시스템이 펄싱 동작을 위해 준비됨.
12. 시스템이 조정되면, 정합망들을 홀드 모드로 스위칭. 특정 조건들 하에서 단계 10을 스킵하고 단계 6에서 설정된 바와 같이 소스 정합망을 홀드 모드로 유지할 수 있음. 예를 들어, 고압 프로세스들(예, 약 10mTorr 보다 더 큰)과 일부 저압 프로세스들에서, 단계 10을 스킵할 수 있음.
이러한 프로시저를 이용하여, 본 발명자들은 발생기 종료시의 주파수 조정과 정합망 종료시의 자동 모드가 CW 모드 동안 동시에 동작되는 상황에 직면하게 되었고, 이에 따라 불안정성들을 유발할 수 있는 조정 알고리즘 경합을 회피할 수 있다. 바이어스에 대비하여 소스의 조정에 있어서의 차이들은 종래의 분리된 플라즈마 소스 반응기들에서 바이어스에 대한 정합망이 소스보다 더 낮은 반사 전력을 달성하고 임의의 실제적인 목적들을 위하여 바이어스로부터의 반사 전력은 동일하게 제로라는 사실에 기인한 것이다. 따라서, 시스템이 CW 모드인 동안 바이어스 정합망을 홀드 위치로 스위칭하고 주파수 조정을 활성화함으로써, 발생기가 불가능할 때 더 양호한 조정을 달성하려고 시도함에 따라 제로 반사 전력을 변경시켜서 불안정성이 발생할 수 있다. 후자는 주로 저압 프로세스들에서 현저하다. 이러한 문제는 정합망에 의해 달성되는 반사 전력이 작지만 동일하게 제로가 아닐 때 소스에서 발생하지 않으며, 이에 따라 정합망이 CW 모드에서도 온으로 유지되면서 고속 주파수 조정을 적용함으로써 보다 낮은 반사 전력을 달성할 여지가 있다.
프로시저 #2: 펄스 대 펄스
본 프로시저는 거의 임의의 펄싱 주파수(100Hz-50kHz 범위에서 사용되는 전형적인 주파수들)에서 약 90%(또는 약 85% 내지 95%)의 듀티 사이클을 갖는 소스 및 바이어스 둘다에 펄싱을 인가하는 것이 CW 모드와 매우 근사하게 동작하는 것으로 관찰되어, CW 모드에서 실행될 수 있는 동일한 방법에 비해 낮은 반사 전력을 달성하기가 매우 용이하다는 발견에 주로 의존한다. 그러나, 주요한 차이점은 발생기들이 펄싱 모드에서 실행되며, 이에 따라 경합 체제가 되지 않으면서 발생기들에서 주파수 조정과 동시에 자동 모드의 정합망을 가질 수 있다. 본 프로시저들에서 후속될 단계들의 시퀀스는 다음과 같다. 목표된 펄싱 주파수와 약 90%의 듀티 사이클(또는 약 85% 내지 95%)을 갖는 펄싱 모드로 발생기들을 설정한다. 바이어스 및 소스 둘다에 대한 주파수 조정을 턴온하고 정합망들을 자동 모드로 설정한다. 이렇게 한 후에, 발생기들을 턴온한다. 시스템이 안정화되고 최소 반사 전력으로 자체 조정하는데 약 2-3초가 걸릴 것이다. 그 후에, 발생기들이 여전히 온되면서, 듀티 사이클을 약 90%에서 동작 윈도우 내에서 목표된 듀티 사이클로 변경한다. 시스템이 자체 조정된 다음 목표된 펄싱 모드의 동작을 준비하는데 또 다른 2-3초가 걸릴 것이다.
요약하면:
1. 동기화된 펄싱 모드를 가능하게 하기 위해 발생기들을 마스터/슬레이브 모드로 설정.
2. 발생기들을 주파수 스윕으로 설정.
3. 소스 발생기 듀티 사이클을 약 90%(또는 약 85% 내지 95%)로 설정하고 펄싱 주파수를 목표된 동작 주파수로 설정.
4. 정합망들을 자동 모드로 설정.
5. 펄싱 모드들을 위해 발생기들을 턴온.
6. 조정될 때, 듀티 사이클을 목표된 듀티 사이클로 스위칭.
7. 시스템이 펄싱 동작을 동작하도록 준비됨.
8. 시스템이 조정되면(단계 7 후에 2-3초), 정합망들을 홀드 모드로 스위칭. 특정 조건들 하에서 단계 6에서 시스템을 조정한 후 그러나 듀티 사이클을 목표된 값으로 스위칭하기 전에 정합망들을 홀드 모드로 스위칭하는 것이 권장될 것임. 그러한 상황들에서, 단계 8은 필요하지 않고 생략될 수 있음.
전술한 두 프로시저들에서, 펄싱 동안, 소스 및 바이어스 펄스들은 완전히 동기화될 수 있다(예, 펄스들의 엔벌로프(envelope) 사이의 위상은 임의의 주어진 시간에 제로임). 펄스들이 동기화됨이 없이, 소스 및 바이어스가 동시에 펄스화되면서 낮은 반사 전력을 달성하는 것은 훨씬 더 어렵다. 그러나, 이전에 제공된 시간-분석되는 프로시저에 기초하여, 펄스들 간의 위상이 제로가 아닐 때에도 낮은 반사 전력을 달성할 수 있다.
또한, 여기에서 도입되는 프로시저들은 플라즈마 임피던스 정합을 위한 동적 범위를 확장한다. 그러나, 이들은 플라즈마 펄싱에 대한 동작 윈도우를 크게 향상시켜서 보다 많은 수의 프로세스들이 이러한 체제의 장점을 가질 수 있도록 한다. 동적 범위는 주로 2개의 특징들로 기술된다. 첫번재는 정합망의 커패시터들/인덕터들의 동적 범위이다. 두번째는 발생기에서의 주파수 조정 범위이다. 펄싱 모드에 대한 효과적인 동작 윈도우를 변경하기 위해 이러한 2개의 특징들을 변경하는 것이 가능하다.
전술한 프로시저들 중 어느 것이 사용될지를 기술하는 실행 방법을 특성화하는 주요한 파라미터는 압력 설정점이다. 2개의 동작 패밀리들은 구별될 수 있으며, 첫번째는 저압 동작(예를 들어, Si 식각을 위해 약 10 mTorr 미만)이고, 두번째는 고압 동작이다. 전술한 두 프로시저들은 안정한 동작 윈도우 내에서 펄싱 모드로 동작하면서 시스템의 안정한 조정을 가능하게 한다. 고압 동작에서, 두 방법들은 동일한 시간 프레임 내에서 유사한 결과들을 나타낸다. 그러나, 저압 동작에서 두번째 방법이 더 빠르다(3-4초 대 6초).
전술한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가적인 실시예들은 본 발명의 기본 범주를 벗어남이 없이 안출될 수 있다.

Claims (15)

  1. 장치로서,
    주파수 조정(frequency tuning)을 갖는 제 1 RF 전력 공급부(power supply);
    상기 제 1 RF 전력 공급부에 결합된 제 1 정합망(matching network); 및
    상기 제 1 RF 전력 공급부로 재반사되는 반사 RF 전력을 판독(reading)하기 위한 제 1 공통 센서 ― 상기 제 1 공통 센서는 상기 제 1 RF 전력 공급부와 상기 제 1 정합망에 결합됨 ―
    를 포함하는 장치.
  2. 제 1 항에 있어서,
    프로세스 챔버의 리드(lid)에 인접하게 배치된 안테나 어셈블리, 및 처리될 기판을 지지하기 위한 캐소드 페디스털(cathode pedestal)을 갖는 프로세스 챔버 ― 상기 제 1 RF 전력 공급부는 상기 안테나 어셈블리에 결합됨 ―;
    상기 캐소드 페디스털에 결합되고 주파수 조정을 갖는 제 2 RF 전력 공급부 ― 제 2 정합망은 상기 제 2 RF 전력 공급부에 결합됨 ―; 및
    상기 제 2 RF 전력 공급부로 재반사되는 반사 RF 전력을 판독하기 위한 제 2 공통 센서 ― 상기 제 2 공통 센서는 상기 제 2 RF 전력 공급부와 상기 제 2 정합망에 결합됨 ―
    를 더 포함하는, 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 공통 센서로부터의 상기 판독에 기초하여 상기 제 1 RF 전력 공급부 및 상기 제 1 정합망을 조정하고, 상기 제 2 정합망, 제 2 RF 전력 공급부, 및 제 2 공통 센서가 존재하는 경우, 상기 제 2 공통 센서로부터의 상기 판독에 기초하여 상기 제 2 RF 전력 공급부 및 상기 제 2 정합망을 조정하기 위한 제어기를 더 포함하는, 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 정합망은 상기 제 1 RF 전력 공급부 내에 내장되고(embedded), 상기 제어기는 상기 제 1 RF 전력 공급부의 출력에서 측정되는 상기 제 1 공통 센서에 의해 제공되는 공통 판독에 기초하여 상기 제 1 RF 전력 공급부의 RF 사이클을 갖는 주파수 뿐만 아니라 상기 제 1 정합망의 조정을 둘다 제어하며,
    상기 제 2 정합망, 제 2 RF 전력 공급부, 및 제 2 공통 센서가 존재하는 경우, 상기 제 2 정합망은 상기 제 2 RF 전력 공급부 내에 내장되고, 상기 제어기는 상기 제 2 RF 전력 공급부의 출력에서 측정되는 상기 제 2 공통 센서에 의해 제공되는 공통 판독에 기초하여 상기 제 2 RF 전력 공급부의 RF 사이클을 갖는 주파수 뿐만 아니라 상기 제 2 정합망의 조정을 둘다 제어하는, 장치.
  5. 제 2 항에 있어서,
    하나의 RF 전력 공급부의 동작을 다른 RF 전력 공급부에 동기화하는 것을 원활하게 하기 위해 상기 제 1 RF 전력 공급부 및 상기 제 2 RF 전력 공급부를 결합하는 링크를 더 포함하고, 상기 제 1 RF 전력 공급부 및 상기 제 2 RF 전력 공급부는 완전한 동기화 또는 목표된 위상 차이로 동작하도록 구성되는, 장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 RF 전력 공급부 그리고 상기 제 2 RF 전력 공급부가 존재하는 경우 상기 제 2 RF 전력 공급부는 약 100 Hz 내지 약 100 kHz의 펄스 주파수를 갖는 펄스 모드로 동작할 수 있는, 장치.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 RF 전력 공급부 그리고 상기 제 2 RF 전력 공급부가 존재하는 경우 상기 제 2 RF 전력 공급부는 약 10% 내지 약 90%의 듀티 사이클에서 동작할 수 있는, 장치.
  8. 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법으로서,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 각각 주파수 조정할 수 있고 소스 정합망 및 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되며, 상기 방법은,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부를 사용하여 프로세스 챔버 내에서 플라즈마를 점화하는(igniting) 단계 ― 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 지속파(continuous wave) 모드 및 고정 주파수 모드로 각각 설정되고, 상기 소스 정합망 및 상기 바이어스 정합망은 자동 조정 모드로 각각 설정됨 ―;
    상기 정합망들이 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부 둘다로부터의 반사 전력을 감소시키도록 조정된 후에 상기 바이어스 정합망을 자동 모드로 유지하면서 상기 소스 정합망을 홀드(hold) 모드로 변경하는 단계;
    상기 바이어스 RF 전력 공급부에 대한 주파수 조정을 오프(off)로 유지하면서 상기 소스 RF 전력 공급부에 대한 주파수 조정을 턴온하는(turning on) 단계;
    상기 소스 RF 전력 공급부 및/또는 상기 바이어스 RF 전력 공급부에 대한 펄싱 모드(pulsing mode)를 턴온하는 단계; 및
    상기 바이어스 RF 전력 공급부를 주파수 조정 모드에 두는(placing) 단계
    를 포함하는 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 바이어스 RF 전력 공급부를 주파수 조정 모드에 둔 후에 상기 소스 정합망을 자동 모드로 복귀시키는 단계를 더 포함하는,
    플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  10. 제 8 항에 있어서,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 동기식(synchronized) 또는 내장된 동기식 펄싱을 제공하기 위한 마스터/슬레이브 배열이거나, 또는
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 각각, 상기 바이어스 RF 전력 공급부를 지속파 모드로 동작시키면서 상기 소스 RF 전력 공급부를 펄싱 모드로 동작시키거나 상기 소스 RF 전력 공급부를 지속파 모드로 동작시키면서 상기 바이어스 RF 전력 공급부를 펄싱 모드로 동작시키기 위한 마스터 모드인,
    플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  11. 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법으로서,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 각각 주파수 조정할 수 있고 소스 정합망 및 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되며, 상기 방법은,
    (a) 상기 소스 정합망 및 상기 바이어스 정합망을 자동 조정 모드로 하면서, 약 85% 내지 약 95%의 초기 듀티 사이클과 목표된 펄싱 주파수에서 상기 소스 RF 전력 공급부 및/또는 상기 바이어스 RF 전력 공급부로부터의 RF 전력을 주파수 조정 모드에서 제공함으로써 프로세스 챔버 내에 플라즈마를 형성하는 단계; 및
    (b) 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부가 여전히 온(on)인 동안, 상기 정합망들이 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부 둘다로부터의 반사 전력을 감소시키도록 조정된 후에 상기 초기 듀티 사이클을 목표된 듀티 사이클로 변경하는 단계
    를 포함하는 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  12. 제 11 항에 있어서,
    (c) 상기 초기 듀티 사이클을 목표된 듀티 사이클로 변경한 후에 상기 정합망들을 홀드 모드로 스위칭하는 단계를 더 포함하는,
    플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  13. 제 11 항에 있어서,
    (c) 상기 정합망들이 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부 둘다로부터의 반사 전력을 감소시키도록 조정된 후에 그러나 상기 초기 듀티 사이클을 목표된 듀티 사이클로 변경하기 전에, 상기 정합망들을 홀드 모드로 스위칭하는 단계를 더 포함하는,
    플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  14. 제 11 항에 있어서,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 동기식 또는 내장된 동기식 펄싱을 제공하기 위한 마스터/슬레이브 배열이거나, 또는
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 각각, 상기 바이어스 RF 전력 공급부를 지속파 모드로 동작시키면서 상기 소스 RF 전력 공급부를 펄싱 모드로 동작시키거나 상기 소스 RF 전력 공급부를 지속파 모드로 동작시키면서 상기 바이어스 RF 전력 공급부를 펄싱 모드로 동작시키기 위한 마스터 모드인,
    플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
  15. 소스 RF 전력 공급부 및 바이어스 RF 전력 공급부를 사용하여 시간 분석되는(time resolved) 방식으로 플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법으로서,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 각각 주파수 조정할 수 있고 소스 정합망 및 바이어스 정합망을 통하여 프로세스 챔버에 각각 결합되며, 상기 방법은,
    상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부를 사용하여 프로세스 챔버 내에 플라즈마를 점화하는 단계 ― 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부는 지속파 모드 또는 펄싱 모드로부터 선택된 제 1 동작 모드, 그리고 고정 주파수 모드 또는 주파수 조정 모드로부터 선택된 제 1 조정 모드로 각각 독립적으로 설정되고, 상기 소스 정합망 및 상기 바이어스 정합망은 자동 조정 모드 또는 홀드 모드로부터 선택된 제 1 정합 모드로 각각 독립적으로 설정됨 ―;
    제 1 시간 기간이 종료될 때, 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부 중 하나 또는 둘다의 상기 제 1 동작 모드를 스위칭하는 단계;
    제 2 시간 기간이 종료될 때, 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부 중 하나 또는 둘다의 상기 제 1 조정 모드를 스위칭하는 단계; 및
    제 3 시간 기간이 종료될 때, 상기 소스 정합망 및 상기 바이어스 정합망 중 하나 또는 둘다의 상기 제 1 정합 모드를 스위칭하는 단계
    를 포함하고, 상기 제 1, 제 2 및 제 3 시간 기간은 상기 소스 RF 전력 공급부 및 상기 바이어스 RF 전력 공급부로 재반사되는 반사 전력을 감소시키도록 선택되는,
    플라즈마 프로세스를 동작하는 시스템을 조정하기 위한 방법.
KR1020107028091A 2008-05-14 2009-05-13 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치 KR101528528B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12763408P 2008-05-14 2008-05-14
US61/127,634 2008-05-14
PCT/US2009/043771 WO2009140371A2 (en) 2008-05-14 2009-05-13 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020157002580A Division KR20150017389A (ko) 2008-05-14 2009-05-13 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20110019743A true KR20110019743A (ko) 2011-02-28
KR101528528B1 KR101528528B1 (ko) 2015-06-12

Family

ID=41315533

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107028091A KR101528528B1 (ko) 2008-05-14 2009-05-13 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
KR1020157002580A KR20150017389A (ko) 2008-05-14 2009-05-13 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157002580A KR20150017389A (ko) 2008-05-14 2009-05-13 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치

Country Status (6)

Country Link
US (1) US8264154B2 (ko)
JP (2) JP2011525682A (ko)
KR (2) KR101528528B1 (ko)
CN (1) CN102027810B (ko)
TW (2) TWI519211B (ko)
WO (1) WO2009140371A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9378931B2 (en) * 2014-10-29 2016-06-28 Samsung Electronics Co., Ltd. Pulse plasma apparatus and drive method thereof
KR20180002814A (ko) * 2015-05-05 2018-01-08 트럼프 휴팅거 에스피 제트 오. 오. 플라즈마 임피던스 정합 유닛, 플라즈마 부하에 rf 전력을 공급하기 위한 시스템, 및 플라즈마 부하에 rf 전력을 공급하는 방법
KR20210130032A (ko) * 2020-04-21 2021-10-29 인제대학교 산학협력단 온/오프 스위칭이 가능한 중주파 플라즈마 처리장치

Families Citing this family (420)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
EP2299922B1 (en) * 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP2010238881A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
US20110094683A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Rf feed structure for plasma processing
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
KR101675625B1 (ko) 2011-01-04 2016-11-22 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 처리 부하에 대한 시스템 레벨 전원 공급
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8828259B2 (en) 2011-07-07 2014-09-09 Lam Research Corporation Methods for automatically determining capacitor values and systems thereof
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9197196B2 (en) * 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
CN103327723A (zh) * 2012-03-23 2013-09-25 中微半导体设备(上海)有限公司 一种电容耦合等离子反应器及其控制方法
JP5822795B2 (ja) 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5841917B2 (ja) * 2012-08-24 2016-01-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103730316B (zh) 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
KR102010321B1 (ko) * 2013-01-10 2019-08-13 삼성전자주식회사 플라즈마 처리 방법과 상기 방법을 이용할 수 있는 장치들
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
US9336995B2 (en) * 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
US9837252B2 (en) * 2013-05-09 2017-12-05 Lam Research Corporation Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model
US20140367043A1 (en) * 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
TWI668725B (zh) * 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US9472416B2 (en) 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
TWI677263B (zh) * 2014-04-23 2019-11-11 美商蘭姆研究公司 軟脈動
KR101522891B1 (ko) * 2014-04-29 2015-05-27 세메스 주식회사 플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
EP3029711B1 (en) 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10164483B2 (en) 2015-03-17 2018-12-25 Semiconductor Components Industries, Llc Tunable resonant inductive coil systems for wireless power transfer and near field communications
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9721758B2 (en) * 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US9876476B2 (en) * 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6043852B2 (ja) * 2015-10-01 2016-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) * 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9614524B1 (en) 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
KR20170075887A (ko) * 2015-12-23 2017-07-04 삼성전자주식회사 플라즈마 처리 장치, 그의 플라즈마 처리 방법, 및 플라즈마 식각 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170103657A (ko) * 2016-03-03 2017-09-13 램 리써치 코포레이션 매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 시스템들 및 방법들
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) * 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9839109B1 (en) 2016-05-30 2017-12-05 Applied Materials, Inc. Dynamic control band for RF plasma current ratio control
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10424467B2 (en) 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108666197B (zh) * 2017-03-31 2020-02-14 北京北方华创微电子装备有限公司 一种脉冲功率源和半导体设备
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10679825B2 (en) * 2017-11-15 2020-06-09 Lam Research Corporation Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN110648888B (zh) 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111293021B (zh) * 2018-12-07 2024-01-12 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11538662B2 (en) 2019-05-21 2022-12-27 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021180215A (ja) 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び制御装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20230114184A (ko) * 2020-12-08 2023-08-01 램 리써치 코포레이션 저 주파수 RF 생성기 및 연관된 정전 척 (electrostatic chuck)
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11749505B2 (en) * 2021-02-23 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11538663B2 (en) * 2021-02-23 2022-12-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
CN117480870A (zh) 2021-06-21 2024-01-30 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
US11877378B2 (en) * 2021-10-08 2024-01-16 National Yang Ming Chiao Tung University Plasma fine bubble liquid generating apparatus
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2727607B2 (ja) * 1988-11-22 1998-03-11 株式会社ダイヘン プラズマ装置のインピーダンス整合方法
JP2737377B2 (ja) * 1990-06-25 1998-04-08 富士電機株式会社 プラズマ処理装置
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
JP2884056B2 (ja) * 1995-12-07 1999-04-19 パール工業株式会社 放電プラズマ発生用高周波電源装置及び半導体製造装置
US6353206B1 (en) * 1996-05-30 2002-03-05 Applied Materials, Inc. Plasma system with a balanced source
EP0840350A2 (en) * 1996-11-04 1998-05-06 Applied Materials, Inc. Plasma apparatus and process with filtering of plasma sheath-generated harmonics
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
JP3629705B2 (ja) * 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6020794A (en) * 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
JPH11345803A (ja) * 1998-06-03 1999-12-14 Matsushita Electric Ind Co Ltd プラズマ発生加工方法およびプラズマ発生加工装置
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
JP2003179045A (ja) * 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6703080B2 (en) * 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9378931B2 (en) * 2014-10-29 2016-06-28 Samsung Electronics Co., Ltd. Pulse plasma apparatus and drive method thereof
KR20180002814A (ko) * 2015-05-05 2018-01-08 트럼프 휴팅거 에스피 제트 오. 오. 플라즈마 임피던스 정합 유닛, 플라즈마 부하에 rf 전력을 공급하기 위한 시스템, 및 플라즈마 부하에 rf 전력을 공급하는 방법
KR20210130032A (ko) * 2020-04-21 2021-10-29 인제대학교 산학협력단 온/오프 스위칭이 가능한 중주파 플라즈마 처리장치

Also Published As

Publication number Publication date
TW201542042A (zh) 2015-11-01
WO2009140371A3 (en) 2010-04-01
CN102027810A (zh) 2011-04-20
JP2011525682A (ja) 2011-09-22
TWI519211B (zh) 2016-01-21
US20090284156A1 (en) 2009-11-19
TW201010524A (en) 2010-03-01
TWI586224B (zh) 2017-06-01
CN102027810B (zh) 2014-08-13
JP5877873B2 (ja) 2016-03-08
US8264154B2 (en) 2012-09-11
KR101528528B1 (ko) 2015-06-12
KR20150017389A (ko) 2015-02-16
WO2009140371A2 (en) 2009-11-19
JP2014222657A (ja) 2014-11-27

Similar Documents

Publication Publication Date Title
KR101528528B1 (ko) Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US6818562B2 (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US20140367043A1 (en) Method for fast and repeatable plasma ignition and tuning in plasma chambers
US9595423B2 (en) Frequency tuning for dual level radio frequency (RF) pulsing
US8974684B2 (en) Synchronous embedded radio frequency pulsing for plasma etching
KR101510775B1 (ko) 동기식 펄스 플라즈마 에칭 장비
JP3122618B2 (ja) プラズマ処理装置
US8513889B2 (en) Methods and apparatus for tuning matching networks
KR20180052772A (ko) 근사화된 톱니파 펄싱을 갖는 rf 전력 전달
KR20140105455A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN105914123B (zh) 用于在等离子体处理系统中控制等离子体的方法和装置
JP6316735B2 (ja) プラズマエッチング方法
US20190267213A1 (en) Methods and apparatus for common excitation of frequency generators
TWI837114B (zh) 用於頻率產生器的共同激勵的方法與設備

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180601

Year of fee payment: 4