JP5877873B2 - プラズマプロセスを実行するシステムをチューニングするための方法 - Google Patents

プラズマプロセスを実行するシステムをチューニングするための方法 Download PDF

Info

Publication number
JP5877873B2
JP5877873B2 JP2014107956A JP2014107956A JP5877873B2 JP 5877873 B2 JP5877873 B2 JP 5877873B2 JP 2014107956 A JP2014107956 A JP 2014107956A JP 2014107956 A JP2014107956 A JP 2014107956A JP 5877873 B2 JP5877873 B2 JP 5877873B2
Authority
JP
Japan
Prior art keywords
source
mode
bias
power
matching network
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014107956A
Other languages
English (en)
Other versions
JP2014222657A (ja
Inventor
セイマー バンナ
セイマー バンナ
バレンタイン トドロウ
バレンタイン トドロウ
カーティク ラマスワミ
カーティク ラマスワミ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014222657A publication Critical patent/JP2014222657A/ja
Application granted granted Critical
Publication of JP5877873B2 publication Critical patent/JP5877873B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

背景
(分野)
本発明の実施形態は概して半導体基板処理システム、より具体的にはパルス化プラズマ
を使用した基板の処理方法及び装置に関する。
(関連技術の説明)
半導体集積回路(IC)製造において、コンポーネントとしてのトランジスタ等の素子
は、典型的にはシリコンから成る半導体ウェハ基板上に形成される。製造プロセス中、様
々な材料を異なる層上に堆積することによって所望の集積回路を構築する又は形成する。
これら各種の層が、金属線によって相互接続される素子を形作る。素子及び金属線を既に
搭載したウェハ(当該分野においては基板とも称される)に行う特定のプラズマ支援プロ
セスの間、かなりの量の電荷がウェハ表面上に蓄積されることがある。この電荷の蓄積が
ウェハ全体で均一になるとは限らない。このため、電荷の蓄積によって、一部の金属化材
料においては破壊電流が生じてしまう及び/又は誘電体層においてアーク放電が生じてし
まう場合がある。電流及び/又はアーク放電によって、ウェハ上に事前に形成されていた
特定の素子が破壊されたり損傷を受けたりする可能性があるプラズマ支援。電荷による作
用を軽減し、電荷による損傷を回避するために、プラズマ支援リアクタ内のプラズマに供
給する電力をパルス化する場合がある。このため、プラズマに結合させる電流は、プラズ
マ支援プロセス全体を通じて又はその一部においてパルス化される。エッチリアクタで使
用するためのこのような技法の一例が、2001年7月3日に発行された米国特許第62
55221号に開示されている。
パルス化プラズマエッチリアクタの使用における1つの難点が、RFジェネレータ又は
RFソースからの電流を、動的にチューニングされたマッチングネットワーク(マッチユ
ニットとも称される)を通して、プラズマリアクタ内のアンテナ又は電極に結合させなく
てはならないことである。パルス化電力は、アンテナ又は電極からリアクタ内のプロセス
ガスに結合され、エッチングプロセスに使用するためのプラズマが形成される。マッチン
グネットワークによって、RFソースの出力がプラズマに効率的に結合され、プラズマに
結合されるエネルギーの量が最大となる。マッチングネットワークは、典型的には、50
オーム〜複素インピーダンスのプラズマをマッチさせる。処理中のプラズマ特性の変化に
合わせたダイナミックマッチングを促進するために、マッチングネットワークは連続的に
調節可能であり、処理を通じてのマッチの達成及びその維持が確保される。
一般に、プロセスレシピを実行するコントローラがマッチングネットワークを制御する
。コントローラは、マッチングネットワークからの反射電力の監視も行う。マッチングネ
ットワークからの反射電力が増大すると、コントローラが、マッチングネットワークのキ
ャパシタンス又はインダクタンスを調節することによってRFソースをチャンバ内に存在
しているプラズマにより高くマッチさせる。高電力RFエネルギーをプラズマに結合する
ためのマッチングネットワークは、一般に、機械的にチューニング可能な要素(即ち、キ
ャパシタ及び/又はインダクタ)を備えることから、チューニングプロセスが、プラズマ
に結合させるのが所望のRFパルスのパルス幅と比べて遅くなる場合がある。このため、
ネットワークとしてのマッチングネットワークへのパルス電力をパルス毎に調節する場合
、反射電力が散発的又は実際の反射電力と一致しなくなり、コントローラによるマッチン
グネットワークの調節が不十分又は過剰なものになってしまう場合がある。このような連
続的な調節は、過剰な反射電力及びプラズマ電力結合効率の低下を引き起こす可能性があ
る。
従って、パルス化電力を使用してプラズマ支援半導体ウェハ処理を実行するための改善
された方法及び装置が当該分野において必要とされている。
概要
本発明の実施形態は、概して、広いプロセスウィンドウのパルス化プラズマ処理のため
の方法及び装置を提供する。一部の実施形態において、装置は、周波数チューニングを行
うRF電源及びこのRF電源に連結されたマッチングネットワークを含み、RF電源及び
マッチングネットワークは、RF電源に反射した反射RF電力を読み取るための共通セン
サを共有する。一部の実施形態において、装置は、周波数チューニングを行うRF電源及
びこのRF電源に連結されたマッチングネットワークを含み、RF電源及びマッチングネ
ットワークは、RF電源に反射した反射RF電力を読み取るための共通センサ並びにRF
電源及びマッチングネットワークのそれぞれのチューニングを行うための共通コントロー
ラを共有する。
一部の実施形態において、広い範囲のプロセスレシピ又はパラメータに亘ってパルス化
プラズマ処理を可能にするためのチューニング手順が提供される。一部の実施形態におい
て、このチューニング手順は、ソース及びバイアスジェネレータを固定周波数、マッチン
グネットワークを自動モードにおきながら、連続波(CW)モードでプラズマに点火する
ことを含む。ソース及びバイアスの両方からの最小反射電力がマッチングネットワークの
調節によって一旦確保されたら(約2〜3秒)、ソースマッチングネットワークパラメー
タを一定に維持し、一方、バイアス側を自動モードで維持する。続いて、ソースジェネレ
ータについて周波数チューニングをONにし、バイアスジェネレータについては周波数チ
ューニングをOFFのままにする。約1秒後、パルシングモードがONにされ、続いてバ
イアスジェネレータについて周波数チューニングをONにし、ソースマッチングネットワ
ークを自動モードに戻す。システムが安定し、最小反射電力が達成されるまでには更に2
〜4秒かかる(マッチングネットワークの事前設定及びレシピのパラメータに依存する)
一部の実施形態において、それぞれが周波数チューニング可能であり且つソースマッチ
ングネットワーク及びバイアスマッチングネットワークを介して処理チャンバに連結され
たマスター/スレーブ構成のソースRF電源及びバイアスRF電源を使用してプラズマプ
ロセスを実行するシステムをチューニングするための方法が提供される。本方法は、連続
波モード及び固定周波数モードにそれぞれ設定されたソースRF電源及びバイアスRF電
源を使用し、ソースマッチングネットワーク及びバイアスマッチングネットワークがそれ
ぞれ自動チューニングモードに設定された状態で、まず処理チャンバにおいてプラズマに
点火することを含む。次に、ソース及びバイアスRFジェネレータの両方からの反射電力
が低減されるようにマッチングネットワークが調節を行った後に、バイアスマッチングネ
ットワークを自動モードで維持しながらソースマッチングネットワークをホールドモード
に変更する。ソースRF電源について周波数チューニングをONにし、一方、バイアスジ
ェネレータについては周波数チューニングをOFFのままにすることが可能である。パル
シングモードをソースRF電源及び/又はバイアスRF電源についてONにすることが可
能である。次に、バイアスRF電源を周波数チューニングモードにすることが可能である
一部の実施形態において、チューニング手順は、ジェネレータを所望のパルシング周波
数のパルシングモードに設定することを含む(ただし、デューティサイクルは約90%)
。バイアス及びソースの両方について周波数チューニングをONにし、マッチングネット
ワークを自動モードに設定する。その後、RFジェネレータをONにする。システムの安
定化及び最小反射電力とするための自己調節には約2〜3秒かかる。その後、ジェネレー
タが依然としてONの間に、デューティサイクルを約90%から所望のデューティサイク
ルへとオペレーションウィンドウ内で変更する。システムの自己チューニングには更に2
〜3秒かかり、その後、所望のパルシングモードでのオペレーションの準備が整う。
一部の実施形態において、それぞれが周波数チューニング可能であり且つソースマッチ
ングネットワーク及びバイアスマッチングネットワークを介して処理チャンバに連結され
たマスター/スレーブ構成のソースRF電源及びバイアスRF電源を使用してプラズマプ
ロセスを実行するシステムをチューニングするための方法が提供される。本方法は、(a
)RF電力を、所望のパルシング周波数、約85〜約95%の初期デューティサイクルの
周波数チューニングモードのソースRF電源及び/又はバイアスRF電源から、ソースマ
ッチングネットワーク及びバイアスマッチングネットワークを自動チューニングモードの
状態で供給することによって、処理チャンバ内でプラズマを形成し、(b)ソース及びバ
イアスRFジェネレータの両方からの反射電力を低減するようにマッチングネットワーク
が調節された後に、ソースRF電源及びバイアスRF電源が依然としてONの間に、初期
デューティサイクルを所望のデューティサイクルに変更することを含む。
一部の実施形態において、それぞれが周波数チューニング可能であり且つソースマッチ
ングネットワーク及びバイアスマッチングネットワークを介して処理チャンバに連結され
たソースRF電源及びバイアスRF電源を使用して、時間分解方式でプラズマプロセスを
実行するシステムをチューニングするための方法が提供される。本方法は、連続波モード
又はパルシングモードのいずれかから選択された第1オペレーションモード及び固定周波
数モード又は周波数チューニングモードのいずれかから選択された第1チューニングモー
ドにそれぞれ独立して設定されたソースRF電源及びバイアスRF電源を使用し、ソース
マッチングネットワーク及びバイアスマッチングネットワークが自動チューニングモード
又はホールドモードのいずれかから選択された第1マッチモードにそれぞれ独立して設定
された状態で、処理チャンバ内のプラズマに点火することを含む。第1時間の経過後、ソ
ースRF電源及びバイアスRF電源の一方又は両方の第1オペレーションモードは切り換
えられる。第2時間の経過後、ソースRF電源及びバイアスRF電源の一方又は両方の第
1チューニングモードが切り換えられる。第3時間の経過後、ソースマッチングネットワ
ーク及びバイアスマッチングネットワークの一方又は両方の第1マッチモードが切り換え
られる。第1、第2及び第3時間は、ソースRF電源及びバイアスRF電源に反射する反
射電力を低減するように選択される。
その他及び更なる実施形態を、以下の詳細な説明で挙げる。
本発明の上記の構成が詳細に理解されるように、上記で簡単に要約した本発明のより具
体的な説明を実施形態を参照して行う。実施形態の一部は添付図面に図示されている。し
かしながら、添付図面は本発明の典型的な実施形態しか図示しておらず、本発明はその他
の同等に効果的な実施形態も含み得ることから、本発明の範囲を制限すると解釈されない
ことに留意すべきである。
本発明の一部の実施形態による半導体ウェハ処理システムの概略図である。 本発明の一部の実施形態によるマッチングネットワーク及びRFジェネレータの独立タイミング特徴を示す概略チャートである。 本発明の一部の実施形態に関連しての使用に適した例示的なマッチ回路を示す図である。 本発明の一部の実施形態に関連しての使用に適した例示的なマッチ回路を示す図である。
詳細な説明
本発明は、パルス化プラズマを使用して基板を処理するための方法及び装置を提供する
。一部の実施形態において、ウェハレベルでの損傷が少なくトレンチ形成、ノッチ形成問
題が軽減され、パフォーマンスを高める(より高いエッチ均一性及び選択性)バイアス及
びソースの一方又は両方のためのプラズマパルシングプロセスが提供される。本発明の実
施形態は、周波数チューニング(周波数掃引とも称される)を行うRFジェネレータをダ
イナミックマッチングネットワークと組み合わせて使用する、パルシングモードのための
安定したオペレーションウィンドウを可能にする手順を提供することである。システムを
チューニングするのに必要な時間がエッチプロセスでは重要であり、またこれらの手順の
1つの利点がパルス化を行いながら約6秒未満でチューニング可能なことであることから
、ウェハを不安定なプラズマに曝露する時間が最小限に抑えられる。以下の説明では特定
のプロセス、RF周波数、RF電力について言及しているが、本明細書の開示は、一般に
、その他のプロセス、その他の周波数及びその他の電力レベルに関して利するように使用
される。
図1は、一実施形態において半導体ウェハ122(又はその他の基板及びワークピース
)のエッチングに使用されるプラズマ支援半導体ウェハ処理システム100である。本発
明の開示実施形態をエッチリアクタ及びプロセスと関連させて説明するが、本発明は、プ
ラズマ支援プロセス中にパルス化電力を使用するいずれの形態のプラズマプロセスにも応
用可能である。このようなリアクタには、プラズマアニーリング、プラズマ支援化学気相
蒸着、物理気相蒸着及びプラズマ洗浄等が含まれる。
この実例としてのシステム100は、エッチリアクタ101、プロセスガス供給源12
6、コントローラ114、第1RF電源112、第2RF電源116、第1マッチングネ
ットワーク110及び第2マッチングネットワーク118を備える。第1及び第2RF電
源112、116の一方又は両方は、高速周波数チューニング用に構成される(例えば、
ソースは、反射電力を最小限に抑えるために、検出された反射電力測定値に応答して周波
数を約±5%で変更可能である)。このような周波数チューニングは、所定の定常状態に
おいて、プラズマからの反射電力を最小限に抑えるために約100マイクロ秒又はそれよ
りずっと少ない秒数を必要とする。各RF電源(112、116)は連続波(CW)又は
パルス化モードで動作可能である。パルスモードにある場合、いずれかの電源(112、
116)が、最高約100kHz(一部の実施形態においては約100Hz〜約100k
Hz)のパルス周波数でパルス化される。いずれかの電源(112、116)が、約10
%〜約90%のデューティサイクル(例えば、所定のサイクルにおけるON時間とOFF
時間全体に対するON時間の割合)で動作させられる。
エッチリアクタ101は、ウェハ122のための台座部を構成するカソード台座部12
0を収容する真空容器102を備える。処理チャンバの屋根部又は蓋部103は、屋根部
103に近接した少なくとも1つのアンテナアセンブリ104を有する。このアンテナア
センブリ104は、本発明の一部の実施形態において、一対のアンテナ106、108を
備える。本発明のその他の実施形態においては1つ以上のアンテナを使用し、或いはアン
テナの代わりに電極を使用してRFエネルギーをプラズマに結合させる。この実例となる
特定の実施形態において、アンテナ106、108は、エネルギーを、プロセスガス供給
源126によって容器102の内部に供給されたプロセスガスに誘導結合する。アンテナ
106、108によって供給されたRFエネルギーをプロセスガスに誘導結合し、ウェハ
122上の反応区域内でプラズマ124を形成する。反応ガスが、ウェハ122上の材料
をエッチングする。
一部の実施形態においては、アンテナアセンブリ104への電力によってプラズマ12
4に点火し、カソード台座部120に結合された電力がプラズマ124を制御する。この
ため、RFエネルギーは、アンテナアセンブリ104及びカソード台座部120の両方に
結合される。第1RF電源112(ソースRF電源とも称される)はエネルギーを第1マ
ッチングネットワーク110に供給し、このマッチングネットワークが次にエネルギーを
アンテナアセンブリ104に結合する。同様に、第2RF電源116(バイアスRF電源
とも称される)は、エネルギーを第2マッチングネットワーク118に結合し、このマッ
チングネットワークがエネルギーをカソード台座部120に結合する。コントローラ11
4は、RF電源112、116の起動及び終了のタイミング並びに第1及び第2マッチン
グネットワーク110、118のチューニングを制御する。アンテナアセンブリ104に
結合される電力はソース電力として知られ、カソード台座部120に結合される電力はバ
イアス電力として知られる。本発明の実施形態において、ソース電力、バイアス電力の一
方又は両方は、連続波(CW)モード又はパルス化モードのいずれかで動作可能である。
一部の実施形態においては、リンク140を設置して第1RF電源112と第2RF電
源116とを連結することによって、一方の電源のオペレーションのもう一方への同期を
促進する。いずれかのRFソースがリード又はマスターRFジェネレータとなり、もう一
方のジェネレータが従う、即ちスレーブとなる。リンク140は更に、完璧に同期した又
は所望のオフセット又は位相差での第1及び第2RF電源112、116のオペレーショ
ンを促進する。
第1インジケータデバイス、即ちセンサ150及び第2インジケータデバイス、即ちセ
ンサ152を使用して、マッチングネットワーク110、118によるプラズマ124へ
の整合能の有効性を求める。一部の実施形態において、インジケータデバイス150、1
52は、各マッチングネットワーク110、118で反射した反射電力を監視する。これ
らのデバイスは、一般に、マッチングネットワーク110、118又は電源112、11
5に組み込まれる。しかしながら、説明の便宜上、これらのデバイスは、図においてはマ
ッチングネットワーク110、118とは別のものとして図示される。反射電力を標識と
して使用する場合、デバイス150、152は、電源112、116とマッチングネット
ワーク110、118との間に連結される。反射電力を示す信号を生成するために、デバ
イス150、152はRF検出装置に連結された方向性結合器であり、整合有効性指標信
号は反射電力の強度を表す電圧である。大きな反射電力はマッチしていない状態を表す。
デバイス150、152によって生成された信号は、コントローラ114に結合される。
指標信号に応答して、コントローラ114は、マッチングネットワーク110、118に
結合されるチューニング信号(マッチングネットワーク制御信号)を生成する。この信号
を使用して、マッチングネットワーク110、118内のキャパシタ又はインジケータを
チューニングする。チューニングプロセスによって、例えば指標信号によって表される反
射電力を最小限に抑えること又は特定のレベルの反射電力を達成することに努める。マッ
チングネットワーク110、118は、典型的には、所定の定常状態において、プラズマ
からの反射電力を最小限に抑えるために約100マイクロ秒〜約数ミリ秒を必要とする。
図3は、例えば第1RFマッチングネットワーク110として使用される、実例となる
マッチングネットワークの概略図である。この特定の実施形態は、単一入力400及びデ
ュアル出力(即ち、主出力402及び補助出力404)を有する。各出力を使用して2つ
のアンテナの一方を駆動する。マッチ回路406は、C1、C2及びL1によって形成さ
れ、容量性電力分割器408は、C3及びC4によって形成される。容量性分割器の値は
、特定の量の電力が各アンテナに供給されるように設定される。キャパシタC1、C2の
値は機械的にチューニングされてネットワーク110のマッチが調節される。C1、C2
のいずれか又は両方をチューニングしてネットワークのオペレーションを調節することが
できる。低電力システムにおいて、キャパシタは、機械的にではなく電子的にチューニン
グされる。その他の実施形態のマッチングネットワークは、チューニング可能なインダク
タを有する。このソース電源は、パルス又はCWモードで動作させることができる。ネッ
トワーク110によってマッチされるソース電力は約13.56MHzであり、最高約3
000ワットの電力レベルを有する。このようなマッチングネットワークは、コロラド州
フォートコリンズのAE,Inc.からモデルNAVIGATOR 3013−ICP8
5として入手可能である。その他様々な構成のマッチネットワークも、本明細書に記載の
教示に従って利用することができる。
図4は、例えば第2RFマッチングネットワーク118として使用される、実例となる
マッチングネットワークの一実施形態の概略図である。この特定の実施形態は、単一入力
500及び単一出力502を有する。この出力を使用して台座部を駆動する。マッチング
ネットワークは、キャパシタC1、C2、C3、L1、L2を備える。キャパシタC2、
C3の値は機械的にチューニングされてネットワーク116のマッチが調節される。C2
、C3のいずれか又は両方をチューニングしてネットワークのオペレーションを調節する
ことができる。低電力システムにおいて、キャパシタは、機械的にではなく電子的にチュ
ーニングされる。その他の実施形態のマッチングネットワークは、チューニング可能なイ
ンダクタを有する。このバイアス電源116は、パルス又はCWモードで動作させること
ができる。パルスモードにおいて、パルスは、周波数100Hz〜100KHz、デュー
ティサイクル10〜90%で発生可能である。一実施形態において、バイアス電力は周波
数約13.56MHzを有し、電力レベル約1500ワットを有する。このようなマッチ
ングネットワークは、コロラド州フォートコリンズのAE,Inc.からモデルNAVI
GATOR 1013−L35Zとして入手可能である。その他様々な構成のマッチネッ
トワークも、本明細書に記載の教示に従って利用することができる。
コントローラ114は、中央処理装置(CPU)130、メモリ132及びサポート回
路134を備える。コントローラ114は、システム100の様々なコンポーネントに連
結されてエッチプロセスの制御を促進する。コントローラ114は、アナログ、デジタル
、ワイヤ、ワイヤレス、光学及び光ファイバーインターフェースと様々に称されるインタ
ーフェースを介してチャンバ内での処理を調節し、監視する。下記のようにチャンバの制
御を円滑に進めるために、CPU130は、様々なチャンバ及びサブプロセッサの制御の
ために工業環境で使用可能ないずれの形態の汎用コンピュータプロセッサの1つであって
もよい。メモリ132は、CPU130に連結される。メモリ132、即ちコンピュータ
可読性媒体は、ランダムアクセスメモリ、読み出し専用メモリ、フロッピーディスク、ハ
ードディスク、その他いずれの形式のローカル又はリモートデジタルストレージ等の容易
に入手可能なメモリデバイスの1種以上である。サポート回路134は慣用のやり方でプ
ロセッサをサポートするためにCPU130に連結される。これらの回路はキャッシュ、
電力供給源、クロック回路、入力/出力回路、関連するサブシステム等を含む。
エッチング又はその他のプロセス命令は通常、典型的にはレシピとして知られるソフト
ウェアルーチンとしてメモリ132に保存される。ソフトウェアルーチンを、CPU13
0によって制御されるハードウェアとは離れて位置する第2CPU(図示せず)で保存す
る及び/又は実行してもよい。ソフトウェアルーチンは、CPU130によって実行され
ると、汎用コンピュータを特殊用途コンピュータ(コントローラ)114に変え、この特
殊用途コンピュータが、システムオペレーション(エッチプロセス中にプラズマを制御す
るためのシステムオペレーション等)を制御する。本発明のプロセスをソフトウェアルー
チンとしてインプリメントすることが可能であるが、本明細書で開示の方法ステップの一
部を、ソフトウェアコントローラと同様にハードウェアで実行することもできる。このた
め、本発明の実施形態は、コンピュータシステム上で実行されるソフトウェア、アプリケ
ーション特化集積回路としてのハードウェア、その他のタイプのハードウェアインプリメ
ンテーション又はソフトウェアとハードウェアの組み合わせでインプリメントすることが
できる。
慣用のマッチングネットワーク及びジェネレータにはそれぞれ、典型的には、独立した
それぞれのシステムのチューニングに使用される制御アルゴリズムが入っている。従って
、各アルゴリズムは、時間又は方式に関して(両方共、ジェネレータへの反射電力を低減
することを目的とすべきである)互いにリンクされていない。このようなリンクの欠落は
、2つのチューニングアルゴリズム間に著しい競合を生じさせて、システムが不安定にな
る場合がある。この問題を解決するために、本発明の一部の実施形態においては、集積マ
ッチングネットワークが、周波数チューニング能を備えたRFジェネレータ内に埋め込ま
れ(例えば、第1及び第2RF電源112、116)、マッチングネットワーク及びRF
サイクルでの周波数のチューニングに使用されるアルゴリズムは共にジェネレータ出力で
測定した場合の同じ読み取り値に基づいて制御される(例えば、共有センサを使用する)
。これによって、2つの独立したアルゴリズム間の競合が排除され、パルス化プラズマ系
リアクタに関するオペレーションウィンドウが拡大する。一部の実施形態において、第1
RFソース112及び第1マッチングネットワーク110(及び/又は第2RFソース1
16及び第2マッチングネットワーク118)は物理的に統合される又は単に、これらの
デバイス対のためのチューニングプロセスを指揮してこれら2つの間でのチューニング競
合を排除し且つシステム全体のチューニング効率を最大化するコントローラを共有する。
一部の実施形態において、第1RFソース112及び第1マッチングネットワーク110
(及び/又は第2RFソース116及び第2マッチングネットワーク118)は反射電力
を読み取るための共通センサを共有しているだけであり、少なくともチューニングするこ
とによって同じ読み取り値での反射電力を最小限に抑える。
図2は、プラズマのインピーダンスのRFソースのインピーダンスへのマッチングを広
い範囲のパルス化プラズマプロセスに亘って促進するための、時間の経過に伴って独立し
て制御され得る変数の図である。図2は、ソースジェネレータ、ソースマッチ、バイアス
ジェネレータ及びバイアスマッチのそれぞれについての時間非依存性オペレーションパラ
メータを示す。これらのパラメータは分離されており、独立して制御することができる。
ソース及びバイアスジェネレータは、固定周波数モード又は周波数掃引(又は周波数チュ
ーニング)モードで動作させることができる。加えて、ソース及びバイアスジェネレータ
を、連続波RFモード又はパルシングRFモードで動作させることができる。各ジェネレ
ータはオペレーションモード間を所望の時間で独立して切り替えられ、また一緒に動作す
るように同期される又は所望の量でオフセットされ、ソースRFがONの時間とバイアス
RFがONの時間との間のソース/バイアス遅延によって示される通りである。このよう
な同期又は制御された位相外れオペレーションは、ジェネレータのいずれのオペレーショ
ンモードのオペレーションにも適用することができる(例えば、両方がパルシングRFモ
ードの場合)。
ソース及びバイアスマッチングネットワーク(例えば、図2のソースマッチ及びバイア
スマッチ)は、自動チューニングモード又はホールドモードでそれぞれ独立して動作可能
である(マッチングネットワークはマッチ内のコンポーネントの値を固定し、チューニン
グにより反射電力を最小限に抑えることがない)。これらのモード間での切り替えを独立
して制御することによって、以下でより詳細に説明するように、反射電力の最小限化及び
広い範囲のプロセスウィンドウに亘ってのパルス化プラズマプロセス中のプラズマプロセ
スの安定化を促進することが可能である。このため、パルス化プラズマプロセスの場合、
以下の制御「ノブ(knob)」を設定して、広い範囲のプロセスに亘る効率的なオペレ
ーションを促進することができる:各マッチネットワークは自動チューニング又はホール
ドモードで独立して動作する;各RFジェネレータの周波数チューニングはON又はOF
Fである;時間A(約90%又はCWで開始。次に時間A後にパルシングに切り替わる)
;時間B(周波数チューニングOFFで開始され、次に時間B後にONに切り替わる);
時間C(マッチネットワークは最初は自動チューニングモードだが、時間C後に固定され
る又は最小限に抑えられたRF電力反射の位置を見つける)。加えて、「タイムアウト」
又はモード間の切り替えを行う時間を、切り替えが起こらないように設定する(例えば、
切り換え時間を、プロセスを実行する持続時間より長く設定する)又は切り換えモードが
即時オペレーションモードとなるように設定することができる(例えば、切り換え時間を
ゼロに設定する)。これらのノブの時間のそれぞれは独立しているため、各パラメータの
制御を利用して広い範囲のプロセスに亘ってパルス化プラズマオペレーションを円滑にす
る。このようなことから、以下でより詳細に説明するように、広い範囲のプロセス化学反
応、圧力、電力レベル等に亘って安定したパルス化プラズマ処理を可能にする、RF電力
供給のための時間分解チューニング手順が本明細書において提供される。このようなスキ
ームの時間分解特性によって、多種多様なプラズマ系プロセスに関して、パルス化プラズ
マオペレーション中のRF供給を最適化するための異なるノブのオペレーションシーケン
スの決定が可能になる。
上記の「タイムアウト」を使用し、システム100を、上記の変数の制御によって時間
分解方式でチューニングされる様々なRFモードで動作させることができる。例えば、一
部の実施形態において、ソースRF電源及びバイアスRF電源(それぞれ周波数チューニ
ング可能であり且つソースマッチングネットワーク及びバイアスマッチングネットワーク
を介して処理チャンバに連結されている)を使用して時間分解方式でプラズマプロセスを
実行するシステムをチューニングするための方法が提供される。この方法は、ソースRF
電源及びバイアスRF電源を使用して処理チャンバ内のプラズマに点火することを含み、
ソースRF電源及びバイアスRF電源は連続波モード又はパルシングモードから選択され
た第1オペレーションモード、固定周波数モード又は周波数チューニングモードから選択
された第1チューニングモードに独立して設定され、ソースマッチングネットワーク及び
バイアスマッチングネットワークは、自動チューニングモード又はホールドモードから選
択された第1マッチモードにそれぞれ独立して設定される。第1時間の経過後、ソースR
F電源及びバイアスRF電源の一方又は両方の第1オペレーションモードが切り替えられ
る。第2時間の経過後、ソースRF電源及びバイアスRF電源の一方又は両方の第1チュ
ーニングモードが切り替えられる。第3時間の経過後、ソースマッチングネットワーク及
びバイアスマッチングネットワークの一方又は両方の第1マッチモードが切り替えられる
。第1、第2、第3時間は、ソースRF電源及びバイアスRF電源に反射する反射電力を
低減するように選択される。
例えば、上記の「タイムアウト」を使用して、システム100を、上記の変数の制御に
応じて様々なRFモードで動作させる。一部の実施形態において、システム100は、い
ずれかのRFジェネレータ(例えば、RF電源112、116)をCWモードにして動作
させられる。このようなモードにおいて、それぞれのジェネレータは固定モード、CWモ
ード又はマスターモードにある。このモードは、ソース及びバイアスジェネレータの両方
に当てはまり、それぞれは独立して動作する。
一部の実施形態において、システム100は、いずれかのRFジェネレータをCW−パ
ルスモードにして動作させられる。このようなモードにおいて、各ジェネレータは固定モ
ード及びマスターモードにある。各ジェネレータは、設定可能なタイムアウト「CW/パ
ルス時間」に亘ってCWモードにあり、このタイムアウト後にパルシングモードに切り替
わる。このモードは、ソース及びバイアスジェネレータの両方に当てはまり、それぞれは
独立して動作する。
一部の実施形態において、システム100は、いずれかのRFジェネレータをCW−周
波数モードにして動作させられる。このようなモードにおいて、各ジェネレータは、CW
モード及びマスターモードにある。このジェネレータは、設定可能なタイムアウト「固定
周波数時間」に亘って固定モードにあり、このタイムアウト後に周波数チューニングをO
Nにする。このモードは、ソース及びバイアスジェネレータの両方に当てはまり、それぞ
れは独立して動作する。
一部の実施形態において、システム100は、いずれかのRFジェネレータをCW−周
波数−パルスモードにして動作させられる。このようなモードにおいて、各ジェネレータ
は、設定可能なタイムアウト「CW/パルス時間」に亘ってCWモードにあり、このタイ
ムアウト後にパルシングモードに切り替わる。また、ジェネレータは、設定可能なタイム
アウト「固定周波数時間」に亘って固定モードにあり、このタイムアウト後に周波数チュ
ーニングをONにする。ジェネレータはマスターモードにあるため、独立して動作する。
このモードは、ソース及びバイアスジェネレータの両方に当てはまる。
一部の実施形態において、システム100は、いずれかのRFジェネレータをパルス−
周波数−パルスモードにして動作させられる。このようなモードにおいて、各ジェネレー
タは、設定可能なタイムアウト「CW/パルス時間」に亘ってパルシングモード(例えば
90%の高デューティサイクル)にあり、このタイムアウト後に所望のパルスパラメータ
に切り替わる。また、このジェネレータは、設定可能なタイムアウト「固定周波数時間」
に亘って固定モードにあり、このタイムアウト後に周波数チューニングをONにする。ジ
ェネレータはマスターモードにあるため、独立して動作する。このモードは、ソース及び
バイアスジェネレータの両方に当てはまる。
一部の実施形態において、システム100は、CW−周波数−シンクパルス(Sync
Pulse)モードにして動作させられる。このようなモードにおいて、ソースジェネレ
ータはマスターモードにあり、バイアスジェネレータはスレーブモードにある。ソースジ
ェネレータは、設定可能なタイムアウト「CW/パルスタイム」に亘ってCWモードにあ
り、このタイムアウト後にパルシングモードに切り替わる。ソースジェネレータは、設定
可能なタイムアウト「固定周波数時間」に亘って固定モードにあり、このタイムアウト後
に周波数チューニングをONにする。バイアスジェネレータは、ソースジェネレータと同
じパルス周波数及びディーティサイクルでパルシングする。ソース及びバイアスは完全に
同期させられ、一方、マスター/スレーブ遅延は初期はゼロに設定される。パルス間の遅
延は、設定可能なタイムアウト「スレーブバイアスRFについてのパルシング遅延」によ
って制御され、最高で360度の位相制御がなされる。マスター/スレーブ遅延は、特定
のレシピの全ての同期されたパルシングステップに当てはまり得る。
一部の実施形態において、システム100は、CW−周波数−シンクパルスモードで動
作させられる。このようなモードにおいて、ソースジェネレータはマスターモードにあり
、バイアスジェネレータはスレーブモードにある。ソースジェネレータは、設定可能なタ
イムアウト「CW/パルス時間」に亘ってパルシングモード(90%のデューティサイク
ル)にあり、このタイムアウト後に所望のパルスパラメータに切り替わる。ソースジェネ
レータは、設定可能なタイムアウト「固定周波数時間」に亘って固定モードにあり、この
タイムアウト後に周波数チューニングをONにする。バイアスジェネレータは、ソースジ
ェネレータと同じパルシング周波数及びディーティサイクルでパルシングする。ソース及
びバイアスジェネレータは完全に同期させられ、一方、マスター/スレーブ遅延は初期は
ゼロに設定される。パルス間の遅延は、設定可能なタイムアウト「スレーブバイアスRF
についてのパルシング遅延」によって制御され、最高で360度の位相制御がなされる。
マスター/スレーブ遅延は、特定のレシピの全ての同期されたパルシングステップに当て
はまり得る。
一部の実施形態において、マッチングネットワークのオペレーションを正しく制御する
と、反射電力が考えられ得る限り最小となる。CW/パルス化モードのオペレーションの
場合、マッチは、2つの主要モード、即ち自動モード又はホールドモードのいずれかにあ
る。パルス化モード中に自動モードだけで動作させることは推奨されないが、これはパル
ス周波数が極めて低くない限り、マッチが典型的にはパルス内の速い変化についていけな
いからであり、できたとしてもチューニングが不良となるからである。一部の実施形態に
おいて、マッチは、周波数チューニングと一緒にシステムをチューニングするために追加
のノブが絶対的に必要でない限り、システムがパルス化モードにある場合は常にホールド
モードで動作させられる。
一部の実施形態においては、所定のパルス周波数の場合の90%のデューティサイクル
はCWモードと同様に挙動することが観察されている。このため、システムのチューニン
グは比較的簡単である。しかしながら、パルス化モードシステムも依然として有益である
。従って、特定の条件の場合、パルス−周波数−パルス又はパルス−周波数−シンクパル
スモードは、CW−周波数−パルス又はCW−周波数−シンクパルスモードより低い反射
電力を達成し得る。パルスパラメータ(パルス周波数及びディーティサイクル)のいずれ
か一方をゼロに設定すると、ジェネレータはCWモードで動作する。
パルス化モードは異なる条件下で利用されることがあるため(圧力/電力レベル/化学
反応)、典型的なタイムアウトが推奨されるが、新しいレシピ毎に若干の最適化が最小反
射電力での安定したプラズマの確保に必要とされる。以下では、パルス化モードでの典型
的な推奨オペレーションモード、即ちソースパルシング&バイアスCW、バイアスパルシ
ング&ソースCW又は同期化ソース及びバイアスパルシングを挙げる。しかしながら、ア
プリケーションに応じてその他のモードが適用される場合もある。プロセスパラメータ(
プロセス化学反応、チャンバ圧、RF電力レベル、分割器キャップ設定、パルスパラメー
タ)を変更する際、タイムアウト設定の変更が必要な場合がある。
実例として、一部の実施形態において、システム100は、ソースジェネレータをパル
シングモード、バイアスジェネレータをCWモードにして動作させられる。このような実
施形態において、ソースジェネレータは、CW−周波数−パルスモードに設定される。C
W/パルス時間を選択して、ジェネレータがどのぐらいCWモードにあるかを制御するこ
とができる(例えば、6秒)。この時間を4秒以上に短縮することによって、パルシング
モードに切り換える前にシステムをCWモードでチューニングすることができる。固定/
周波数時間を選択することによって、周波数チューニングをONに切り換える前にどのぐ
らいジェネレータが固定モードにあるかを制御することができる(例えば、約5秒)。一
部の実施形態において、周波数チューニングは、パルシングモード開始の約1秒前/後に
ONにされる(対応するマッチがホールドにあるとして)。一部の実施形態において、マ
ッチは、周波数チューニングと並んで自動モードにあるべきではないため、マッチは、周
波数チューニングをONにする前にホールドモードにおかれる。短時間に亘って(例えば
、5秒以下)オーバーラップが起こり、パルス化プラズマオペレーションにとって最適な
チューニング位置が確保される場合もある。
バイアスジェネレータはCW−周波数に設定される。従って、バイアスマッチは自動−
ホールドモードに設定される。ホールド時間を選択することによって、「ホールド」への
切り換え前にどのぐらいの間マッチが「自動」モードにあるかを制御することができる。
一部の実施形態において、マッチ時間は、ジェネレータCW/パルス時間及び固定−周波
数時間より少なくとも1秒短い。マッチホールド時間は、システムがチューニングに十分
な時間を有するのを防止する適切な値(例えば、約3秒)を下回るべきではない。
一部の実施形態において、使用する化学反応によっては、CWモードからパルシングモ
ードへの移行はプラズマ負荷の観点から極めてはっきりとしたものになる。このような場
合、パルス−周波数−パルスモードを効果的にソースパルシングに利用する。一旦、シス
テムが90%のデューティサイクルでチューニングされたら、所望のデューティサイクル
(例えば、50%)への移行はCWからの切り替えより円滑になる。一部の実施形態にお
いては、この技法を低圧(例えば、10mTorr未満)で行うプロセスに利用する。
或いは、一部の実施形態において、システム100を、パルシングしているバイアスジ
ェネレータと固定したソースジェネレータでもって動作させる。バイアスジェネレータを
CW−周波数−パルスモードに設定する。CW/パルス時間を選択して、どのぐらいジェ
ネレータがCWモードにあるかを制御することができる(例えば、6秒)。この時間を4
秒以上に短縮することによって、パルシングモードに切り換える前にシステムをCWモー
ドでチューニングすることができる。固定/周波数時間を選択することによって、周波数
チューニングをONに切り換える前にどのぐらいジェネレータが固定モードにあるかを制
御することができる(例えば、約5秒)。一部の実施形態において、周波数チューニング
は、パルシングモード開始の約1秒前/後にONにされる(対応するマッチがホールドに
あるとして)。一部の実施形態において、マッチは、周波数チューニングと並んで自動モ
ードにあるべきではないため、マッチは、周波数チューニングをONにする前にホールド
モードにおかれる。短時間に亘って(例えば、5秒以下)オーバーラップが起こり、パル
ス化プラズマオペレーションにとって最適なチューニング位置が確保される場合がある。
このようなオペレーションモードにおいて、ソースジェネレータは、CWモード又はC
W−周波数モードに置かれる。ソースジェネレータがCWモードにあって周波数チューニ
ングしていない場合、その対応するマッチは自動−ホールドモードにある。しかしながら
、ある時間(例えば、約8秒)が過ぎてマッチがホールドに切り替わり、バイアスジェネ
レータがパルスモードに切り替わった後のソースによるチューニングが可能になる場合が
ある。一部の実施形態において、ソースジェネレータは、CWモードではなくCW−周波
数モードに設定される。従って、ソースマッチは自動−ホールドモードに設定される。ホ
ールド時間は、典型的には、全ての考えられ得る競合を回避するために固定−周波数時間
より短い。マッチホールド時間は、ジェネレータCW/パルス時間及び固定−周波数時間
より少なくとも約1秒短い。マッチ自動−ホールド時間は、システムがチューニングする
ための十分な時間を得られるように十分な持続時間であるべきである(例えば、約3秒よ
り長い)。
一部の実施形態においては、使用する化学反応によっては、CWモードからパルシング
モードへの移行がプラズマ負荷の観点から極めてはっきりとしたものになる。このような
場合、パルス−周波数−パルスモードを効果的にソースパルシングに利用することができ
る。一旦、システムが90%のデューティサイクルでチューニングされたら、所望のデュ
ーティサイクル(例えば、30%)への移行はCWからの切り替えより円滑になる。一部
の実施形態においては、この技法を低圧(例えば、10mTorr未満)で行うプロセス
に利用する。
或いは、一部の実施形態において、システム100は、同期パルシングモードで動作さ
せられる。このようなモードにおいては、ソース及びバイアスジェネレータの両方が同じ
RFモード及びマスター/スレーブ構成にあるべきである。スレーブジェネレータが同期
信号を受信できるように、スレーブ遅延を、パルス持続時間より短くすることができる。
ソース及びバイアスパルシングの場合、システムがパルス化モード、同期方式、90%
のデューティサイクルで動作を開始し、次に所望のデューティサイクルに切り替わるパル
ス−周波数−シンクパルスが使用可能である。これは主に低圧プロセスで推奨される(例
えば、約10mTorr未満)。
或いは、一部の実施形態において、システム100は、逆並列RFパルシングモードで
動作させられ、パルス周波数及び/又はデューティサイクルは、処理中に変更される。こ
のようなモードにおいて、第1ステップは、安定化ステップとして利用される。第2ステ
ップは、本明細書において同じ考慮事項でもって論じられたものと同様の同期化パルシン
グステップである。両方のジェネレータでの周波数チューニングは、第2ステップにおい
てRFをONにしてから5秒後にONに切り替わる。6秒後、システムは3kHz及び6
0%のデューティサイクルでのパルシングを開始する。システムが一旦その定常状態に達
したら(即ち、チューニングが達成される)、周波数チューニングがONであることから
ソース及び/又はバイアスジェネレータの実際の周波数は公称値(例えば、13.56M
Hz)とは異なり得る。第3ステップにおいて、チューニングされたシステムの同じ状態
を、ジェネレータのデューティサイクルを別の値に切り換えながら維持する。周波数チュ
ーニングを失わないように、RFは、第2ステップの終わりまでONに維持される(RF
をOFFにし、プロセスを新しく開始することと比較して)。第3ステップにおいて、第
2ステップと同じRFモードが選択される。しかしながら、ジェネレータをパルスモード
及び周波数チューニングモードに維持するために、「CW/パルス」及び「固定/周波数
」のためのタイムアウトはソース及びバイアスジェネレータの両方においてゼロに設定さ
れる。こうすることによって、第2ステップで達成された周波数チューニングが維持され
、システムはその定常状態に第3ステップでRF電力を供給するジェネレータ及びシステ
ムをチューニングせずに開始した場合より速く到達する。マッチが第2ステップの最後ま
でホールドにあった場合は、第3ステップにおいてもホールドモードにあるべきである。
一部の実施形態において、本明細書に記載のいずれのパルシングモードにも適用可能で
あるが、埋め込みパルシングの特殊ケースが利用される。埋め込みパルシングモードにお
いては、1つのRFパルスが別のRFパルスに時間的に埋め込まれる。言い換えると、第
1RF電源は第1ON時間を有し、第2RF電源は、第1ON時間以下の第2ON時間を
有し得る。第2ON時間は、第2RF電源のON時間が第1RF電源のOFF時間と絶対
にオーバーラップしないように第1ON時間に対して時間的に位置づけされる。このため
、あるRF電源のパルスについてのより短い(又は等しい)ON時間は、もう一方のRF
電源のパルスについてのより長い(又は等しい)ON時間と完全にオーバーラップする。
例えば、ソースRF電源が5秒のON時間及び5秒のOFF時間を有する場合(50%の
デューティサイクル)、バイアスRF電源は、5秒以下であり且つソースRF電源がOF
Fの場合にバイアスRF電源が絶対にONにならないように時間的に整列されたON時間
を有する。
パルス化プラズマ処理に関して以下で説明するプロセスは、ソース電力、バイアス電力
又は両方に関してのマッチングネットワークに適用可能である。パルス化RF電力をプラ
ズマリアクタ内のプラズマに効率的に印加するために、本発明の一部の実施形態の技法を
使用して、いずれのチューニング可能なマッチングネットワーク及びチューニング可能な
周波数ジェネレータを動作させることも可能である。このため、マッチングネットワーク
及びRF電源は、RF電源のインピーダンスを広いウィンドウのパルス化プラズマプロセ
ス中にマッチングネットワークに連結されたアンテナ又は電極によって駆動されるプラズ
マのインピーダンスにマッチさせることができる。
RFパルシング手順(具体的で非限定的な実施例)
上述したように、ウェハ上で行われる特定のプラズマ支援プロセス中、かなりの量の電
荷がウェハ表面上に蓄積される場合がある。このような蓄積はウェハを大きく損傷する可
能性がある。帯電作用を軽減し、それによる損傷を回避するために、プラズマ支援リアク
タ内のプラズマに供給される電力をパルス化する場合がある。プラズマプロセスにRFパ
ルシングを利用することによって、RFパルス化ジェネレータからのプラズマに結合され
るエネルギーの量を最大化するためにダイナミックチューニングシステムが導入されるべ
きである。
慣用のプラズマプロセスにおいては、連続波(CW)RF電力がプラズマリアクタに供
給される。ダイナミックマッチングネットワークによって、RFソースの出力が効率的に
プラズマに結合され、即ち反射してジェネレータに戻る電力が最小限に抑えられる。処理
中にプラズマ特性は変化することから、マッチングネットワークは、マッチが達成され且
つ処理を通じて維持されるように連続的に調節可能であり、従ってマッチングネットワー
クは自動モードにある。一般に、プロセスレシピを実行するコントローラがマッチングネ
ットワークを制御し、またマッチングネットワークのキャパシタンス及び/又はインダク
タンスを調節してよりよいマッチ、即ちより少ない反射電力を達成する。キャパシタンス
及び/又はインダクタンスの調節は、キャパシタ及び/又はインダクタの機械的なチュー
ニングによって達成される。プラズマリアクタに供給されるRF電力が一旦パルス化され
ると、慣用のマッチングネットワークではシステムを妥当な低反射電力へとチューニング
することができない。これはRFパルス持続時間と比較してチューニングプロセスが遅い
からである。このため、パルス化RF信号をマッチングネットワークに適用すると、プロ
セス中のプラズマインピーダンスにおける変化についていくことができず、結果的に、連
続的な調節が過剰な反射電力とプラズマ電力結合効率の低下を引き起こしてしまう可能性
がある。このため、プラズマ支援半導体ウェハ処理システムにおける効率的なオペレーシ
ョンを確保するために、パルス化RF信号をプラズマリアクタに適用する際のマッチング
技法及び手順が絶対的に必要とされる。マッチング問題は、電力を供給しながらRFパル
シングをソース及びバイアスジェネレータの両方に適用しようとする際に、デカップルド
プラズマソースリアクタにおいて重要になる。
本明細書で開示の本発明の一部の実施形態は、パルス化RFジェネレータに適用された
追加の構成を利用しており、高速周波数チューニングが行われる。この構成の導入の主な
目的は、主要RFオペレーション周波数の所定の範囲内(〜5%)でのチューニング及び
それによるプラズママッチングのためのダイナミックレンジの拡大によってジェネレータ
に反射される電力を低減することである。しかしながら、その主要マッチングシステムと
してマッチングネットワークを使用するプラズマリアクタの場合、この周波数チューニン
グのダイナミックレンジは狭く、従って、CWモードで動作させる場合に慣用のマッチン
グネットワークの代わりとしては使用できない。更に、自動調節可能なマッチングネット
ワークと同時にRFジェネレータにおける周波数チューニングを可能にすることによって
2つのチューニング手順間で競合が起こり、その結果、CWモードでの動作中、プラズマ
に結合されるRF電力が低減される。
パルス化RFが一旦プラズマリアクタに適用されたら、低反射電力を達成するために周
波数チューニング構成をマッチングネットワークと協働させて、効率的なパルス化プラズ
マプロセスを可能にすることができる。本明細書において開示の本発明の一部の実施形態
は、時間分解チューニングスキームに基づいたRFパルシング中のプラズマインピーダン
スマッチングのための効率的な手順を導入する。これらの手順によって広いオペレーショ
ンウィンドウ内で安定したパルス化プラズマがシステム内で余分な装置を利用する必要な
く得られる。しかしながら、特定のレシピでは、これらの手順の1つが、もう一方よりは
るかに速くチューニングされた状態におかれるため、短時間プロセスによるプラズマパル
シングが利用可能になる。
Valentin Todorowらによる米国特許第6818562号においてはプ
ラズマをCWモードで点火することが提案されており、プラズマが一旦点火されたら、マ
ッチングネットワークが自動的に自己調節を行って最小限の反射電力を確保する。所望の
マッチングが一旦達成されたら、マッチングネットワークチューニングパラメータを一定
に維持し、システムはパルスモードに切り換えられ、RF電力はパルス化される。しかし
ながら、この技法によって明らかとなったオペレーションウィンドウは狭く、プラズマリ
アクタ内でソース及びバイアスの両方を周波数チューニングを利用せずにパルス化しよう
とする場合、安定したパルスオペレーションを達成することはほとんど不可能である。
以下に、幾つかの手順を紹介する。それぞれの手順は、パルス化しながら安定したオペ
レーションを促進する、従うべき一連のステップを有する。これらの手順を利用すること
によって、パルシングモードのための安定したオペレーションウィンドウを大きく強化す
ることができ、より多くのプラズマ系プロセスによるプラズマパルシングレジームの利用
への道筋がつけられる。本明細書で開示の手順は主に、ソース及びバイアスジェネレータ
の両方がパルシングモードにあるプラズマパルシングに適用される。しかしながら、パル
ス化プラズマオペレーションに関して時間分解方式で動作するダイナミックマッチングネ
ットワークに高速周波数チューニングを組み合わせる主要コンセプトは、様々な用途にお
いて実践することができる。例えば、時間分解チューニングスキームは、以下のRFレジ
ーム:(1)パルシングしているソース及びCWモードのバイアス、(2)パルシングし
ているバイアス及びCWモードのソース、(3)非同期方式でパルシングしているソース
及びバイアス両方、(4)完全同期方式で又は位相制御されてパルシングしているソース
及びバイアス両方の全てで適用可能である。
パルシングをソース及びバイアスの両方に適用する際、以下の2つの例示的な手順をチ
ューニングに使用することができる。以下の2つの手順は時間分解コンセプトの特殊なケ
ースであり、また特定の用途のための効率的な手順の例として挙げるものである。本明細
書に記載の様々な手順は、様々なパラメータ(例えば、化学反応、圧力、電力レベル等)
を有するプロセスに利用することができる。例えば、上記のプロセスを逆にしたり、ステ
ップを異なるシーケンスで実行したりすることによって低反射電力を得る及び/又は維持
することができる。
手順1:CWからパルス
この手順においては、プラズマをCWモードで点火し、一方、ソース及びバイアスジェ
ネレータを固定周波数(例えば、約13.56MHz)とし、マッチングネットワークを
自動モードにする。ソース及びバイアスの両方からの反射電力が最小限に抑えられるよう
にマッチングネットワークが一旦調節を行ったら(このプロセスは約2〜3秒かかる)、
ソースマッチングネットワークパラメータを一定に維持し、一方、バイアスマッチングネ
ットワークを自動モードに維持する。続いてソースジェネレータについて周波数チューニ
ングをONにし、バイアスジェネレータについては周波数チューニングをOFFのままに
する。約1秒後、パルシングモードをONにし、続いてバイアスジェネレータについて周
波数チューニングをONにし、ソースマッチングネットワークを自動モードに戻す。シス
テムの安定化及び最小限の反射電力の達成には更に約2〜4秒かかる(マッチングネット
ワークの事前設定及びレシピのパラメータに依存する)。ここでシステムは、パルシング
モードで動作する準備が整う。
要約すると、
1.ジェネレータをマスター/スレーブモードに設定して同期化パルシングモードを可
能にする。
2.ジェネレータを固定周波数に設定する。
3.ソースジェネレータのパルス周波数又はデューティサイクルの一方をゼロに設定す
る。
4.マッチングネットワークを自動モードに設定する。
5.CWオペレーションのためにジェネレータをONにする。
6.CWモードのチューニングに伴い、ソースマッチネットワークをホールドにし、バ
イアスを自動モードで維持する。
7.ソースジェネレータで周波数チューニングをONにする。
8.パルシングモードをONにする(ソースジェネレータでパルシング周波数及びデュ
ーティサイクルの両方にゼロ以外の数値を適用することによって)。
9.バイアスジェネレータで周波数チューニングをONにする。
10.ソースマッチングネットワークを自動モードに戻す。
11.システムはパルシングオペレーションの準備が整う。
12.システムが一旦チューニングされたら、マッチングネットワークをホールドモー
ドに切り換える。特定の条件下ではステップ10をスキップし、ステップ6で設定された
ようにソースマッチングネットワークをホールドモードで維持する場合がある。例えば、
高圧プロセスの場合(例えば、約10mTorrより高い)及び一部の低圧プロセスの場
合、ステップ10をスキップする。
この手順の使用で、発明者はまだ、ジェネレータ側での周波数チューニング及びマッチ
ングネットワーク側での自動モードがCWモード中に同時に動作し、従って不安定さを引
き起こす可能性のあるチューニングアルゴリズムの競合が回避される状況に遭遇していな
い。バイアスに対してのソースのチューニングにおける違いは、慣用のデカップルドプラ
ズマソースリアクタにおいては、バイアスについてのマッチングネットワークがソースよ
りも低い反射電力を達成することを原因とし、実際には、バイアスからの反射電力は等し
くゼロである。従って、バイアスマッチングネットワークをホールド位置に切り替えるこ
とによって、またシステムがCWモードの間に周波数チューニングを起動することによっ
てゼロ反射電力が変化するが、これはジェネレータが不可能にも関わらずより良好なチュ
ーニングを達成しようとするからであり、不安定さが生じる可能性がある。後者は、主に
低圧プロセスで顕著である。この問題はソースでは起こらないが、これはマッチングネッ
トワークによって達成される反射電力が小さいからである。しかしながら全く同じように
ゼロではないため、CWモードであってもマッチングネットワークがホールドの間に高速
周波数チューニングを適用することによって低反射電力を達成する余地がある。
手順2:パルスからパルス
この手順は主に、ソース及びバイアスの両方に関してデューティサイクル約90%(又
は約85〜95%)、ほぼどのパルシング周波数でパルシングを適用しても(使用される
典型的な周波数は100Hz〜50kHzの範囲)CWモードに極めて近い挙動をすると
の観察結果に基づくことから、CWモードで実行可能な同じレシピについて低反射電力を
達成することは極めて簡単である。しかしながら、主な違いは、ジェネレータがパルシン
グモードで動作していることであり、従って競合レジームを招くことなく自動モードのマ
ッチングネットワークをジェネレータにおける周波数チューニングと共存させることが可
能である。この手順で従うべきステップのシーケンスは以下の通りである。所望のパルシ
ング周波数、ただし約90%のデューティサイクル(又は約85〜95%)のパルシング
モードにジェネレータを設定する。バイアス及びソースの両方について周波数チューニン
グをONにし、マッチングネットワークを自動モードに設定する。この後、ジェネレータ
をONにする。システムが安定し、最低反射電力となるように自己調節するまで約2〜3
秒かかる。その後、ジェネレータが依然としてONの間に、デューティサイクルを約90
%から所望のデューティサイクルへとオペレーションウィンドウ内で変更する。システム
による自己チューニングには更に2〜3秒かかり、この後、システムは所望のパルシング
モードでのオペレーションの準備が整う。
要約すると、
1.ジェネレータをマスター/スレーブモードに設定して同期化パルシングモードを可
能にする。
2.ジェネレータを周波数掃引に設定する。
3.ソースジェネレータのデューティサイクルを約90%(又は約85〜95%)、パ
ルシング周波数を所望のオペレーション周波数に設定する。
4.マッチングネットワークを自動モードに設定する。
5.パルシングモードのためにジェネレータをONにする。
6.チューニングされたら、デューティサイクルを所望のデューティサイクルに切り換
える。
7.システムはパルシングオペレーションでの動作の準備が整う。
8.システムが一旦チューニングされたら(ステップ7から2〜3秒後)、マッチング
ネットワークをホールドモードに切り換える。特定の条件下では、ステップ6でシステム
をチューニングした後、ただしデューティサイクルを所望の値に切り換える前に、マッチ
ングネットワークをホールドモードに切り換えることが推奨される。このような状況にお
いて、ステップ8は不要であり、省略することができる。
上記の両方の手順において、パルシング中、ソース及びバイアスパルスは完全に同期さ
せることができる(例えば、パルス間の位相の包絡線はいかなる場合もゼロである)。パ
ルスを同期させないと、ソースとバイアスを同時にパルス化しながら低反射電力を達成す
ることがより困難になる。しかしながら、上で提示した時間分解手順に基づいて、パルス
間の位相がゼロでなくとも低反射電力を得ることが可能である。
加えて、ここで紹介した手順によって、プラズマインピーダンスマッチングのためのダ
イナミックレンジは拡大される。しかしながら、これらの手順は、プラズマパルシングの
ためのオペレーションウィンドウを大幅に向上させることから、より多くのプロセスによ
るこのレジームの活用が可能になる。ダイナミックレンジは、主に2つの特徴によって決
定される。第1の特徴は、マッチングネットワークのキャパシタ/インダクタのダイナミ
ックレンジである。第2の特徴は、ジェネレータにおける周波数チューニング範囲である
。これら2つの特徴を変化させることによって、パルシングモードのための効果的なオペ
レーションウィンドウの変更が可能になる。
上記の手順のどちらを使用するかを決定する実行レシピを特徴づける主なパラメータは
、圧力設定点である。2種類のオペレーションを区別することができる。第1のオペレー
ションは低圧オペレーション(例えば、Siエッチングの場合、約10mTorr未満)
であり、第2のオペレーションは高圧オペレーションである。上記の手順の両方が、安定
したオペレーションウィンドウ内でパルシングモードで動作させながらのシステムの安定
したチューニングを可能にする。高圧オペレーションの場合、両方の方法が同様の結果を
同じ時間フレーム内で示す。しかしながら、低圧オペレーションの場合、第2方法のほう
が速い(3〜4秒対6秒)。
上記は本発明の実施形態を対象としているが、本発明の基本的な範囲から逸脱すること
なく本発明のその他及び更に別の実施形態を創作することができる。

Claims (8)

  1. それぞれが周波数チューニング可能であり且つソースマッチングネットワーク及びバイアスマッチングネットワークを介して処理チャンバに連結されたソースRF電源及びバイアスRF電源を使用してプラズマプロセスを実行するシステムをチューニングするための方法であって、
    連続波モード及び固定周波数モードにそれぞれ設定されたソースRF電源及びバイアスRF電源を使用し、ソースマッチングネットワーク及びバイアスマッチングネットワークがそれぞれ自動チューニングモードに設定された状態で、処理チャンバにおいてプラズマに点火し、
    ソースRF電源及びバイアスRF電源の両方からの反射電力が低減されるようにマッチングネットワークが調節を行った後、バイアスマッチングネットワークを自動モードで維持しながらソースマッチングネットワークをホールドモードに変更し、
    ソースRF電源について周波数チューニングをONにし、一方、バイアスRF電源については周波数チューニングをOFFのままにし、
    パルシングモードをソースRF電源及び/又はバイアスRF電源についてONにし、
    バイアスRF電源を周波数チューニングモードにすることを含む方法。
  2. バイアスRF電源を周波数チューニングモードにおいて後、ソースマッチングネットワークを自動モードに戻すことを更に含む、請求項1記載の方法。
  3. ソースRF電源及びバイアスRF電源がマスター/スレーブ構成にあって、同期若しくは埋め込み同期パルシングがもたらされる又はソースRF電源及びバイアスRF電源がそれぞれマスターモードにあって、ソースRF電源をパルシングモード、バイアスRF電源を連続波モード若しくはバイアスRF電源をパルシングモード、ソースRF電源を連続波モードで動作させる、請求項1記載の方法。
  4. それぞれが周波数チューニング可能であり且つソースマッチングネットワーク及びバイアスマッチングネットワークを介して処理チャンバにそれぞれ連結されたソースRF電源及びバイアスRF電源を使用してプラズマプロセスを実行するシステムをチューニングするための方法であって、
    (a)RF電力を、所望のパルシング周波数、約85〜約95%の初期デューティサイクルの周波数チューニングモードのソースRF電源又はバイアスRF電源のうちの少なくとも1つから、ソースマッチングネットワーク及びバイアスマッチングネットワークを自動チューニングモードにして供給することによって、処理チャンバ内でプラズマを形成し、
    (b)ソースRF電源及びバイアスRF電源の両方からの反射電力を低減するようにソースマッチングネットワーク又はバイアスマッチングネットワークのうちの少なくとも1つが調節された後、ソースRF電源及びバイアスRF電源が依然としてONの間に、ソースRF電源又はバイアスRF電源のうちの少なくとも1つによって提供される初期デューティサイクルを所望のデューティサイクルに変更することを含む方法。
  5. (c)ソースRF電源又はバイアスRF電源のうちの少なくとも1つによって提供される初期デューティサイクルを所望のデューティサイクルに変更した後、ソースマッチングネットワーク又はバイアスマッチングネットワークのうちの少なくとも1つをホールドモードに切り換えることを更に含む、請求項4記載の方法。
  6. (c)ソースRF電源及びバイアスRF電源の両方からの反射電力を低減するようにソースマッチングネットワーク又はバイアスマッチングネットワークのうちの少なくとも1つが調節された後、ただしソースRF電源又はバイアスRF電源のうちの少なくとも1つによって提供される初期デューティサイクルを所望のデューティサイクルに変更する前に、ソースマッチングネットワーク又はバイアスマッチングネットワークのうちの少なくとも1つをホールドモードに切り換えることを更に含む、請求項4記載の方法。
  7. ソースRF電源及びバイアスRF電源がマスター/スレーブ構成にあって、同期若しくは埋め込み同期パルシングがもたらされる又はソースRF電源及びバイアスRF電源がそれぞれマスターモードにあって、ソースRF電源をパルシングモード、バイアスRF電源を連続波モード若しくはバイアスRF電源をパルシングモード、ソースRF電源を連続波モードで動作させる、請求項4記載の方法。
  8. それぞれが周波数チューニング可能であり且つソースマッチングネットワーク及びバイアスマッチングネットワークを介して処理チャンバにそれぞれ連結されたソースRF電源及びバイアスRF電源を使用して時間分割方式でプラズマプロセスを実行するシステムをチューニングするための方法であって、
    連続波モード又はパルシングモードのいずれかから選択された第1オペレーションモード及び固定周波数モード又は周波数チューニングモードのいずれかから選択された第1チューニングモードにそれぞれ独立して設定されたソースRF電源及びバイアスRF電源を使用し、ソースマッチングネットワーク及びバイアスマッチングネットワークが自動チューニングモード又はホールドモードのいずれかから選択された第1マッチモードにそれぞれ独立して設定された状態で、処理チャンバ内のプラズマに点火し、
    第1時間の経過後、ソースRF電源及びバイアスRF電源の一方又は両方の第1オペレーションモードを切り換え、
    第2時間の経過後、ソースRF電源及びバイアスRF電源の一方又は両方の第1チューニングモードを切り換え、
    第3時間の経過後、ソースマッチングネットワーク及びバイアスマッチングネットワークの一方又は両方の第1マッチモードを切り換えることを含み、
    第1、第2及び第3時間は、ソースRF電源及びバイアスRF電源に反射する反射電力を低減するように選択される方法。
JP2014107956A 2008-05-14 2014-05-26 プラズマプロセスを実行するシステムをチューニングするための方法 Active JP5877873B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12763408P 2008-05-14 2008-05-14
US61/127,634 2008-05-14

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011509647A Division JP2011525682A (ja) 2008-05-14 2009-05-13 Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置

Publications (2)

Publication Number Publication Date
JP2014222657A JP2014222657A (ja) 2014-11-27
JP5877873B2 true JP5877873B2 (ja) 2016-03-08

Family

ID=41315533

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011509647A Pending JP2011525682A (ja) 2008-05-14 2009-05-13 Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
JP2014107956A Active JP5877873B2 (ja) 2008-05-14 2014-05-26 プラズマプロセスを実行するシステムをチューニングするための方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2011509647A Pending JP2011525682A (ja) 2008-05-14 2009-05-13 Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置

Country Status (6)

Country Link
US (1) US8264154B2 (ja)
JP (2) JP2011525682A (ja)
KR (2) KR101528528B1 (ja)
CN (1) CN102027810B (ja)
TW (2) TWI519211B (ja)
WO (1) WO2009140371A2 (ja)

Families Citing this family (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
WO2009146439A1 (en) * 2008-05-30 2009-12-03 Colorado State University Research Foundation System, method and apparatus for generating plasma
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP2010238881A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110094683A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Rf feed structure for plasma processing
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
WO2012094416A1 (en) 2011-01-04 2012-07-12 Advanced Energy Industries, Inc. System level power delivery to a plasma processing load
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8828259B2 (en) 2011-07-07 2014-09-09 Lam Research Corporation Methods for automatically determining capacitor values and systems thereof
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US8933628B2 (en) * 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
CN103327723A (zh) * 2012-03-23 2013-09-25 中微半导体设备(上海)有限公司 一种电容耦合等离子反应器及其控制方法
JP5822795B2 (ja) 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5841917B2 (ja) * 2012-08-24 2016-01-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103730316B (zh) 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
KR102010321B1 (ko) 2013-01-10 2019-08-13 삼성전자주식회사 플라즈마 처리 방법과 상기 방법을 이용할 수 있는 장치들
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
US9336995B2 (en) * 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
US9837252B2 (en) * 2013-05-09 2017-12-05 Lam Research Corporation Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model
US20140367043A1 (en) * 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
TWI668725B (zh) * 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US9472416B2 (en) 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
TWI677263B (zh) * 2014-04-23 2019-11-11 美商蘭姆研究公司 軟脈動
KR101522891B1 (ko) * 2014-04-29 2015-05-27 세메스 주식회사 플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
EP3029711B1 (en) 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10164483B2 (en) 2015-03-17 2018-12-25 Semiconductor Components Industries, Llc Tunable resonant inductive coil systems for wireless power transfer and near field communications
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9721758B2 (en) 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US9876476B2 (en) 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6043852B2 (ja) * 2015-10-01 2016-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9614524B1 (en) 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
KR20170075887A (ko) * 2015-12-23 2017-07-04 삼성전자주식회사 플라즈마 처리 장치, 그의 플라즈마 처리 방법, 및 플라즈마 식각 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170103657A (ko) * 2016-03-03 2017-09-13 램 리써치 코포레이션 매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 시스템들 및 방법들
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) * 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9839109B1 (en) 2016-05-30 2017-12-05 Applied Materials, Inc. Dynamic control band for RF plasma current ratio control
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10424467B2 (en) 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108666197B (zh) * 2017-03-31 2020-02-14 北京北方华创微电子装备有限公司 一种脉冲功率源和半导体设备
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10679825B2 (en) * 2017-11-15 2020-06-09 Lam Research Corporation Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN110648888B (zh) 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111293021B (zh) * 2018-12-07 2024-01-12 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11521831B2 (en) 2019-05-21 2022-12-06 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR102359463B1 (ko) * 2020-04-21 2022-02-08 인제대학교 산학협력단 온/오프 스위칭이 가능한 중주파 플라즈마 처리장치
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021180215A (ja) 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び制御装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20230114184A (ko) * 2020-12-08 2023-08-01 램 리써치 코포레이션 저 주파수 RF 생성기 및 연관된 정전 척 (electrostatic chuck)
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11749505B2 (en) * 2021-02-23 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11538663B2 (en) 2021-02-23 2022-12-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
KR20240009537A (ko) 2021-06-21 2024-01-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
US11877378B2 (en) * 2021-10-08 2024-01-16 National Yang Ming Chiao Tung University Plasma fine bubble liquid generating apparatus
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230343555A1 (en) * 2022-04-22 2023-10-26 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2727607B2 (ja) * 1988-11-22 1998-03-11 株式会社ダイヘン プラズマ装置のインピーダンス整合方法
JP2737377B2 (ja) * 1990-06-25 1998-04-08 富士電機株式会社 プラズマ処理装置
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
JP2884056B2 (ja) * 1995-12-07 1999-04-19 パール工業株式会社 放電プラズマ発生用高周波電源装置及び半導体製造装置
US6353206B1 (en) * 1996-05-30 2002-03-05 Applied Materials, Inc. Plasma system with a balanced source
EP0840350A2 (en) * 1996-11-04 1998-05-06 Applied Materials, Inc. Plasma apparatus and process with filtering of plasma sheath-generated harmonics
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
JP3629705B2 (ja) * 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6020794A (en) * 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
JPH11345803A (ja) * 1998-06-03 1999-12-14 Matsushita Electric Ind Co Ltd プラズマ発生加工方法およびプラズマ発生加工装置
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
JP2003179045A (ja) * 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6703080B2 (en) * 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator

Also Published As

Publication number Publication date
KR20110019743A (ko) 2011-02-28
KR101528528B1 (ko) 2015-06-12
WO2009140371A2 (en) 2009-11-19
JP2011525682A (ja) 2011-09-22
CN102027810A (zh) 2011-04-20
CN102027810B (zh) 2014-08-13
WO2009140371A3 (en) 2010-04-01
US20090284156A1 (en) 2009-11-19
JP2014222657A (ja) 2014-11-27
TWI586224B (zh) 2017-06-01
TW201542042A (zh) 2015-11-01
KR20150017389A (ko) 2015-02-16
TWI519211B (zh) 2016-01-21
US8264154B2 (en) 2012-09-11
TW201010524A (en) 2010-03-01

Similar Documents

Publication Publication Date Title
JP5877873B2 (ja) プラズマプロセスを実行するシステムをチューニングするための方法
JP6449260B2 (ja) プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための装置および方法
US6818562B2 (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
CN108028167B (zh) 具有近似锯齿波脉冲的rf功率传输
US9595423B2 (en) Frequency tuning for dual level radio frequency (RF) pulsing
US8974684B2 (en) Synchronous embedded radio frequency pulsing for plasma etching
CN105914123B (zh) 用于在等离子体处理系统中控制等离子体的方法和装置
JP2008053496A (ja) エッチング装置
TW202215911A (zh) 電漿處理裝置及電漿處理方法
JP7313293B2 (ja) 処理方法及びプラズマ処理装置
JP7236954B2 (ja) プラズマ処理装置
JP6316735B2 (ja) プラズマエッチング方法
TWI837114B (zh) 用於頻率產生器的共同激勵的方法與設備
US20220051874A1 (en) Plasma processing apparatus and power supply method
TW201944450A (zh) 用於頻率產生器的共同激勵的方法與設備
CN117397014A (zh) 等离子体处理装置和等离子体处理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150407

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150703

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150806

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151228

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160126

R150 Certificate of patent or registration of utility model

Ref document number: 5877873

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250