CN105247967A - 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法 - Google Patents

用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法 Download PDF

Info

Publication number
CN105247967A
CN105247967A CN201480028235.XA CN201480028235A CN105247967A CN 105247967 A CN105247967 A CN 105247967A CN 201480028235 A CN201480028235 A CN 201480028235A CN 105247967 A CN105247967 A CN 105247967A
Authority
CN
China
Prior art keywords
frequency
matching network
power supply
power
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480028235.XA
Other languages
English (en)
Other versions
CN105247967B (zh
Inventor
W·比沙拉
S·巴纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105247967A publication Critical patent/CN105247967A/zh
Application granted granted Critical
Publication of CN105247967B publication Critical patent/CN105247967B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本公开的诸实施例包括用于使用经由匹配网络耦合至工艺腔室的RF电源在该工艺腔室中进行等离子体处理的方法与设备。在一些实施例中,该方法包括:当匹配网络处于保持模式时,由RF电源以第一频率将RF功率提供给工艺腔室;在第一时期期间,使用RF电源将第一频率调整为第二频率以点燃等离子体;在第二时期期间,使用RF电源将第二频率调整为已知的第三频率,同时维持等离子体;以及将匹配网络的操作模式改变为自动调谐模式以减小由RF电源提供的RF功率的反射功率。

Description

用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
技术领域
本公开的诸实施例总体涉及基板处理系统,更具体而言,涉及用于在等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法和设备。
背景技术
在集成电路制造中,使用等离子体腔室来处理基板。等离子体腔室一般而言是耦合至射频(RF)源以在基板处理期间提供能量,从而点燃等离子体和/或维持等离子体。为了有效地将RF能量耦合至该腔室,在该RF源与该等离子体腔室之间连接匹配网络(也称为可调谐匹配电路或匹配箱)。
用于在等离子体腔室中点燃等离子体(即,使等离子体打火)或跨等离子体转换进行调谐的先前技术包括使用具有机动化可变电容器的匹配箱来点燃等离子体。然而,发明人已经观察到,由于电容器步进电机的慢速度(例如,在0.5秒至2.0秒的范围内),此方法可能是慢的。此外,此方法经受差的可重复性。具体来说,发明人已经观察到,在需要高电压来点燃等离子体的等离子体腔室中,使用匹配箱可能无法达到那些高电压。取决于匹配箱特性,匹配电容器位置的轨迹可能错过该高压点,或可能以变化的延迟到达该高电压点。
用于点燃等离子体或跨等离子体转换来调谐的另一种技术是使用RF功率生成器的扫频以在等离子体腔室中达到高电压,从而辅助等离子体打火。发明人已经观察到,虽然此方法可以迅速点燃等离子体(<0.5秒),但生成器频率的变化可能导致晶片上工艺结果的变化以及导致RF测量结果的变化。
因此,发明人相信,本领域中需要用于在等离子体腔室中的快速且可重复的等离子体点燃和/或跨等离子体转换进行调谐的改进的方法与设备。
发明内容
本公开的诸实施例包括用于在工艺腔室中使用经由匹配网络耦合至该工艺腔室的RF电源来进行的等离子体处理的方法与设备。在一些实施例中,一种用于在工艺腔室中进行等离子体处理的设备可以包括:第一RF电源,所述第一RF电源具有频率调谐;第一匹配网络,所述第一匹配网络耦合至所述第一RF电源;以及控制器,所述控制器用于控制所述第一RF电源与所述第一匹配网络,其中,所述控制器经配置以:通过以下方式中的至少一种来发起等离子体转换:指示所述RF电源将RF功率提供给所述工艺腔室;指示所述RF电源改变传送至所述工艺腔室的RF功率的等级;或改变所述工艺腔室中的压力,其中,所述RF电源以第一频率操作,并且所述匹配网络处于保持模式;在第一时期期间,指示所述RF电源将所述第一频率调整为第二频率以点燃所述等离子体;在第二时期期间,指示所述RF电源将所述第二频率调整为已知的第三频率,同时维持所述等离子体;以及将所述匹配网络的操作模式改变为自动调谐模式以减小由所述RF电源提供的RF功率的反射功率。
在一些实施例中,该方法包括:通过以下方式中的至少一种来发起等离子体转换:将RF功率提供给工艺腔室;改变传送至所述工艺腔室的RF功率的等级;或改变所述工艺腔室中的压力,其中,所述RF电源以第一频率操作,并且所述匹配网络处于保持模式;在第一时期期间,使用所述RF电源将所述RF电源将所述第一频率调整为第二频率以点燃所述等离子体;在第二时期期间,使用所述RF电源将所述第二频率调整为已知的第三频率,同时维持所述等离子体;以及将所述匹配网络的操作模式改变为自动调谐模式以减小由所述RF电源提供的RF功率的反射功率。
在一些实施例中,一种用于在工艺腔室中进行等离子体处理的系统可以包括:工艺腔室,所述工艺腔室具有天线组件与基板支撑基座;第一匹配网络,所述第一匹配网络耦合至所述天线组件;
第一RF源,所述第一RF源耦合至所述第一匹配网络;第二匹配网络,所述第二匹配网络耦合至所述基板支撑基座;第二RF源,所述第二RF源耦合至所述第二匹配网络;控制器,所述控制器用于控制所述第一RF源、所述第一匹配网络、所述第二RF源与所述第二匹配网络,其中,所述控制器经配置以:指示所述第一RF源将RF功率提供给所述工艺腔室,其中,所述第一源以一第一频率操作,并且所述第一匹配网络处于保持模式;在第一时期期间,指示所述第一RF源将所述第一频率调整为第二频率以点燃所述等离子体;在第二时间期间,指示所述第一RF源将所述第二频率调整为已知的第三频率,同时维持所述等离子体;以及将所述第一匹配网络的操作模式改变为自动调谐模式以减小由所述第一RF源提供的RF功率的反射功率。
在以下“具体实施方式”中提供其他和进一步的实施例。
附图说明
因此,为了详细地理解本公开的上述特征的方式,可参考诸实施例来进行对上文中简要概述的本公开的诸实施例的更具体的描述,在所附附图中示出这些实施例中的一些。然而,要注意的是,这些所附附图仅示出本公开的典型实施例,并且因此不视为限制本公开的范围,因为本公开可以允许其他等效的实施例。
图1是根据本公开的一些实施例的半导体晶片处理系统的示意图。
图2是适于结合本公开的一些实施例来使用的示例性匹配网络。
图3是示出根据本公开的一些实施例的匹配网络与RF生成器的时序特征的示意性图表。
图4是示出由根据本公开的一些实施例的匹配网络与RF生成器提供的频率的时序图的示意性图表。
图5描绘用于在工艺腔室中点燃等离子体并减小反射功率的方法的流程图。
为了促进理解,在可能的情况下,已经使用完全相同的附图标记来指定各附图所共有的完全相同的元件。各附图不是按比例来绘制的,并且可能为了清楚而进行简化。构想了在不需要进一步叙述的情况下就可将一个实施例中的元件和特征有益地合并进其他实施例。
具体实施方式
本公开的诸实施例包含用于在工艺腔室中点燃等离子体和/或跨等离子体转换来减少反射功率的方法与设备。本公开的示例性实施例提供组合了机械式匹配网络与具有一组时序规则的可变频率RF功率生成器的方法与设备。通过以适合的顺序与时序来操作这两个调谐技术,快速且可重复的等离子体点燃和/或调谐是可能的,并且具有可重复的结束频率和等离子体分布。在一些实施例中,用于快速且可重复的等离子体点燃和/或调谐的组合系统在晶片上工艺结果的轮到轮(run-to-run)与晶片到晶片(wafer-to-wafer)可重复性方面可促进更好的工艺性能。本公开的实施例提供为结合动态匹配网络而使用具有频率调谐(也称为频率扫描)的RF生成器提供允许可重复且稳定的操作窗口的步骤。由于在例如蚀刻工艺期间点燃等离子体和/或对系统调谐所需的时间是关键的,因此这些步骤的一个优势在于,能在小于约0.5秒之内点燃并调谐等离子体,进而使基板暴露于不稳定的等离子体或未受良好控制的等离子体的时间最小化。虽然以下描述可能针对于某些工艺、RF频率与RF功率,但是可利用本文中提供的教导以为其他工艺、其他频率与其他功率等级带来优势。
图1是等离子体增强的基板处理系统100,在一些实施例中,该处理系统100用于处理半导体晶片122(或其他基板与工作件)。虽然在蚀刻反应器和半导体晶片蚀刻工艺的上下文中描述了本公开所公开的诸实施例,但是本公开适用于在等离子体增强的工艺期间使用RF功率等离子体工艺的任何形式,并且适用于使用其他基板的情况。此类反应器包含电感耦合式等离子体(ICP)反应器、电容耦合式等离子体(CCP)反应器,以及用于等离子体退火、等离子体增强的化学气相沉积、物理气相沉积、等离子体清洁等的反应器。
此说明性等离子体增强的基板处理系统100包括等离子体反应器101、工艺气体供应器126、控制器114、第一RF电源112、第二RF电源116、第一匹配网络110(也称为可调谐匹配电路或匹配箱)与第二匹配网络118。第一与第二RF电源112、116中的任一个或两者都可经配置以进行快速的等离子体点燃与快速的频率调谐(例如,源可能能够响应于感测到的反射功率测量以在约+/-5%内改变频率,从而使反射功率最小化)。此类频率点燃与调谐可能需要约100微秒或少得多的时间以点燃等离子体,并使来自给定的稳态中的等离子体的反射功率最小化。在本文中所述的一些实施例中,正向功率是由RF电源112、116供应的RF功率,而反射功率是往回反射到RF电源112、116的RF功率。
等离子体反应器101或工艺腔室包括真空容器102,该真空容器102包含阴极基座120,该阴极基座120形成该晶片122的基座。该工艺腔室的顶部或盖体103具有邻近所述盖体103的至少一个天线组件104。该盖体103可由电介质材料制成。在本公开的一些实施例中,该天线组件104包括一对天线106和108。本公开的其他实施例可以使用一个或多个天线,或可以取代天线而使用电极以将RF能量耦合至等离子体。在此特定的说明性实施例中,天线106和108将能量电感耦合至由工艺气体供应器126供应至容器102的内部的一种或多种工艺气体。将由天线106和108供应的RF能量电感耦合至这些工艺气体以在晶片122上方的反应区域中形成等离子体124。反应气体将蚀刻晶片122上的材料。
在一些实施例中,被提供给天线组件104的功率点燃等离子体124,而耦合至该阴极基座120的功率控制该等离子体124。因此,RF能量耦合至天线组件104与阴极基座120两者。第一RF电源112(也称为源RF电源)将能量供应给第一匹配网络110,该第一匹配网络110接着将功率耦合至天线组件104。类似地,第二RF电源116(也称为偏置RF电源)将能量耦合至第二匹配网络118,该第二匹配网络118将能量耦合至阴极基座120。控制器114控制启用与停用RF电源112的116的时序和等级,也控制调谐第一与第二匹配网络110和118。耦合至天线组件104的功率被称为源功率,而耦合至阴极基座120的功率被称为偏置功率。
在一些实施例中,可以提供链路140来耦合第一与第二RF电源112、116,从而促进同步一个源对另一个源的操作。任一RF源都可以是引导RF生成器或主RF生成器,而另一个生成器跟随着,或者是从属RF生成器。链路140可以进一步促进完美同步地、或以所期望的偏移或相位差来操作第一与第二RF电源112、116。
第一指示符装置或传感器150与第二指示符装置或传感器152用于确定匹配网络110、118匹配到等离子体124的能力的有效性。在一些实施例中,指示符装置150和152监测从对应的匹配网络110、118反射来的反射功率。这些装置一般被整合进匹配网络110、118或电源112、115之中;然而,出于描述性的目的,在此将它们示出为与匹配网络110、118分开。当使用反射功率作为指示符时,装置150和152耦合在电源112、116与匹配网络110和118之间。为了产生指示反射功率的信号,装置150和152是耦合至RF检测器的方向性耦合器,使得匹配有效性指示符信号是表示反射功率的幅度的电压。大反射功率指示未匹配情形。由装置150和152产生的信号耦合至控制器114。响应于指示符信号,控制器114产生调谐信号(匹配网络控制信号),该调谐信号耦合至匹配网络110、118。此信号用于对匹配网络110、118中的电容器或电感器调谐。调整过程力图使例如在指示符信号中所表示的反射功率最小化,或实现特定等级的该反射功率。匹配网络110、118通常可能需要约100微秒至数毫秒之间的时间以使来自给定的稳态中的等离子体的反射功率最小化。
图2描绘说明性匹配网络的示意图,该匹配网络用作例如第一RF匹配网络110或第二RF匹配网络118。图2中所示的匹配网络仅是可用于本公开的诸实施例的匹配网络的类型的一个示例。在本公开的诸实施例中可以使用匹配网络的其他设计。图2中的该特定实施例具有单个输入200与双输出(即,主输出202与辅输出204)。每一输出用于驱动两个天线中的一个。匹配电路206由C1、C2与L1形成,而容性功率分配器208由C3和C4形成。容性分配器的值设置成建立将供应至每一个天线的特定功率量。在机械或自动调谐模式中,可自动地调谐电容器C1与C2的值是经自动调整以调整对网络110的匹配。在一些实施例中,当在自动调谐模式中时,可调整这些电容器以使反射功率最小化。可通过调整C1和C2中的任一个或两者的位置来调谐这些值。可调谐C1或C2或两者以调整该网络的操作。在保持(hold)模式中,C1和C2的位置以及因此它们的值保持固定。
匹配网络的其他实施例可以具有可调谐电感器或不同拓扑的可变或固定元件(诸如,电容器和电感器)。由网络110匹配的源功率大约在13.56MHz,并具有高达约3000瓦的功率等级。此类匹配网络可从科罗拉多州科林斯堡的艾仪公司(AE.Inc.)的模组系列NAVIGATOR3013-ICP85下获得。可根据在本文中所提供的教导来利用匹配网络的其他各种配置。往回参考图1,控制器114包括中央处理单元(CPU)130、存储器132与支持电路134。控制器114耦合至等离子体增强的基板处理系统100的各种组件以促进对工艺的控制,所述工艺诸如蚀刻工艺或其他合适的等离子体增强的基板工艺。控制器114经由接口来调节并监测工艺腔室中的处理,所述接口可被广泛地描述为模拟、数字、有线、无线、光学和光纤接口。为了按下述方式促进对工艺腔室的控制,CPU130可以是可在用于控制各种腔室和子处理器工业设置中使用的通用计算机处理器的任何形式中的一种形式。存储器132耦合至CPU130。存储器132或计算机可读介质可以是一种或多种易于获得的存储器装置,诸如,随机存取存储器、只读存储器、软盘、硬盘或数字储存设备的任何其他形式,无论是本地的还是远程的。支持电路134耦合至CPU130,以便以常规方式支持处理器。这些电路包含高速缓存、电源、时钟电路、输入/输出电路和相关的子系统等。
蚀刻或其他工艺指令一般作为软件例程(通常称为工艺配方)被存储在存储器132中。该软件例程也可由第二CPU(未示出)储存和/或执行,该第二CPU位于受CPU130控制的硬件的远程。在由CPU130执行该软件例程时,该软件例程将该通用计算机转换为控制系统操作的专用计算机(控制器)114,诸如,用于在基板工艺(例如,蚀刻工艺)期间控制等离子体的专用计算机。虽然本公开的工艺可实作为软件例程,但是也可在硬件中以及由软件控制器来执行本文中公开的方法步骤中的一些。因此,本公开的诸实施例可以在执行于计算机系统上的软件中实现,并且可实现为作为专用集成电路的硬件或硬件实现的其他类型,或软件与硬件的组合。
常规的匹配网络与生成器通常各自包含用于调谐独立的对应系统的控制算法。相应地,每一个算法在两个系统应当旨在减小到生成器的反射功率的时间或方式方面不链接到另一个算法。缺乏此类链路可能在这两个调谐演算法之间造成显著的竞争,并因此可能造成系统不稳定。为了克服此问题,在本公开的一些实施例中,可将集成的匹配网络嵌入到具有频率调谐能力的RF生成器(例如,第一或第二RF源112或116)中,同时,可基于在生成器输出处(例如,使用共享传感器)测得的同样的读数来控制用于调谐匹配网络以及RF循环频率的两个算法。通过这样做,可以消除这两个独立算法之间的竞争,并且可以增加等离子体反应器的操作窗口。在一些实施例中,第一RF源112与第一匹配网络110(和/或该第二RF源116与第二匹配网络118)可以物理地集成或可以仅共享引导这对装置的调谐过程的控制器来消除这两者之间的调谐竞争,从而使整体系统的调谐效率最大化。在一些实施例中,第一RF源112与第一匹配网络110(和/或第二RF源116与该第二匹配网络118)可以仅共享用于读取反射功率的公共传感器,使得它们至少进行调谐以使相同读数的反射功率最小化。
图3与图4描绘了可随时间独立地受控制或被设置为预定值以促进快速且可重复的等离子体点燃以及随在宽范围的等离子体处理期间将等离子体的阻抗匹配到RF源生成器的阻抗的变量的图示。图3与图4示出针对诸如第一RF源112之类的RF源生成器与诸如第一匹配网络110之类的可调谐匹配网络(即,匹配箱)的独立于时间的操作参数。这些参数是解耦的,并且可独立地受控。能以频率扫瞄(或频率调谐)模式来操作RF源生成器。能以自动调谐模式或保持模式(其中,匹配网络固定匹配的部件的值/位置,并且不为了使反射功率最小化而进行调谐)来操作。在这些模式中的每一个模式之间的切换可独立地受控,以促进在跨宽工艺窗口的等离子体工艺期间使反射功率最小化并稳定等离子体处理。
在图3与图4中,f0是RF源生成器在Tstart(T开始)时的起始RF频率;Tvar_freq(T变化_频率)是上电后允许RF源生成器频率来调谐功率等级改变和在Tstart处开始的其他转换的持续时间;Tfreq_ramp(T频率_回跳)是RF源生成器频率往回转换到f0或其他的已知的频率值的持续时间;Thold(T保持)是以保持模式中来固定匹配网络的持续时间;而Pos0是匹配网络的初始的固定值/位置(例如,在一些实施例中,匹配网络中的电容器的固定初始位置)。
在图4中,是由根据一些实施例的可调谐匹配电路与RF生成器提供的频率的时序图。在图4中,RF生成器在时刻Tstart开始输出功率或改变其输出等级,并且f0作为生成器的起始RF频率。在一些实施例中,在时刻Tstart,在腔室中开始诸如压力改变之类的等离子体转换。在一些实施例中,该起始RF频率f0是可以在生成器中心频率的5%至10%之内的已知的预定值。在一些实施例中,该生成器中心频率可以大约为2MHz、13.56MHz或更高。
在此时刻,将匹配箱电容器/电感器保持在固定的位置/数值(Pos0),同时允许生成器频率进行调谐以使反射功率最小化。在一些实施例中,取决于工艺与硬件要求,最小化的反射值可以是正向功率的约0%至约20%。在一些实施例中,如果适当地控制匹配网络操作,则可提供尽可能的最低反射功率。也就是说,该匹配可受控为两种主要模式中的任一种:自动调谐模式或保持模式(例如,固定位置模式)。
允许RF生成器频率进行调谐达持续时间Tvar_freq。在一些实施例中,Tvar_freq可以是约1毫秒至约1秒。在此期间,生成器频率将移离初始频率f0。在此期间结束时,生成器将具有频率f1。在一些实施例中,能以非单调方式将该频率从f0调整至f1。在一些实施例中,RF频率f1可以是与f0相差大约5%至大约10%。虽然f1示出为比f0更高的频率,但是在一些实施例中,f1可以小于f0。在一些实施例中,f0、f1和Tvar_freq中的至少一个是在点燃工艺的开始之前就已知的预定值。在其他实施例中,起始频率f0与Tvar_freq是已知的预定值,而f1是未知的。在一些实施例中,反射功率可以是预定的阈值,当到达该预定的阈值时,其指示Tvar_freq时期的结束。
在时刻Tstart+Tvar_freq,RF源生成器频率开始往回向RF源生成器的起始频率f0单调地改变。从f1往回向f0的转换可以是线性关系或任何其他单调关系,并且可在时间Tfreq_ramp内完成。在一些实施例中,该Tfreq_ramp时期可以是约10毫秒至约1秒。
在Tfreq_ramp的结束处的频率可以是不等于f0的第三频率fx。在一些实施例中,fx可以等于或基本上等于f0。在一些实施例中,RF频率fx可以与f0相差约5%至约10%。在一些实施例中,第三频率fx与Tfreq_ramp是已知的预定值,从而在指定的时刻形成明确定义的最终等离子体与腔室条件。允许匹配网络在从Tstart起的Thold之后移动/调整值并进行调谐。在一些实施例中,Thold时期可以是约10毫秒至约2秒。虽然在图3与图4中将Thold示出为在Tvar_freq之后结束(即,Thold>Tvar_freq),但是在一些实施例中,允许匹配网络在Tvar_freq期间移动/调整值并进行调谐(即,Thold<Tvar_freq)。在完成了该序列之后,可使RF源生成器频率往回回跳(ramp)到固定的频率fx(在一些实施例中,其可以等于f0),并且匹配网络自动地进行调谐。
在图5中示出根据以上参照图1-4所述的本公开的至少一个示例性实施例的方法500,图5描绘了具有一系列步骤的流程图,所述一系列步骤用于:使用经由匹配网络耦合至工艺腔室的源RF电源来点燃等离子体,或跨等离子体转换进行调谐,以及减小工艺腔室中的反射功率。详细地说,方法500开始于502处,并继续进行至504,在504处,当由RF电源以第一频率将RF功率提供给工艺腔室,同时匹配网络处于保持模式时,发起等离子体条件的转换。可由RF功率的传送、RF功率等级的改变、腔室中的化学条件或压力的改变或影响等离子体的其他转换来发起该等离子体转换。该第一频率可以是上文中针对图3与图4所述的f0。在保持模式中,保持匹配网络的位置和/或值固定。
在506处,在第一时期(例如,Tvar_freq)期间,将RF电源频率从第一频率(例如,f0)调整为第二频率(例如,f1),以点燃等离子体或在转换期间进行调谐,并减小在使用该RF电源的工艺腔室中的反射功率。在一些实施例中,能以非单调方式将频率从第一频率增加或减少为第二频率(也就是说,伴随如在图4中所示的第一时期期间的可能的中间频率),并且能以在第一频率与第二频率之间的某个频率来点燃等离子体。在第一时期期间,可继续将该频率调整为第二频率,直到将反射功率最小化到某个等级为止。在该第一时期期间,将匹配网络维持在保持模式中。
在508处,在第二时期(例如,Tfreq_ramp)期间,将频率从第二频率(例如,f1)调整到第三频率(例如,fx)。该第三频率与第二频率不同,并且在一些实施例中,该第三频率可以是预定的已知量(例如,目标值)。在一些实施例中,在510处,在第二时期期间的某时刻(例如,在Thold时期之后,其中,Thold>Tvar_freq),将匹配网络的操作模式从保持模式改变为自动调谐模式以进一步减小反射功率,同时将由RF功率源提供的频率调整为第三已知的频率。在其他实施例中,在510处,在第一时期期间的某时刻(例如,在Thold时期之后,其中Thold<Tvar_freq),将匹配网络的操作模式从保持模式改变为自动调谐模式以进一步减小反射功率,同时将由RF功率源提供的频率调整为第三已知的频率。
方法500结束于514处。
虽然前述内容是针对本公开的实施例,但是可设计本公开的其他和进一步的实施例而不背离本公开的基本范围。

Claims (15)

1.一种用于在工艺腔室中进行等离子体处理的设备,所述设备包括:
第一RF电源,所述第一RF电源具有频率调谐;
第一匹配网络,所述第一匹配网络耦合至所述第一RF电源;以及
控制器,所述控制器用于控制所述第一RF电源与所述第一匹配网络,其中,所述控制器经配置以:
通过以下方式中的至少一种来发起等离子体转换:指示所述RF电源将RF功率提供给所述工艺腔室;指示所述RF电源改变传送至所述工艺腔室的RF功率的等级;或改变所述工艺腔室中的压力,其中,所述RF电源以第一频率操作,并且所述匹配网络处于保持模式;
在第一时期期间,指示所述RF电源将所述第一频率调整为第二频率以点燃所述等离子体;
在第二时期期间,指示所述RF电源将所述第二频率调整为已知的第三频率,同时维持所述等离子体;以及
将所述匹配网络的操作模式改变为自动调谐模式以减小由所述RF电源提供的RF功率的反射功率。
2.如权利要求1所述的设备,其特征在于,所述第一匹配网络嵌入在所述第一RF电源内,并且其中,所述控制器基于在所述第一RF电源的输出处测得的、由共同的传感器提供的共同的反射功率读数来控制以下两者:对所述第一匹配网络的调谐;以及RF循环的频率。
3.如权利要求1所述的设备,其特征在于,将所述反射功率减小为在由所述RF电源提供的正向功率的约0%与20%之间。
4.如权利要求1所述的设备,其特征在于,在所述第一时期期间,在点燃所述等离子体之后,将所述第一频率调整为所述第二频率以减小来自所述RF电源的反射功率。
5.如权利要求4所述的设备,其特征在于,所述反射功率的幅度是预定的阈值,当达到所述预定的阈值时,所述预定的阈值指示所述第一时期的结束。
6.如权利要求1-5中的任一项所述的设备,其特征在于,所述第一时期是已知的预定值。
7.一种用于在工艺腔室中进行等离子体处理的系统,所述系统包括:
工艺腔室,所述工艺腔室具有天线组件与基板支撑基座;
第一匹配网络,所述第一匹配网络耦合至所述天线组件;
第一RF源,所述第一RF源耦合至所述第一匹配网络;
匹配网络;
第二匹配网络,所述第二匹配网络耦合至所述基板支撑基座;
第二RF源,所述第二RF源耦合至所述第二匹配网络;
控制器,用于控制所述第一RF源、所述第一匹配网络、所述第二RF源与所述第二匹配网络,其中,所述控制器经配置以:
指示所述第一RF源将RF功率提供给所述工艺腔室,其中,所述第一源以第一频率操作,并且所述第一匹配网络处于保持模式;
在第一时期期间,指示所述第一RF源将所述第一频率调整为第二频率以点燃所述等离子体;
在第二时期期间,指示所述第一RF源将所述第二频率调整为已知的第三频率,同时维持所述等离子体;以及
将所述第一匹配网络的操作模式改变为自动调谐模式以减小由所述第一RF源提供的RF功率的反射功率。
8.一种用于在工艺腔室中进行等离子体处理的方法,所述方法使用经由匹配网络耦合至所述工艺腔室的RF电源,所述方法包括:
通过以下方式中的至少一种来发起等离子体转换:将RF功率提供给所述工艺腔室;改变传送至所述工艺腔室的RF功率的等级;或改变所述工艺腔室中的压力,其中,所述RF电源正以第一频率操作,并且所述匹配网络处于保持模式;
在第一时期期间,使用所述RF电源将所述第一频率调整为第二频率以点燃所述等离子体;
在第二时期期间,使用所述RF电源将所述第二频率调整为已知的第三频率,同时维持所述等离子体;以及
将所述匹配网络的操作模式改变为自动调谐模式以减小由所述RF电源提供的RF功率的反射功率。
9.如权利要求8所述的方法,其特征在于,在所述第一时期期间,所述匹配网络被维持在所述保持模式中。
10.如权利要求8所述的方法,其特征在于,在所述第二时期期间,所述匹配网络的操作模式被改变为自动调谐模式以减小所述反射功率,同时所述第二频率被调整为所述已知的第三频率。
11.如权利要求8所述的方法,其特征在于,在所述第一时期期间,所述匹配网络的操作模式被改变为自动调谐模式。
12.如权利要求8所述的方法,其特征在于,在所述第一时期期间,在点燃了所述等离子体之后,所述第一频率被调整为所述第二频率以减小来自所述RF电源的反射功率。
13.如权利要求12所述的方法,其特征在于,所述反射功率的幅度是预定的阈值,当达到所述预定的阈值时,所述预定的阈值指示所述第一时期的结束。
14.如权利要求8-13中的任一项所述的方法,其特征在于,所述反射功率被减小为在由所述RF电源提供的正向功率的约0%与20%之间。
15.如权利要求8-13中的任一项所述的方法,其特征在于,所述第一时期是已知的预定值。
CN201480028235.XA 2013-06-17 2014-05-29 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法 Active CN105247967B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361835847P 2013-06-17 2013-06-17
US61/835,847 2013-06-17
US14/287,480 US20140367043A1 (en) 2013-06-17 2014-05-27 Method for fast and repeatable plasma ignition and tuning in plasma chambers
US14/287,480 2014-05-27
PCT/US2014/039965 WO2014204627A1 (en) 2013-06-17 2014-05-29 Method for fast and repeatable plasma ignition and tuning in plasma chambers

Publications (2)

Publication Number Publication Date
CN105247967A true CN105247967A (zh) 2016-01-13
CN105247967B CN105247967B (zh) 2019-10-08

Family

ID=52018200

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480028235.XA Active CN105247967B (zh) 2013-06-17 2014-05-29 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法

Country Status (5)

Country Link
US (1) US20140367043A1 (zh)
JP (1) JP6449260B2 (zh)
CN (1) CN105247967B (zh)
TW (1) TWI645441B (zh)
WO (1) WO2014204627A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108271308A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 一种在电感耦合等离子体处理装置内点燃等离子体的方法
CN109216147A (zh) * 2017-06-30 2019-01-15 三星电子株式会社 半导体制造装置及其操作方法
CN110504149A (zh) * 2018-05-17 2019-11-26 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
CN110942970A (zh) * 2018-09-21 2020-03-31 阿德特克等离子技术公司 设置于高频电源系统中的阻抗匹配装置
CN111630623A (zh) * 2018-01-25 2020-09-04 东京毅力科创株式会社 用于控制等离子体性能的方法和系统
CN111801767A (zh) * 2018-02-28 2020-10-20 应用材料公司 用于频率产生器的共同激励的方法与设备
CN111868875A (zh) * 2018-03-14 2020-10-30 朗姆研究公司 用于无匹配式等离子体源的频率调谐
CN116830238A (zh) * 2021-02-23 2023-09-29 应用材料公司 用于处理基板的方法及设备

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11081316B2 (en) * 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11335540B2 (en) * 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) * 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN106711005B (zh) * 2015-11-13 2019-02-19 北京北方华创微电子装备有限公司 半导体加工设备及等离子体产生方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111699542B (zh) * 2017-11-29 2023-05-16 康姆艾德技术美国分公司 用于阻抗匹配网络控制的重新调谐
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109994354B (zh) * 2017-12-29 2021-07-13 中微半导体设备(上海)股份有限公司 一种等离子体射频调节方法及等离子处理装置
CN109994360B (zh) * 2017-12-29 2021-06-01 中微半导体设备(上海)股份有限公司 一种等离子体射频调节方法及等离子处理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP2020071912A (ja) * 2018-10-29 2020-05-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020158814A (ja) * 2019-03-26 2020-10-01 東京エレクトロン株式会社 成膜装置および成膜方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP7412268B2 (ja) * 2020-05-11 2024-01-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1860594A (zh) * 2003-09-30 2006-11-08 东京毅力科创株式会社 等离子处理系统
CN101630624A (zh) * 2003-12-18 2010-01-20 应用材料公司 双频rf匹配
CN102027810A (zh) * 2008-05-14 2011-04-20 应用材料股份有限公司 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备
CN102106192A (zh) * 2008-07-30 2011-06-22 应用材料公司 场加强感应耦合等离子体(fe-icp)反应器
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2884056B2 (ja) * 1995-12-07 1999-04-19 パール工業株式会社 放電プラズマ発生用高周波電源装置及び半導体製造装置
JP2000048999A (ja) * 1998-07-31 2000-02-18 Kem Kk プラズマ処理における高周波電源ならびに圧力計の検査 方法
US6521302B1 (en) * 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
JP4799947B2 (ja) * 2005-02-25 2011-10-26 株式会社ダイヘン 高周波電源装置および高周波電源の制御方法
US7550390B2 (en) * 2006-01-04 2009-06-23 Macronix International Co., Ltd Method and apparatus for dielectric etching during integrated circuit fabrication
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US8828259B2 (en) * 2011-07-07 2014-09-09 Lam Research Corporation Methods for automatically determining capacitor values and systems thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1860594A (zh) * 2003-09-30 2006-11-08 东京毅力科创株式会社 等离子处理系统
CN101630624A (zh) * 2003-12-18 2010-01-20 应用材料公司 双频rf匹配
CN102027810A (zh) * 2008-05-14 2011-04-20 应用材料股份有限公司 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备
CN102106192A (zh) * 2008-07-30 2011-06-22 应用材料公司 场加强感应耦合等离子体(fe-icp)反应器
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108271308A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 一种在电感耦合等离子体处理装置内点燃等离子体的方法
CN109216147A (zh) * 2017-06-30 2019-01-15 三星电子株式会社 半导体制造装置及其操作方法
CN109216147B (zh) * 2017-06-30 2023-02-21 三星电子株式会社 半导体制造装置及其操作方法
CN111630623A (zh) * 2018-01-25 2020-09-04 东京毅力科创株式会社 用于控制等离子体性能的方法和系统
CN111801767B (zh) * 2018-02-28 2024-04-12 应用材料公司 用于频率产生器的共同激励的方法与设备
CN111801767A (zh) * 2018-02-28 2020-10-20 应用材料公司 用于频率产生器的共同激励的方法与设备
CN111868875A (zh) * 2018-03-14 2020-10-30 朗姆研究公司 用于无匹配式等离子体源的频率调谐
CN111868875B (zh) * 2018-03-14 2024-01-12 朗姆研究公司 用于无匹配式等离子体源的频率调谐
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
US11749502B2 (en) 2018-05-17 2023-09-05 Beijing Naura Microelectronics Equipment Co., Ltd. System and method for pulse modulation of radio frequency power supply and reaction chamber thereof
CN110504149A (zh) * 2018-05-17 2019-11-26 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
CN110942970B (zh) * 2018-09-21 2022-03-25 阿德特克等离子技术公司 设置于高频电源系统中的阻抗匹配装置
CN110942970A (zh) * 2018-09-21 2020-03-31 阿德特克等离子技术公司 设置于高频电源系统中的阻抗匹配装置
US11651938B2 (en) 2018-09-21 2023-05-16 Adtec Plasma Technology Co., Ltd. Impedance matching device provided in high-frequency power system
CN116830238A (zh) * 2021-02-23 2023-09-29 应用材料公司 用于处理基板的方法及设备

Also Published As

Publication number Publication date
CN105247967B (zh) 2019-10-08
TW201505067A (zh) 2015-02-01
JP2016528667A (ja) 2016-09-15
US20140367043A1 (en) 2014-12-18
JP6449260B2 (ja) 2019-01-09
TWI645441B (zh) 2018-12-21
WO2014204627A1 (en) 2014-12-24

Similar Documents

Publication Publication Date Title
CN105247967A (zh) 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
JP7175239B2 (ja) 制御方法、プラズマ処理装置、プログラム及び記憶媒体
KR101478626B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US9754767B2 (en) RF pulse reflection reduction for processing substrates
US10790126B2 (en) Smart RF pulsing tuning using variable frequency generators
KR101528528B1 (ko) Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US6818562B2 (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US20170358428A1 (en) Rf power delivery regulation for processing substrates
US8368308B2 (en) Inductively coupled plasma reactor having RF phase control and methods of use thereof
KR20180052772A (ko) 근사화된 톱니파 펄싱을 갖는 rf 전력 전달
TWI570876B (zh) Automatic implementation of RF power matching methods and systems
TWI776184B (zh) 一種射頻電源系統、電漿處理器及其調頻匹配方法
WO2011049769A2 (en) Methods and apparatus for tuning matching networks
CN105914123B (zh) 用于在等离子体处理系统中控制等离子体的方法和装置
KR102240306B1 (ko) 자동 점화위치 조정 기능을 가지는 임피던스 정합 장치 및 정합 방법
KR102308684B1 (ko) 다중 점화위치 조정 기능을 가지는 임피던스 정합 장치 및 정합 방법
KR102409094B1 (ko) 주파수 가변 및 복원기능을 가진 초고속 임피던스 정합 장치 및 정합 방법
US20230386789A1 (en) Systems and Methods for Plasma Process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant