JP2016528667A - プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための方法 - Google Patents

プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための方法 Download PDF

Info

Publication number
JP2016528667A
JP2016528667A JP2016519526A JP2016519526A JP2016528667A JP 2016528667 A JP2016528667 A JP 2016528667A JP 2016519526 A JP2016519526 A JP 2016519526A JP 2016519526 A JP2016519526 A JP 2016519526A JP 2016528667 A JP2016528667 A JP 2016528667A
Authority
JP
Japan
Prior art keywords
frequency
power
power source
matching network
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016519526A
Other languages
English (en)
Other versions
JP2016528667A5 (ja
JP6449260B2 (ja
Inventor
ワヘブ ビシャラ
ワヘブ ビシャラ
サマー バンナ
サマー バンナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016528667A publication Critical patent/JP2016528667A/ja
Publication of JP2016528667A5 publication Critical patent/JP2016528667A5/ja
Application granted granted Critical
Publication of JP6449260B2 publication Critical patent/JP6449260B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本開示の実施形態は、整合ネットワークを介して処理チャンバに結合されたRF電源を用いて、処理チャンバ内でプラズマ処理するための方法及び装置を含む。いくつかの実施形態では、本方法は、整合ネットワークは、ホールドモードにしながら、第1周波数でRF電源によって処理チャンバにRF電力を供給する工程と、プラズマを点火するために、第1期間の間、RF電源を用いて第1周波数を第2周波数に調整する工程と、プラズマを維持しながら、第2期間の間、RF電源を用いて第2周波数を既知の第3周波数に調整する工程と、RF電源によって供給されるRF電力の反射電力を低減させるために、整合ネットワークの動作モードを自動同調モードに変更する工程とを含む。

Description

分野
本開示の実施形態は、概して、基板処理システムに関し、より具体的には、プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための方法及び装置に関する。
背景
集積回路の製造において、プラズマチャンバは、基板を処理するために使用される。プラズマチャンバは、典型的には、基板の処理中にプラズマを点火及び/又は維持するためのエネルギーを供給するために、高周波(RF)電源に結合される。RFエネルギーをチャンバに効果的に結合するために、整合ネットワーク(同調可能な整合回路又は整合ボックスとも呼ばれる)が、RF電源とプラズマチャンバとの間に接続される。
プラズマチャンバ内でのプラズマの点火(すなわち、打つこと)又はプラズマの遷移全体に亘る同調のための過去の技術は、プラズマを点火するために、電動式可変コンデンサを有する整合ボックスの使用を含む。しかしながら、この方法は、コンデンサのステッピングモータの低い速度に起因して遅い可能性がある(例えば、0.5〜2.0秒の範囲内)ことを、本発明者らは観察してきた。また、この方法は、乏しい再現性に悩まされる。具体的には、本発明者らは、プラズマを点火するために高電圧を必要とするプラズマチャンバ内で、これらの高電圧は、整合ボックスを使用することによって到達可能ではない可能性があることを観察してきた。整合ボックスの特性に応じて、整合コンデンサの位置の軌跡は、高電圧点を逃す可能性があり、又は変化する遅延と共にそれに達する可能性がある。
プラズマの点火又はプラズマの遷移全体に亘る同調のための別の技術は、プラズマを打つのを支援するために、プラズマチャンバ内で高電圧に到達するために、RF電力発生器の周波数掃引を使用することである。本発明者らは、この方法は、プラズマを点火するのを速くすることができる(<0.5秒)が、発生器の周波数の変動が、オンウェハのプロセスの結果のばらつき及びRF測定結果のばらつきにつながる可能性があることを観察してきた。
したがって、本発明者らは、プラズマチャンバ内でプラズマの遷移全体に亘る高速かつ再現性のあるプラズマ点火及び/又は同調のための改良された方法及び装置に対する技術的な必要性があると考えている。
概要
本開示の実施形態は、整合ネットワークを介して処理チャンバに結合されたRF電源を用いて、処理チャンバ内でプラズマ処理するための方法及び装置を含む。いくつかの実施形態では、処理チャンバ内でプラズマ処理するための装置は、周波数同調を有する第1RF電源と、第1RF電源に結合された第1整合ネットワークと、第1RF電源及び第1整合ネットワークを制御するためのコントローラを含むことができ、コントローラは、処理チャンバにRF電力を供給するようにRF電源に指示すること、処理チャンバに送出されるRF電力のレベルを変更するようにRF電源に指示すること、又は処理チャンバ内の圧力を変化させることのうちの少なくとも1つによってプラズマの遷移を開始し、RF電源は、第1周波数で動作し、整合ネットワークは、ホールドモードにあり、プラズマを点火するために第1期間の間に第1周波数を第2周波数に調整するようにRF電源に指示し、プラズマを維持しながら、第2期間の間に第2周波数を既知の第3周波数に調整するためにRF電源に指示し、RF電源によって供給されるRF電力の反射電力を低減するために、整合ネットワークの動作モードを自動同調モードに変更するように構成される。
いくつかの実施形態では、本方法は、処理チャンバにRF電力を供給すること、処理チャンバに送出されるRF電力のレベルを変更すること、又は処理チャンバ内の圧力を変更することのうちの少なくとも1つによって、プラズマ遷移を開始する工程であって、RF電源は、第1周波数で動作し、整合ネットワークは、ホールドモードにある工程と、プラズマを点火するために、第1期間の間、RF電源を用いて第1周波数を第2周波数に調整する工程と、プラズマを維持しながら、第2期間の間、RF電源を用いて第2周波数を既知の第3周波数に調整する工程と、RF電源によって供給されるRF電力の反射電力を低減させるために、整合ネットワークの動作モードを自動同調モードに変更する工程とを含む。
いくつかの実施形態では、処理チャンバ内でプラズマ処理するためのシステムは、アンテナアセンブリ及び基板支持台を有する処理チャンバと、アンテナアセンブリに結合された第1整合ネットワークと、第1整合ネットワークに結合された第1RF電源と、整合ネットワークと、基板支持台に結合された第2整合ネットワークと、第2整合ネットワークに結合された第2RF電源と、第1RF電源、第1整合ネットワーク、第2RF電源、及び第2コントローラを制御するためのコントローラとを含み、コントローラは、処理チャンバにRF電力を供給するように第1RF電源に指示し、第1電源は、第1周波数で動作し、第1整合ネットワークは、ホールドモードにあり、プラズマを点火するために第1期間の間に第1周波数を第2周波数に調整するように第1RF電源に指示し、プラズマを維持しながら、第2期間の間に第2周波数を既知の第3周波数に調整するように第1RF電源に指示し、第1RF電源によって供給されるRF電力の反射電力を低減するために、第1整合ネットワークの動作モードを自動同調モードに変更するように構成される。
他の実施形態及び更なる実施形態は、以下の詳細な説明において提供される。
本開示の上述した構成を詳細に理解することができるように、上記に簡単に要約した本開示の実施形態のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本開示の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本開示は他の等しく有効な実施形態を含み得ることに留意すべきである。
本開示のいくつかの実施形態に係る半導体ウェハ処理システムの概略図である。 本開示のいくつかの実施形態に関連して使用するのに適した例示的な整合ネットワークである。 本開示のいくつかの実施形態に係る整合ネットワーク及びRF発生器のタイミング構成を示す概略図である。 本開示のいくつかの実施形態に係る整合ネットワーク及びRF発生器によって提供される周波数のタイミング図を示す概略図である。 プラズマを点火し、処理チャンバ内の反射電力を低減するための方法のフロー図を示す。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。図面は、比例して描かれているわけではなく、明確にするために簡素化されているかもしれない。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
詳細な説明
本開示の実施形態は、プラズマを点火する及び/又はプラズマの遷移全体に亘って処理チャンバ内の反射電力を低減するための方法及び装置を含む。本開示の例示的な実施形態は、タイミングルールのセットによって機械的な整合ネットワークと可変周波数RF電力発生器を組み合わせた方法及び装置を提供する。適切な順序及びタイミングで2つの同調技法を操作することによって、高速かつ再現性のあるプラズマ点火及び/又は同調が、再現性のある終了周波数及びプラズマ分布によって可能となる。いくつかの実施形態では、高速かつ再現性のあるプラズマ点火及び/又は同調のための複合システムは、オンウェハのプロセス結果の実行間及びウェハ間の再現性の点で、より良好な処理性能を促進することができる。本開示の実施形態は、動的な整合ネットワークと組み合わせて、周波数同調(周波数掃引とも呼ばれる)を有するRF発生器を使用するための操作の、再現性があり安定したウィンドウを可能にする手順を提供する。プラズマを点火させる及び/又はシステムを同調するのに必要とされる時間は、例えば、エッチングプロセスの間、重要であるので、これらの手順の1つの利点は、約0.5秒未満の中でプラズマを点火して同調できることであり、これによって基板が不安定なプラズマ又は良好に制御されないプラズマに曝露される時間を最小化する。以下の説明は、特定のプロセス、RF周波数、及びRF電力を参照しているかもしれないが、本明細書に提供される教示は、一般的に、他のプロセス、他の周波数、及び他の電力レベルに有利に利用することができる。
図1は、いくつかの実施形態では、半導体ウェハ122(又は他の基板及びワークピース)を処理するために使用されるプラズマ強化基板処理システム100である。本開示の開示された実施形態は、エッチングリアクタ及び半導体ウェハのエッチングプロセスの文脈で説明されているが、本開示は、プラズマ強化処理中にRF電力を使用し、他の基板が使用される任意の形態のプラズマ処理に適用可能である。このようなリアクタは、誘導結合プラズマ(ICP)リアクタ、容量結合プラズマ(CCP)リアクタ、及びプラズマアニール、プラズマ強化化学蒸着、物理蒸着、プラズマ洗浄などのためのリアクタを含む。
この例示的なプラズマ強化基板処理システム100は、プラズマリアクタ101、処理ガス供給源126、コントローラ114、第1RF電源112、第2RF電源116、第1整合ネットワーク110(チューナブル整合回路又は整合ボックスとも呼ばれる)、及び第2整合ネットワーク118を含む。第1及び第2RF電源112、116のいずれか又は両方は、高速プラズマ点火及び高速周波数同調用に構成することができる(例えば、電源は、反射電力を最小化するために、感知された反射電力測定に応じて、約±5%以内で周波数を変化させることができる)。このような周波数の点火及び同調は、プラズマを点火し、プラズマからの反射電力を特定の定常状態に最小化するために、約100マイクロ秒又ははるかに少ない時間を必要とすることができる。本明細書に記載されるいくつかの実施形態では、順方向電力が、RF電源112、116によって供給され、反射電力は、RF電源112、116に反射して戻るRF電力である。
プラズマリアクタ101又は処理チャンバは、ウェハ122のための台座を形成する陰極台座120を含む真空容器102を含む。処理チャンバの天井又は蓋103は、蓋103に近接した少なくとも1つのアンテナアセンブリ104を有する。蓋103は、誘電体材料から作製することができる。アンテナアセンブリ104は、本開示のいくつかの実施形態では、1組のアンテナ106と108を含む。本開示の他の実施形態は、RFエネルギーをプラズマに結合させるために、1以上のアンテナを使用することができる、又はアンテナの代わりに電極を使用することができる。この特定の例示的な実施形態では、アンテナ106と108は、処理ガス供給源126によって容器102の内部に供給される1又は複数の処理ガスにエネルギーを誘導結合する。アンテナ106と108によって供給されるRFエネルギーは、処理ガスに誘導結合され、これによってウェハ122の上方の反応ゾーン内でプラズマ124を形成する。反応性ガスは、ウェハ122上の材料をエッチングする。
いくつかの実施形態では、アンテナアセンブリ104に供給される電力は、プラズマ124を点火し、陰極台座120に結合された電力は、プラズマ124を制御する。このように、RFエネルギーは、アンテナアセンブリ104及び陰極台座120の両方に結合される。第1RF電源112(ソースRF電源とも呼ばれる)は、エネルギーを第1整合ネットワーク110に供給し、第1整合ネットワーク110は、その後、エネルギーをアンテナアセンブリ104に結合する。同様に、第2RF電源116(バイアスRF電源とも呼ばれる)は、エネルギーを第2整合ネットワーク118に供給し、第2整合ネットワーク118は、エネルギーを陰極台座120に結合する。コントローラ114は、RF電源112と116を活性化及び不活性化するタイミング及びレベル、並びに第1及び第2整合ネットワーク110と118を同調させるタイミング及びレベルを制御する。アンテナアセンブリ104に結合された電力は、ソース電力として知られ、陰極台座120に結合された電力は、バイアス電力として知られる。
いくつかの実施形態では、リンク140は、第1及び第2RF電源112、116を結合するために提供され、これによって一方の電源の動作を他方に同期させるのを促進することができる。いずれか一方のRF電源は、リード又はマスターRF発生器とすることができ、他方の発生器は従う、又はスレーブである。リンク140は、第1及び第2RF電源112、116を、完全に同期して、又は所望のオフセット又は位相差で操作するのを更に促進することができる。
第1指示装置又はセンサ150及び第2指示装置又はセンサ152は、いくつかの実施形態では、プラズマ124に整合する整合ネットワーク110、118の能力の有効性を判断するために使用される。いくつかの実施形態では、指示装置150及び152は、それぞれの整合ネットワーク110、118から反射された反射電力を監視する。これらの装置は、一般的に、整合ネットワーク110、118、又は電源112、115内に統合される。しかしながら、説明の目的のために、それらは整合ネットワーク110とは別個のものとしてここでは示されている。反射電力が指標として使用される場合、装置150及び152は、電源112、116と整合ネットワーク110及び118との間に結合される。反射電力を示す信号を生成するために、装置150及び152は、整合有効性指示信号が反射電力の大きさを表す電圧となるようにRF検出器に結合された方向性結合器である。大きな反射電力は、不整合状況を示す。装置150及び152によって生成された信号は、コントローラ114に結合される。指示信号に応答して、コントローラ114は、整合ネットワーク110、118に結合された同調信号(整合ネットワーク制御信号)を生成する。この信号は、整合ネットワーク110、118内のコンデンサ又はインダクタを同調させるために使用される。同調プロセスは、指示信号に表されるように、例えば、反射電力の特定のレベルを最小化又は達成するように努める。整合ネットワーク110、118は、通常、プラズマからの反射電力を特定の定常状態に最小化するために、約100マイクロ秒〜約数ミリ秒を必要とする可能性がある。
図2は、例えば、第1RF整合ネットワーク110又は第2RF整合ネットワーク118として使用される例示的な整合ネットワークの概略図を示す。図2に示される整合ネットワークは、本開示の実施形態で使用することができる整合ネットワークの1つのタイプの単なる一例である。整合ネットワークの他の設計が、本開示の実施形態で使用されてもよい。図2の特定の実施形態は、シングル入力200及びデュアル出力(すなわち、メイン出力202及び補助出力204)を有する。各出力は、2つのアンテナのうちの一方を駆動するために使用される。整合回路206は、C1、C2、及びL1によって形成され、容量性電力分配器208は、C3及びC4によって形成される。容量性分配器の値は、各アンテナに供給される電力の特定の量を確立するために設定される。機械的又は自動同調モードでは、コンデンサC1及びC2の値は、ネットワーク110の整合を調整するように、自動的に同調される。いくつかの実施形態では、自動同調モードの間、コンデンサは、反射電力を最小化するように調整することができる。値は、C1とC2のいずれか又は両方の位置を調整することによって同調させることができる。C1又はC2のいずれか又は両方は、ネットワークの動作を調整するように同調させることができる。ホールドモードでは、位置、すなわちC1とC2の値は、固定保持される。
整合ネットワークの他の実施形態は、同調可能なインダクタ、又は、可変又は固定要素(例えば、コンデンサ及びインダクタ)の異なるトポロジーを有することができる。ネットワーク110によって整合されたソース電力は、約13.56MHzであり、最大約3000ワットの電力レベルを有する。このような整合ネットワークは、コロラド州フォートコリンズのAE社(AE,Inc.)製のモデルNAVIGATOR 3013ーICP85の下で利用可能である。整合ネットワークの更に他の様々な構成は、本明細書に提供される教示に従って利用することができる。図1を再び参照すると、コントローラ114は、中央処理装置(CPU)130と、メモリ132と、サポート回路134を含む。コントローラ114は、プラズマ強化基板処理システム100の様々なコンポーネントに結合され、これによってプロセス(例えば、エッチングプロセス又は他の適切なプラズマ強化基板処理)の制御を促進する。コントローラ114は、アナログ、デジタル、ワイヤ、ワイヤレス、光学、及び光ファイバインターフェースとして広く記述することができるインターフェースを介して処理チャンバ内の処理を調節し監視する。以下に説明するように、処理チャンバの制御を容易にするために、CPU130は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用可能な汎用コンピュータプロセッサの任意の形態のうちの1つとすることができる。メモリ132は、CPU130に結合される。メモリ132又はコンピュータ可読媒体は、1以上の容易に入手可能なメモリ装置(例えば、ランダムアクセスメモリ、リードオンリーメモリ、フロッピー(商標名)ディスク、ハードディスク、又は任意の他の形態のローカル又はリモートのデジタルストレージ)とすることができる。サポート回路134は、従来の方法でプロセッサをサポートするためにCPU130に結合される。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路、及び関連するサブシステム等を含む。
エッチング又は他のプロセス命令は、一般的に、典型的にプロセスレシピとして知られるソフトウェアルーチンとしてメモリ132内に格納されている。ソフトウェアルーチンはまた、CPU130によって制御されるハードウェアから離れて位置する第2のCPU(図示せず)によって格納及び/又は実行されることができる。ソフトウェアルーチンは、CPU130によって実行されると、基板処理(例えば、エッチング処理)中にプラズマを制御するようにシステム動作を制御する特定の目的のコンピュータ(コントローラ)114に汎用コンピュータを変える。本開示のプロセスは、ソフトウェアルーチンとして実装することができるが、本明細書内で開示される方法ステップのいくつかは、ハードウェア内で、並びにソフトウェアコントローラによって実行されてもよい。このように、本開示の実施形態は、コンピュータシステム上で実行されるようにソフトウェア内に実装されてもよく、特定用途向け集積回路又は他のタイプのハードウェア実装としてハードウェア内に実装されてもよく、又はソフトウェアとハードウェアとの組み合わせであってもよい。
従来の整合ネットワーク及び発生器は、典型的には、各々が独立しているそれぞれのシステムを同調するために使用される制御アルゴリズムを含む。したがって、各々のアルゴリズムは、それらの両方が発生器への反射電力を低減させることを目的とすべき時間又は方法に関して、他にリンクされない。そのようなリンクの欠如は、2つの同調アルゴリズム間に重大な競合を引き起こす可能性があるため、システムの不安定性を引き起こす可能性がある。この問題を克服するために、本開示のいくつかの実施形態では、統合された整合ネットワークは、周波数同調機能を有するRF発生器(例えば、第1又は第2RF電源112又は116)の中に組み込むことができ、一方、RFサイクルによって整合ネットワーク並びに周波数を同調するために用いられるアルゴリズムは、両方とも発生器の出力で(例えば、共用センサを用いて)測定されるのと同じ測定値に基づいて制御することができる。そうすることによって、2つの独立したアルゴリズム間の競合を解消することができ、プラズマリアクタのための操作のウィンドウを増加させることができる。いくつかの実施形態では、第1RF電源112及び第1整合ネットワーク110(及び/又は第2RF電源116及び第2整合ネットワーク118)は、物理的に統合する、又は単に装置の組に対して同調プロセスを指示するコントローラを共有し、これによって2つの間の同調競合を解消し、システム全体の同調効率を最大にすることができる。いくつかの実施形態では、第1RF電源112及び第1整合ネットワーク110(及び/又は第2RF電源116及び第2整合ネットワーク118)は、反射電力を読み取るための共通のセンサを単に共有することができ、これによってそれらは少なくとも同調して、同じ読みの反射電力を最小限に抑える。
図3及び図4は、高速かつ再現性のあるプラズマ点火と、プラズマ処理の広い範囲に亘ってプラズマのインピーダンスをRFソース発生器のインピーダンスに整合するのとを容易にするために、経時的に独立して制御される、又は所定の値に設定されることが可能な変数の図を示す。図3及び図4は、RFソース発生器(例えば、第1RF電源112)及び同調可能な整合ネットワーク(すなわち、整合ボックス)(例えば、第1整合ネットワーク110)用の時間非依存の操作パラメータを示す。これらのパラメータは切り離され、独立して制御することができる。RFソース発生器は、周波数掃引(又は周波数同調)モードで動作させることができる。整合ネットワーク(すなわち、整合ボックス)は、自動同調モード又は(整合ネットワークが整合器内のコンポーネントの値/位置を固定し、反射電力を最小化するように同調しない)ホールドモードで動作させることができる。これらの各モード間の切り替えは、独立して制御することができ、これによって反射電力を最小限に抑え、広いプロセスウィンドウに亘ってプラズマ処理中にプラズマ処理を安定化するのを容易にすることができる。
図3及び図4では、fは、TstartでのRFソース発生器の開始RF周波数であり、Tvar_freqは、Tstartで開始された電源投入、電力レベルの変更、又は他の遷移後にRFソース発生器周波数の同調を可能にする間の時間であり、Tfreq_rampは、RFソース発生器の周波数がf又は他の既知の周波数値に遷移して戻る間の時間であり、Tholdは、ホールドモードに固定される整合ネットワークに対する時間であり、POSは、整合ネットワークの初期固定値/位置(例えば、いくつかの実施形態では、整合ネットワーク内のコンデンサの固定された初期位置)である。
図4では、周波数のタイミング図が、いくつかの実施形態に係る同調可能な整合回路及びRF発生器によって提供される。図4において、RF発生器は、発生器の開始RF周波数をfとして、時刻Tstartで、電力の出力を開始する、又はその出力レベルを変更する。いくつかの実施形態では、このような圧力変化としてプラズマ遷移(例えば、圧力の変更)が、Tstartでチャンバ内で開始される。いくつかの実施形態では、開始RF周波数fは、発生器の中心周波数の5%〜10%の範囲内とすることができる既知の所定の値である。いくつかの実施形態では、発生器の中心周波数は、約2MHz、13.56MHz又はそれ以上とすることができる。
このとき、整合ボックスのコンデンサ/インダクタは、固定位置/値(Pos)にホールドされ、一方、発生器の周波数は、反射電力を最小化するように同調することができる。いくつかの実施形態では、最小化された反射値は、プロセス及びハードウェアの要件に応じて、順方向電力の約0%〜約20%とすることができる。いくつかの実施形態では、整合ネットワークの動作を適切に制御すれば、可能な最低反射電力を提供することができる。すなわち、2つの主モード:自動同調モード又はホールドモード(例えば、固定位置モード)のうちのいずれかに整合器を制御することができる。
RF発生器の周波数は、Tvar_freqの期間の間、同調することができる。いくつかの実施形態では、Tvar_freqは、約1ミリ秒〜約1秒とすることができる。この期間中に、発生器の周波数は、初期周波数fから離れて移動する。この期間の終了時に、発生器は、周波数fを有する。いくつかの実施形態では、周波数は、非単調な方法でfからfに調整することができる。いくつかの実施形態では、RF周波数fは、fとは約5%〜約10%異なってもよい。fはfよりも高い周波数であるように示されているが、いくつかの実施形態では、fはfより小さくてもよい。いくつかの実施形態では、f、f、及びTvar_freqのうちの少なくとも1つは、点火プロセスを開始する前から既知の所定の値である。他の実施形態では、開始周波数fとTvar_freqは、既知の所定の値であるが、fは既知ではない。いくつかの実施形態では、反射電力は、到達時に、Tvar_freq期間の終了を意味する所定の閾値とすることができる。
時刻Tstart+Tvar_freqでは、RFソース発生器の周波数は、RFソース発生器の開始周波数fに向かって単調に変化して戻り始める。fからfに向かって戻る遷移は、直線状又は任意の他の単調な関係とすることができ、時刻Tfreq_ramp以内に完了する。いくつかの実施形態では、Tfreq_ramp期間は、約10ミリ秒〜約1秒とすることができる。
freq_rampの終わりの周波数は、fに等しくない第3の周波数fとすることができる。いくつかの実施形態では、fは、fに等しい又は実質的に等しくすることができる。いくつかの実施形態では、RF周波数fは、fとは約5%〜約10%異なってもよい。いくつかの実施形態では、第3の周波数fxとTfreq_rampは、ある特定の時刻で明確に定義された最終的なプラズマ及びチャンバの状態に至る、既知の所定の値である。整合ネットワークは、TstartからThold後に、値を移動/調整し同調することができる。いくつかの実施形態では、Thold期間は、約10ミリ秒〜約2秒とすることができる。Tholdは、Tvar_freq後に終了する(すなわち、Thold>Tvar_freq)ように、図3及び図4に示されているが、いくつかの実施形態では、整合ネットワークは、Tvar_freqの間、値を移動/調整し同調することができる(すなわち、Thold<Tvar_freq)。シーケンスが完了した後に、RFソース発生器の周波数は、いくつかの実施形態ではfに等しくすることができる固定周波数fに傾斜して戻り、整合ネットワークは自動的に同調する。
図1〜4に関連して上述した本開示の少なくとも1つの例示的な実施形態に係る方法500は、整合ネットワークを介して処理チャンバに結合されたソースRF電源を用いて、プラズマを点火する、又はプラズマの遷移全体に亘って同調する、及び処理チャンバ内の反射電力を低減させるための一連の工程を有するフローチャートを示す図5に示される。詳細には、方法500は、502で開始し、整合ネットワークはホールドモードにしながら、RF電力を第1周波数でRF電源によって処理チャンバに供給しながら、プラズマ条件の遷移が開始される504へと進む。プラズマの遷移は、RF電力の送出、RF電力レベルの変更、チャンバ内の化学物質又は圧力の変更、又はプラズマに影響する他の遷移によって開始することができる。図3及び図4に関して上述したように、第1周波数をfとすることができる。ホールドモードでは、整合ネットワークの位置及び/又は値は、固定保持される。
506では、RF電源周波数が、第1期間(例えば、Tvar_freq)の間に、第1周波数(例えば、f)から第2周波数(例えば、f)に調整され、これによってRF電源を用いて、プラズマを点火する、又は遷移中に同調する、及び処理チャンバ内の反射電力を低減させる。いくつかの実施形態では、周波数は、第1周波数から第2周波数に非単調な方法で(すなわち、図4に示されるように、第1期間の間に可能な中間周波数と共に)増加又は減少し、プラズマは第1周波数と第2周波数との間のある周波数で点火させることができる。反射電力が、第1期間の間に一定のレベルまで最小化されるまで、周波数は、第2周波数に調整され続けることができる。第1期間の間、整合ネットワークは、ホールドモードに維持される。
508では、周波数が、第2期間(例えば、Tfreq_ramp)の間に第2周波数(例えば、f)から第3周波数(例えば、f)に調整される。第3周波数は、第2周波数とは異なり、いくつかの実施形態では、所定の既知量(例えば、目標値)とすることができる。いくつかの実施形態では、第2期間の間のある時点で、整合ネットワークの動作モードは、(例えば、Thold期間後に、ただし、Thold>Tvar_freq)ホールドモードから自動同調モードに変更し、これによって510でRF電源によって供給された周波数を既知の第3周波数に調整しながら、反射電力を更に低減する。他の実施形態では、第1期間の間のある時点で、整合ネットワークの動作モードは、(例えば、Thold期間後に、ただし、Thold<Tvar_freq)ホールドモードから自動同調モードに変更し、これによって510でRF電源によって供給された周波数を既知の第3周波数に調整する。
方法500は、514で終了する。
上記は、本開示の実施形態を対象としているが、本開示の他の及び更なる実施形態は本開示の基本的範囲を逸脱することなく創作することができる。

Claims (15)

  1. 処理チャンバ内でプラズマ処理するための装置であって、
    周波数同調を有する第1RF電源と、
    第1RF電源に結合された第1整合ネットワークと、
    第1RF電源及び第1整合ネットワークを制御するためのコントローラを含み、コントローラは、
    処理チャンバにRF電力を供給するようにRF電源に指示すること、処理チャンバに送出されるRF電力のレベルを変更するようにRF電源に指示すること、又は処理チャンバ内の圧力を変化させることのうちの少なくとも1つによってプラズマの遷移を開始し、RF電源は、第1周波数で動作し、整合ネットワークは、ホールドモードにあり、
    プラズマを点火するために第1期間の間に第1周波数を第2周波数に調整するようにRF電源に指示し、
    プラズマを維持しながら、第2期間の間に第2周波数を既知の第3周波数に調整するためにRF電源に指示し、
    RF電源によって供給されるRF電力の反射電力を低減するために、整合ネットワークの動作モードを自動同調モードに変更するように構成された装置。
  2. 第1整合ネットワークは、第1RF電源内に組み込まれており、コントローラは、第1RF電源の出力で測定されるように共通センサによって提供される共通の反射電力の測定値に基づいて、RFサイクルによって第1整合ネットワークの同調並びに周波数の両方を制御する、請求項1記載の装置。
  3. 反射電力は、RF電源によって供給される順方向電力の約0%〜20%の間に低減される、請求項1記載の装置。
  4. プラズマが、第1期間の間、RF電源からの反射電力を低減するために点火された後に、第1周波数が第2周波数に調整される、請求項1記載の装置。
  5. 反射電力の大きさは、到達時に第1期間の終了を意味する所定の閾値である、請求項4記載の装置。
  6. 第1期間は、既知の所定値である、請求項1〜5のいずれか1項記載の装置。
  7. 処理チャンバ内でプラズマ処理するためのシステムであって、
    アンテナアセンブリ及び基板支持台を有する処理チャンバと、
    アンテナアセンブリに結合された第1整合ネットワークと、
    第1整合ネットワークに結合された第1RF電源と、
    整合ネットワークと、
    基板支持台に結合された第2整合ネットワークと、
    第2整合ネットワークに結合された第2RF電源と、
    第1RF電源、第1整合ネットワーク、第2RF電源、及び第2整合ネットワークを制御するためのコントローラとを含み、コントローラは、
    処理チャンバにRF電力を供給するように第1RF電源に指示し、第1電源は、第1周波数で動作し、第1整合ネットワークは、ホールドモードにあり、
    プラズマを点火するために第1期間の間に第1周波数を第2周波数に調整するように第1RF電源に指示し、
    プラズマを維持しながら、第2期間の間に第2周波数を既知の第3周波数に調整するように第1RF電源に指示し、
    第1RF電源によって供給されるRF電力の反射電力を低減するために、第1整合ネットワークの動作モードを自動同調モードに変更するように構成されたシステム。
  8. 整合ネットワークを介して処理チャンバに結合されたRF電源を用いて、処理チャンバ内でプラズマ処理するための方法であって、
    処理チャンバにRF電力を供給すること、処理チャンバに送出されるRF電力のレベルを変更すること、又は処理チャンバ内の圧力を変更することのうちの少なくとも1つによって、プラズマ遷移を開始する工程であって、RF電源は、第1周波数で動作し、整合ネットワークは、ホールドモードにある工程と、
    プラズマを点火するために、第1期間の間、RF電源を用いて第1周波数を第2周波数に調整する工程と、
    プラズマを維持しながら、第2期間の間、RF電源を用いて第2周波数を既知の第3周波数に調整する工程と、
    RF電源によって供給されるRF電力の反射電力を低減させるために、整合ネットワークの動作モードを自動同調モードに変更する工程とを含む方法。
  9. 整合ネットワークは、第1期間の間、ホールドモードに維持される、請求項8記載の方法。
  10. 整合ネットワークの動作モードは、反射電力を低減するために、自動同調モードに変更され、一方、第2周波数は、第2期間の間に既知の第3周波数に調整される、請求項8記載の方法。
  11. 整合ネットワークの動作モードは、第1期間の間に自動同調モードに変更される、請求項8記載の方法。
  12. 第1期間の間にRF電源からの反射電力を低減するためにプラズマが点火された後に、第1周波数が第2周波数に調整される、請求項8記載の方法。
  13. 反射電力の大きさは、到達時に第1期間の終了を意味する所定の閾値である、請求項12記載の方法。
  14. 反射電力は、RF電源によって供給される順方向電力の約0%〜20%の間に低減される、請求項8〜13のいずれか1項記載の方法。
  15. 第1期間は、既知の所定値である、請求項8〜13のいずれか1項記載の方法。
JP2016519526A 2013-06-17 2014-05-29 プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための装置および方法 Active JP6449260B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361835847P 2013-06-17 2013-06-17
US61/835,847 2013-06-17
US14/287,480 US20140367043A1 (en) 2013-06-17 2014-05-27 Method for fast and repeatable plasma ignition and tuning in plasma chambers
US14/287,480 2014-05-27
PCT/US2014/039965 WO2014204627A1 (en) 2013-06-17 2014-05-29 Method for fast and repeatable plasma ignition and tuning in plasma chambers

Publications (3)

Publication Number Publication Date
JP2016528667A true JP2016528667A (ja) 2016-09-15
JP2016528667A5 JP2016528667A5 (ja) 2018-06-28
JP6449260B2 JP6449260B2 (ja) 2019-01-09

Family

ID=52018200

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016519526A Active JP6449260B2 (ja) 2013-06-17 2014-05-29 プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための装置および方法

Country Status (5)

Country Link
US (1) US20140367043A1 (ja)
JP (1) JP6449260B2 (ja)
CN (1) CN105247967B (ja)
TW (1) TWI645441B (ja)
WO (1) WO2014204627A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200034554A (ko) * 2018-09-21 2020-03-31 가부시키가이샤 아도테쿠 프라즈마 테쿠노로지 고주파 전원 시스템에 설치되는 임피던스 정합장치
KR20200115186A (ko) 2019-03-26 2020-10-07 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
JP2021523544A (ja) * 2018-05-17 2021-09-02 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッドBeijing NAURA Microelectronics Equipment Co.,LTD 無線周波数電源のパルス変調のためのシステムおよび方法ならびにその反応室
JP7489894B2 (ja) 2020-10-20 2024-05-24 東京エレクトロン株式会社 プラズマ生成装置、プラズマ処理装置及びプラズマ処理方法

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11335540B2 (en) * 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11081316B2 (en) * 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN106711005B (zh) * 2015-11-13 2019-02-19 北京北方华创微电子装备有限公司 半导体加工设备及等离子体产生方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108271308A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 一种在电感耦合等离子体处理装置内点燃等离子体的方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102475069B1 (ko) * 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US11290080B2 (en) 2017-11-29 2022-03-29 COMET Technologies USA, Inc. Retuning for impedance matching network control
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109994360B (zh) * 2017-12-29 2021-06-01 中微半导体设备(上海)股份有限公司 一种等离子体射频调节方法及等离子处理装置
CN109994354B (zh) * 2017-12-29 2021-07-13 中微半导体设备(上海)股份有限公司 一种等离子体射频调节方法及等离子处理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11749504B2 (en) * 2018-02-28 2023-09-05 Applied Materials, Inc. Methods and apparatus for common excitation of frequency generators
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10672590B2 (en) * 2018-03-14 2020-06-02 Lam Research Corporation Frequency tuning for a matchless plasma source
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
DE102018204587B4 (de) * 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP2020071912A (ja) * 2018-10-29 2020-05-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20220053547A (ko) 2019-08-28 2022-04-29 코멧 테크놀로지스 유에스에이, 인크. 고전력 저주파 코일들
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP7412268B2 (ja) * 2020-05-11 2024-01-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11749505B2 (en) * 2021-02-23 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09161994A (ja) * 1995-12-07 1997-06-20 Pearl Kogyo Kk 放電プラズマ発生用高周波電源装置及び半導体製造装置
JP2000048999A (ja) * 1998-07-31 2000-02-18 Kem Kk プラズマ処理における高周波電源ならびに圧力計の検査 方法
WO2005031839A1 (ja) * 2003-09-30 2005-04-07 Tokyo Electron Limited プラズマ処理システム
JP2006310245A (ja) * 2005-02-25 2006-11-09 Daihen Corp 高周波電源装置および高周波電源の制御方法
US20090284156A1 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521302B1 (en) * 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
CN101630624B (zh) * 2003-12-18 2011-10-26 应用材料公司 双频rf匹配
US7550390B2 (en) * 2006-01-04 2009-06-23 Macronix International Co., Ltd Method and apparatus for dielectric etching during integrated circuit fabrication
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US8828259B2 (en) * 2011-07-07 2014-09-09 Lam Research Corporation Methods for automatically determining capacitor values and systems thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09161994A (ja) * 1995-12-07 1997-06-20 Pearl Kogyo Kk 放電プラズマ発生用高周波電源装置及び半導体製造装置
JP2000048999A (ja) * 1998-07-31 2000-02-18 Kem Kk プラズマ処理における高周波電源ならびに圧力計の検査 方法
WO2005031839A1 (ja) * 2003-09-30 2005-04-07 Tokyo Electron Limited プラズマ処理システム
JP2006310245A (ja) * 2005-02-25 2006-11-09 Daihen Corp 高周波電源装置および高周波電源の制御方法
US20090284156A1 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021523544A (ja) * 2018-05-17 2021-09-02 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッドBeijing NAURA Microelectronics Equipment Co.,LTD 無線周波数電源のパルス変調のためのシステムおよび方法ならびにその反応室
JP7100717B2 (ja) 2018-05-17 2022-07-13 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 無線周波数電源のパルス変調のためのシステムおよび方法ならびにその反応室
US11749502B2 (en) 2018-05-17 2023-09-05 Beijing Naura Microelectronics Equipment Co., Ltd. System and method for pulse modulation of radio frequency power supply and reaction chamber thereof
KR20200034554A (ko) * 2018-09-21 2020-03-31 가부시키가이샤 아도테쿠 프라즈마 테쿠노로지 고주파 전원 시스템에 설치되는 임피던스 정합장치
KR102186935B1 (ko) * 2018-09-21 2020-12-04 가부시키가이샤 아도테쿠 프라즈마 테쿠노로지 고주파 전원 시스템에 설치되는 임피던스 정합장치
US11651938B2 (en) 2018-09-21 2023-05-16 Adtec Plasma Technology Co., Ltd. Impedance matching device provided in high-frequency power system
KR20200115186A (ko) 2019-03-26 2020-10-07 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
JP7489894B2 (ja) 2020-10-20 2024-05-24 東京エレクトロン株式会社 プラズマ生成装置、プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
CN105247967A (zh) 2016-01-13
CN105247967B (zh) 2019-10-08
US20140367043A1 (en) 2014-12-18
TWI645441B (zh) 2018-12-21
WO2014204627A1 (en) 2014-12-24
TW201505067A (zh) 2015-02-01
JP6449260B2 (ja) 2019-01-09

Similar Documents

Publication Publication Date Title
JP6449260B2 (ja) プラズマチャンバ内での高速で再現性のあるプラズマの点火及び同調のための装置および方法
US8264154B2 (en) Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US10468233B2 (en) RF power delivery regulation for processing substrates
US6818562B2 (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US9754767B2 (en) RF pulse reflection reduction for processing substrates
TWI538569B (zh) 用於調諧匹配網路之方法與裝置
US9595423B2 (en) Frequency tuning for dual level radio frequency (RF) pulsing
KR101478626B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US10854427B2 (en) Radio frequency (RF) pulsing impedance tuning with multiplier mode
US9614524B1 (en) Automatic impedance tuning with RF dual level pulsing
CN111801767B (zh) 用于频率产生器的共同激励的方法与设备
TWI837114B (zh) 用於頻率產生器的共同激勵的方法與設備

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170428

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180419

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20180518

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181205

R150 Certificate of patent or registration of utility model

Ref document number: 6449260

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250