CN101897016A - 半导体装置的制造方法、半导体装置、电子机器、半导体制造装置及存储介质 - Google Patents

半导体装置的制造方法、半导体装置、电子机器、半导体制造装置及存储介质 Download PDF

Info

Publication number
CN101897016A
CN101897016A CN200980101317.1A CN200980101317A CN101897016A CN 101897016 A CN101897016 A CN 101897016A CN 200980101317 A CN200980101317 A CN 200980101317A CN 101897016 A CN101897016 A CN 101897016A
Authority
CN
China
Prior art keywords
metal
film
substrate
manufacture method
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200980101317.1A
Other languages
English (en)
Inventor
松本贤治
伊藤仁
佐藤浩
小池淳一
根石浩司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Tokyo Electron Ltd
Original Assignee
Tohoku University NUC
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Tokyo Electron Ltd filed Critical Tohoku University NUC
Publication of CN101897016A publication Critical patent/CN101897016A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供如下的半导体装置的制造方法,即,在基板上的形成了凹部的层间绝缘膜的露出面形成屏蔽膜,在凹部内形成与下层侧的金属配线电连接的金属配线时,可以形成阶梯覆盖性良好的屏蔽膜,而且可以抑制配线电阻的上升。在将向形成于层间绝缘膜的凹部的底面露出的下层侧的铜配线的表面的氧化膜还原或蚀刻,除去该铜配线的表面的氧后,通过供给含有锰而不含有氧的有机金属化合物,而在凹部的侧壁及层间绝缘膜的表面等含有氧的部位选择性地生成作为自形成屏蔽膜的氧化锰,另一方面,在铜配线的表面不生成该氧化锰。其后,向该凹部中嵌入铜。

Description

半导体装置的制造方法、半导体装置、电子机器、半导体制造装置及存储介质
技术领域
本发明涉及向形成于层间绝缘膜的凹部嵌入铜而形成铜配线的半导体装置的制造方法、利用该方法制造的半导体装置、具备该半导体装置的电子机器、半导体制造装置及存储有上述方法的存储介质。
背景技术
半导体装置的多层配线结构是通过向层间绝缘膜中嵌入金属配线而形成的,作为该金属配线的材料,由于电迁移小且电阻低,因此使用铜(Cu),作为其形成工艺,普遍采用的是镶嵌(damascene)工序。另外,作为层间绝缘膜,采用低介电常数材料,例如使用由含有硅(Si)及氧(O)或碳(C)的硅化合物构成的膜,例如SiO、SiOF、SiC、SiOC、SiCOH、SiCN、多孔氧化硅、多孔甲基倍半硅氧烷、聚芳撑、SiLK(注册商标)、或氟碳等。
该镶嵌工序中,首先,将例如由CF系的气体和氧气构成的处理气体等离子体化而蚀刻层间绝缘膜,形成由用于在层内嵌入被围上的配线的沟槽、和用于嵌入将上下的配线连接的连接配线的通孔构成的凹部。其后,通过进行例如使用了氧气的等离子体的灰化处理,而将在蚀刻处理中作为掩模使用的例如由有机物构成的光刻胶掩模除去。此外,由于在基板的侧面、背面,附着有因这些等离子体处理而生成的作为副产物的残渣,因此为了除去该残渣,将基板浸渍于例如氢氟酸(HF)水溶液(氟化氢酸)中而进行湿式清洗。其后,向凹部中利用CVD法或电镀法等嵌入Cu。
另外,在利用CVD法的情况下为了良好地进行铜的嵌入,优选沿着上述层间绝缘膜表面及其凹部内面形成极薄的铜种子层,另外,在利用电镀法的情况下,需要在上述层间绝缘膜表面及凹部中形成成为电极的铜种子层。另外,由于铜容易向层间绝缘膜中扩散,因此需要在凹部内形成用于抑制铜扩散的屏蔽膜。
所以,以往是例如利用溅射法形成例如Ta/TaN等屏蔽膜和铜的种子层。但是,随着配线密度变高,凹部的开口直径变小,在此种溅射法中,凹部的阶梯覆盖性(step-coverage)特性逐渐变差,难以附着于凹部的侧壁上。另外,由于将该溅射进行2次(是指Ta和TaN),因此形成厚膜,难以应对配线密度的微细化。在日本JP2005-277390A(特别是0018~0020段)中,记载有如下的技术,即,通过在凹部内利用溅射法形成锰(Mn)膜,然后在形成铜膜后对该基板进行退火处理,而形成由氧化锰(MnOx(x:任意的正数)构成的自形成屏蔽膜和铜的配线层。残留于金属配线内的剩余的锰因该退火处理而向该金属配线的上层扩散,被其后的CMP(Chemical Mechanical Polishing)工序除去。此种方法中,因上述的退火处理而使锰与层间绝缘膜中所含的例如氧反应,生成氧化锰,由于该氧化锰作为屏蔽膜形成于层间绝缘膜与金属配线之间的界面中,因此可以得到极薄的屏蔽膜。
但是,如前所述,此种溅射法中,与凹部的侧壁相比在底面形成较多的锰膜。因此,在凹部的侧壁中未充分地附着锰,有可能无法得到必需的屏蔽性能。另外,如果在凹部的底面中,残留较多的锰,则即使进行上述的退火处理,也很难去掉该锰。由于该锰与铜相比电阻更大,因此如果在金属配线内残留该锰,则会成为配线电阻上升的原因。
另外,由于在已述的等离子体处理中作为处理气体使用氧气,因此向凹部的底面露出的金属配线的表面会被该氧气的等离子体氧化。另外,由于其后的湿式清洗是在大气中进行的,因此会在该金属配线的表面进一步形成自然氧化膜。当针对该基板形成上述的自形成屏蔽膜时,该氧化膜中的氧与锰就会反应,成为氧化锰。该氧化锰为绝缘体,成为配线电阻上升的很大的原因,另外由于是钝态的,因此缺乏反应性,为将其除去需要追加穿通等工序。
在已述的日本JP2005-277390A、以及日本JP2007-67107A(特别是图3-1、0028~0029段、0037段)及日本JP11-200048A(特别是0026段、0036~0038段)中,记载有在形成于层间绝缘膜的凹部内形成由铜和锰的化合物等构成的膜的技术,然而对于形成于金属配线的表面的氧化膜没有探讨。
而且,虽然氧化锰根据Mn的价数存在MnO、Mn3O4、Mn2O3、MnO2等种类,然而在这里将它们统一地记述为MnOx(x:任意的正数)
发明内容
本发明是基于此种情况完成的,其目的在于,提供如下的半导体装置的制造方法、半导体制造装置及存储介质,即,在基板上的形成了凹部的层间绝缘膜的露出面上形成屏蔽膜,在凹部内形成与下层侧的金属配线电连接的金属配线时,可以形成阶梯覆盖性良好的屏蔽膜,而且可以抑制配线电阻的上升。
本发明的半导体装置的制造方法的特征在于,包括:
工序(a),对具有形成了凹部的层间绝缘膜、和以第一金属作为主成分而向凹部的底面露出的下层金属配线的基板,供给含有第二金属的有机金属化合物的蒸气,通过上述含有第二金属的有机金属化合物与上述层间绝缘膜的成分的一部分反应,而在上述层间绝缘膜的露出面形成作为上述第二金属的化合物的防止第一金属扩散的屏蔽膜;
工序(b),然后在上述凹部内嵌入以第一金属作为主成分的金属配线。
优选在上述屏蔽膜形成工序(a)中,通过上述下层金属配线不含有与上述含有第二金属的有机金属化合物反应而形成第二金属的化合物的成分,而不在上述向凹部的底面露出的下层金属配线上形成屏蔽膜。
优选在形成上述屏蔽膜的工序(a)之前,进行工序(c),即,将向形成于上述基板上的上述层间绝缘膜的凹部的底面露出的下层侧的以第一金属作为主成分的金属配线的表面的金属氧化物还原或蚀刻,除去或减少该金属配线的表面的氧。
优选在上述形成屏蔽膜的工序(a)与上述嵌入以第一金属作为主成分的金属配线的工序(b)之间,进行在上述层间绝缘膜的表面及上述凹部内形成由上述第一金属构成的种子层的工序。
上述层间绝缘膜优选含有氧或碳。
上述层间绝缘膜的表面附近或上述层间绝缘膜中的成分的一部分优选为氧、或水等含有氧原子的化合物、或碳。
上述第一金属优选为选自Al、Cu、Ag中的一种以上的金属。
上述第二金属优选为选自Mg、Al、Ti、V、Cr、Mn、Ni、Ge、Y、Zr、Nb、Tc、Rh、Pd、Sn、Re、Pt中的一种以上的金属。
优选在形成上述屏蔽膜的工序(a)中,将上述基板加热。
上述含有第二金属的有机金属化合物优选不含有氧。
上述含有第二金属的有机金属化合物优选因氧的存在而发生分解反应。
上述层间绝缘膜优选由选自SiO膜、SiOF膜、SiC膜、SiOC膜、SiCOH膜、SiCN膜、多孔氧化硅膜、多孔甲基倍半硅氧烷膜、聚芳撑膜、SiLK(注册商标)膜和氟碳膜中的一种以上的膜构成。
上述含有第二金属的有机金属化合物优选由选自Cp2Metal[=Metal(C5H5)2]、(MeCp)2Metal[=Metal(CH3C5H4)2]、(Me5Cp)2Metal[=Metal((CH3)5C5H4)2]、(EtCp)2Metal[=Metal(C2H5C5H4)2]、(i-PrCp)2Metal[=Metal(C3H7C5H4)2]、(t-BuCp)2Metal[=Metal(C4H9C5H4)2]、Metal(DMPD)(EtCp)[=Metal(C7H11C2H5C5H4)](这里,Metal表示所述第二金属元素)中的一种以上的有机金属化合物构成。
优选上述第一金属为Cu,上述第二金属为Mn。
也可以在形成上述屏蔽膜的工序(a)之后,进行如下的工序,即,将上述基板加热,并且边将含有上述第二金属的有机金属化合物的蒸气向上述基板供给、边开始向上述基板供给含有上述第一金属的有机金属化合物的蒸气,通过逐渐增加含有该第一金属的有机金属化合物的蒸气的供给量,而在上述屏蔽膜的上层形成上述第一金属相对于上述第二金属的比例随着接近表层慢慢地增加的密合层。
上述下层侧的金属配线的表面的金属氧化物可以是在将上述基板进行大气中搬送时生成的,或者是通过蚀刻处理而生成的,上述蚀刻处理是在上述除去或减少氧的工序(c)之前进行的,对上述层间绝缘膜供给含有氧的处理气体的等离子体而形成凹部。
上述除去或减少氧的工序(c)优选为对上述凹部供给有机酸的工序,或者是对上述凹部供给氢的热处理工序、或氩溅射蚀刻工序。上述有机酸优选为甲酸。
也可以在嵌入金属配线的工序(b)之后,进行热处理(退火)工序(d)。
上述形成屏蔽膜的工序(a)也可以包含将上述基板加热到100℃以上且小于500℃的工序。
上述屏蔽膜优选为无定形状,另外膜厚优选为5nm以下。
本发明的半导体装置的特征在于,是利用上述的半导体装置的制造方法制造的。
本发明的电子机器的特征在于,具备上述的半导体装置。
本发明的半导体制造装置是用于实施上述的制造方法的半导体制造装置,其特征在于,具备:
真空搬送室模块,其具备基板被搬入的真空环境的搬送室、和设于该搬送室内的基板搬送机构;
屏蔽膜形成模块,其具备与上述真空搬送室模块气密性地连接并在内部设有载放基板的载放台的处理容器、和将含有第二金属的有机金属化合物的蒸气向上述基板供给的机构,通过上述基板上的层间绝缘膜的成分的一部分、与上述含有第二金属的有机金属化合物反应,而在该层间绝缘膜的露出面形成作为上述第二金属的化合物的防止第一金属的扩散的屏蔽膜;
第一金属配线形成模块,其具备与上述真空搬送室模块气密性地连接并在内部设有载放基板的载放台的处理容器、将上述基板加热的机构、和将以第一金属作为主成分的原料蒸气向上述基板供给而在上述凹部内嵌入以上述第一金属作为主成分的原料的第一金属配线形成机构;
控制部,其按照将搬入了上述真空搬送室模块的基板向上述屏蔽膜形成模块搬送,然后介由上述真空搬送室模块向上述第一金属配线形成模块搬送上述基板的方式,控制上述基板搬送机构。
优选在上述真空搬送室模块处,气密性地连接在内部具备还原机构或除去机构的前处理模块,上述还原机构或除去机构将向形成于上述基板上的上述层间绝缘膜中的凹部的底面露出的下层侧的以第一金属作为主成分的金属配线的表面的金属氧化物还原或蚀刻,上述控制部按照在将上述基板向上述屏蔽膜形成模块搬送之前,介由上述真空搬送室模块将上述基板向上述前处理模块搬送的方式,控制上述基板搬送机构。
优选在上述真空搬送室模块处,气密性地连接在内部具备种子层形成机构的种子层形成模块,上述种子层形成机构用于在上述层间绝缘膜的表面及上述凹部内形成以上述第一金属作为主成分的种子层,上述控制部按照在将上述基板搬送到上述屏蔽膜形成模块后,向上述第一金属配线形成模块搬送之前,介由上述真空搬送室模块将上述基板向上述种子层形成模块搬送的方式,控制上述基板搬送机构。
上述屏蔽膜形成模块的处理容器也可以兼用作上述第一金属配线形成模块的处理容器。
上述层间绝缘膜优选含有氧或碳。
上述层间绝缘膜的表面附近或上述层间绝缘膜中的成分的一部分优选为氧、或水等含有氧原子的化合物、或碳。
上述第一金属优选为选自Al、Cu、Ag中的一种以上的金属。
上述第二金属优选为选自Mg、Al、Ti、V、Cr、Mn、Ni、Ge、Y、Zr、Nb、Tc、Rh、Pd、Sn、Re、Pt中的一种以上的金属。
上述屏蔽膜形成模块也可以具备将基板加热的机构。
上述含有第二金属的有机金属化合物优选不含有氧,另外优选因氧的存在而发生分解反应。
上述层间绝缘膜优选由选自SiO膜、SiOF膜、SiC膜、SiOC膜、SiCOH膜、SiCN膜、多孔氧化硅膜、多孔甲基倍半硅氧烷膜、聚芳撑膜、SiLK(注册商标)膜和氟碳膜中的一种以上的膜构成。
上述含有第二金属的有机金属化合物优选由选自Cp2Metal[=Metal(C5H5)2]、(MeCp)2Metal[=Metal(CH3C5H4)2]、(Me5Cp)2Metal[=Metal((CH3)5C5H4)2]、(EtCp)2Metal[=Metal(C2H5C5H4)2]、(i-PrCp)2Metal[=Metal(C3H7C5H4)2]、(t-BuCp)2Metal[=Metal(C4H9C5H4)2]、Metal(DMPD)(EtCp)[=Metal(C7H11C2H5C5H4)](这里,Metal表示上述第二金属元素)中的一种以上的有机金属化合物构成。
优选上述第一金属为Cu,上述第二金属为Mn。
上述还原机构或除去机构优选为对上述凹部供给有机酸的机构,或者是对上述凹部供给氢的机构、或进行氩溅射蚀刻的机构。上述有机酸优选为甲酸。
优选在上述真空搬送室模块处,气密性地连接在内部具备将基板加热的加热机构的退火单元,上述控制部按照在将上述基板搬送到上述第一金属配线形成模块后,介由上述真空搬送室模块将上述基板向上述退火单元搬送的方式,控制上述基板搬送机构。
上述屏蔽膜形成模块也可以具备将上述基板加热到100℃以上且小于500℃的加热机构。
上述屏蔽膜优选为无定形状,另外膜厚优选为5nm以下。
本发明的存储介质的特征在于,用于对基板进行处理的半导体制造装置,存入了在计算机上工作的计算机程序
上述计算机程序编写有步骤组以实施上述半导体装置的制造方法。
根据本发明,在基板上的形成了凹部的层间绝缘膜的露出面形成屏蔽膜,在凹部内形成与下层侧的金属配线电连接的金属配线时,将含有第二金属的有机金属化合物的蒸气向上述基板供给,使上述层间绝缘膜的露出面生长出第二金属化合物,在上述凹部的侧壁及上述层间绝缘膜的上面形成用于抑制以第一金属作为主成分的金属配线的扩散的屏蔽膜。因此,就可以抑制屏蔽膜的膜厚至较薄,另外由于是利用CVD法形成屏蔽膜,因此可以提高阶梯覆盖性。所以,即使凹部的开口宽度窄,也可以形成均匀的屏蔽膜。另外,即使在基板上混杂着例如在凹部的开口宽度方面存在不均的图案,也可以形成此种屏蔽膜。根据该结果,在铜多层配线中,可以从局部配线到全部配线地适用该屏蔽膜。另外,由于可以实现铜多层配线的微细化,因此可以使器件的工作速度提高,并且可以减小芯片尺寸,所以由1片晶片得到的半导体器件芯片的个数增多,这样就可以降低器件的成本。此外,由于器件的工作速度提高,因此可以提高具备该器件的电子计算机的计算速度或信息处理速度。此外,由于利用上述的屏蔽膜,可以抑制第一金属的扩散,因此可以抑制层间绝缘膜的泄漏电流,由于配线的可靠性提高,因此可以延长具备该器件的电子机器的寿命。另外,由于可以用更小的电路来进行必需的计算,因此可以用于手机等要求小型化的信息终端中。
附图说明
图1是表示本发明的半导体装置的制造方法的一例的工序图。
图2是表示上述制造方法的示意图。
图3是表示用于实施上述制造方法的半导体制造装置的一例的平面图。
图4是表示上述半导体制造装置中所含的甲酸处理模块的一例的纵剖侧视图。
图5是表示上述半导体制造装置中所含的Cu-MnOxCVD模块的一例的纵剖侧视图。
图6是表示上述半导体制造装置中所含的等离子体处理装置的一例的纵剖侧视图。
图7是表示在上述的半导体制造装置中应用了退火单元的例子的平面图。
图8是示意性地表示本发明的实施例中所用的晶片的结构的剖面图。
图9是示意性地表示上述的实施例中得到的结果的特性图。
图10是表示针对上述的示意性表示的特性图在实际中拍摄的TEM图像的特性图。
图11是示意性地表示上述的实施例中得到的结果的特性图。
图12是表示针对上述的示意性表示的特性图在实际中拍摄的TEM图像的特性图。
图13是表示在上述的实施例中得到的结果的特性图。
图14是表示在上述的实施例中得到的结果的特性图。
图15是表示在上述的实施例中得到的结果的特性图。
图16是表示在上述的实施例中得到的结果的特性图。
图17是表示在上述的实施例中得到的结果的特性图。
图18是概略性地表示上述的实施例中所用的晶片的纵剖面图。
图19是拍摄上述的晶片的纵剖面而得的TEM照片图。
图20是拍摄上述的晶片的纵剖面而得的TEM照片图。
图21是上述的晶片的纵剖面的示意图。
图22是表示该实施例中得到的结果的特性图。
图23是表示上述的实施例中得到的铜膜的电阻率的特性图。
具体实施方式
(晶片的构成)
对于本发明的半导体装置的制造方法的实施方式,参照与VFTL(Via First Trench Last)的DD(Dual Damascene)工序对应的图1及图2进行说明。首先,对作为本发明的制造方法中所用的基板的半导体晶片(以下称作“晶片”)W进行说明。如图1(a)所示,在设于该晶片W的下层侧的配线层10中,作为层间绝缘膜形成有例如含有硅和氧的硅氧化膜11,在该硅氧化膜11内,夹隔着屏蔽膜12嵌入例如以作为第一金属的铜作为主成分的铜配线13。而且,图中17是蚀刻阻止膜。
在该配线层10的上层侧,夹隔着由SiO或SiC等构成的作为绝缘膜的Cu扩散屏蔽兼蚀刻阻止膜14,作为层间绝缘膜形成有硅氧化膜15,其例如以TEOS(Tetra Ethoxy Silane别名Tetraethyl Orthosilicate)等作为原料,使用等离子体CVD法或涂布法成膜,含有氧和硅。在该硅氧化膜15内的膜厚方向的例如中间位置,例如形成有作为硬质掩模的蚀刻阻止膜24,该蚀刻阻止膜24是用于在利用后述的蚀刻处理形成槽21a时,将该槽21a的深度位置设定于该蚀刻阻止膜24的上端位置的膜。在硅氧化膜15的上侧,为了对该硅氧化膜15形成后述的凹部21,层叠有例如由作为有机物的光刻胶掩模、无机物的硬质掩模等构成的牺牲膜16。针对该晶片W,如下所示地形成上层侧的配线层20。
而且,虽然在这里作为层间绝缘膜使用了硅氧化膜11及硅氧化膜15,然而并不限定于此,例如也可以采用由含有硅(Si)及氧(O)或碳(C)的硅化合物构成的膜,例如由选自SiO膜(SiO2膜等)、SiOF膜(含有硅、氧及氟的膜)、SiC膜(含有硅及碳的膜)、SiOC膜(含有硅、氧及碳的膜)、SiCOH膜(含有硅、碳、氧及氢的膜)、SiCN膜(含有硅、碳及氮的膜)、多孔氧化硅膜、多孔甲基倍半硅氧烷膜、聚芳撑膜及SiLK(注册商标)膜或氟碳膜中的一种以上的膜构成,还可以设为将SiOC膜之类的无机膜与聚芳撑之类的有机膜层叠而成的复合结构。另外,作为材料的结构,既可以是致密膜,也可以是具有细孔的多孔膜。将为了提高配线性能而由降低了比介电常数k的值的此种材料构成的膜称作Low-k膜,将由进一步降低了比介电常数k的值的材料构成的膜称作Ultra Low-k:ULK膜。
(晶片的处理)
首先,如图1(b)所示,借助利用了牺牲膜16的例如双镶嵌法,利用蚀刻形成由沟槽的槽21a和通孔21b构成的凹部21。该蚀刻例如是在公知的平行平板型的等离子体处理装置中,将处理气体,例如CF4气体及O2气等等离子体化而进行的。然后,例如在上述的等离子体处理装置中,通过向晶片W供给例如O2气等的等离子体进行灰化处理等,而除去牺牲膜16。这里,将残留于通孔底的Cu扩散屏蔽兼蚀刻阻止膜14利用蚀刻处理除去,而使下层侧的配线层10的铜配线13的表面露出。
其后,为了将因上述的蚀刻处理、灰化处理而附着于凹部21内的残渣除去,进行例如使用了Ar(氩)气体的等离子体的干式清洁。另外,由于在该晶片W的侧面、里面,同样地附着有残渣,因此例如将该晶片W从这些干式工艺的半导体制造装置中搬出而在大气中搬送,搬入到另行准备的湿式工艺的半导体制造装置中,例如在氢氟酸(HF)溶液(=氟化氢酸)中浸渍而进行湿式清洗。通过经由上述的工序,铜配线13的露出面就会因蚀刻工序中的损伤、清洗工序中的损伤、以及在大气中搬送而暴露于与大气中氧的接触中,该露出面被氧化而生成铜氧化物13a。
这里,虽然作为层间绝缘膜使用如上所述的Low-k膜或ULK膜,然而如果也着眼于该层间绝缘膜,则因在蚀刻、灰化、清洗工序中暴露于等离子体或药液中,而受到损伤(分解或变质)。例如,SiOC膜因暴露于氧等离子体中,膜中的Si-CH3基分解而生成Si-OH基。该Si-OH基吸湿性高,成为升高k值的原因,或使屏蔽金属的覆盖性恶化,从而使Cu扩散屏蔽作用降低。
图2(a)是示意性地表示此时的铜氧化物13a及凹部21的样子的图,实际的凹部21的纵横比例如达到2~5左右。而且,同图中,对于已述的蚀刻阻止膜14、24加以省略,另外对于以下说明的图2(b)~(d)也相同,对于蚀刻阻止膜14、24加以省略。
此后,将晶片W再次搬入干式工艺的半导体制造装置内,对该晶片W供给还原剂,例如作为有机酸的羧酸,例如甲酸(HCOOH)的蒸气,进行铜氧化物13a的还原处理。利用该处理,如图1(c)及图2(b)所示,因铜氧化物13a依照以下所示的反应式被还原,或因甲酸的化学的蚀刻作用,在凹部21的底面露出金属铜。
(反应式)
Cu2O+HCOOH→2Cu+H2O+CO2
而且,在该干式工艺的半导体制造装置内的一连串的处理中,晶片W是在真空环境中被搬送的。
然后,将该晶片W例如加热到200℃,并且将含有作为自形成屏蔽用的金属的第二金属,例如锰(Mn)而不含有氧的作为前体(precursor)的有机金属化合物,例如(EtCp)2Mn(双乙基环戊二烯基锰)的蒸气,与例如H2气等载气一起向晶片W供给。由于该有机金属化合物在加热中与氧接触时即分解,另外锰具有容易与氧牢固地结合、与碳也容易结合的性质,因此如图2(c)所示,有机金属化合物或锰与作为硅氧化膜15及蚀刻阻止膜14、24中所含成分的一部分的氧或碳反应,或者与硅氧化膜15及蚀刻阻止膜14、24的表面附近的吸附水分等反应,例如变为氧化锰(MnOx(x:任意的正数))或碳化锰(MnCx(x:任意的正数))或氧化碳化锰(MnCxOy(x、y:任意的正数))25,逐渐附着在该硅氧化膜15及蚀刻阻止膜14、24上。另一方面,在铜配线13的露出面中,由于利用已述的还原处理将氧除去,因此在该铜配线13的表面很难附着有机金属化合物,或者即使附着也很难分解。所以,就形成在短时间内在铜配线13的表面对于金属状的锰及氧化锰(或碳化锰或氧化碳化锰)25的任一种来说都很难附着的倾向,形成仅在含有氧或碳的硅氧化膜15及蚀刻阻止膜14、24上选择性地附着氧化锰(或碳化锰或氧化碳化锰)25的倾向。
由于如上所述地使用气体状的原料,因此该原料在晶片W的附近均匀地扩散,所以通过将该处理持续规定的时间,例如5分钟左右,硅氧化膜15及蚀刻阻止膜14、24的露出面就会被氧化锰(或碳化锰或氧化碳化锰)25相同地覆盖,如图1(d)、图2(d)所示,形成作为自形成屏蔽膜的屏蔽膜26。该屏蔽膜26是用于抑制例如铜等金属从嵌入凹部21的后述的金属铜27向硅氧化膜15的扩散的膜。
这里,由于该氧化锰(或碳化锰或氧化碳化锰)25是钝态的,作为化合物来说是稳定的,因此具有反应性非常低的特征(钝化性)。因该钝化性,而在氧化锰堆积反应中具有自我限制,因此氧化锰25的膜厚不会增加到一定值以上。如果更具体地叙述,则即使向一度堆积了的氧化锰(或碳化锰或氧化碳化锰)25的表面扩散上述的有机金属化合物,例如(EtCp)2Mn,该氧化锰(或碳化锰或氧化碳化锰)25由于化合物的键很牢固而不会断开,锰、碳、氧的扩散速度慢而原子的供给半途中断,因此反应难以推进,另外当达到一定膜厚时就很难施加电场,物质难以离子化,也使反应难以推进,基于这些状况,有机金属化合物基本上不会分解。另外,由于该氧化锰(或碳化锰或氧化碳化锰)25形成无定形结构,不具有像晶体结构那样的晶界,因此基本上不具有原子的扩散路径,具有此种特征也会使反应难以推进,可以看作膜厚不会增加的要因。由此,例如当形成1层的屏蔽膜26时,膜厚基本上就不会增加到其以上的程度,因此该屏蔽膜26就成为膜厚例如为2~5nm左右的极薄的层。
另外,因如上所述在蚀刻、灰化、清洗工序中暴露于等离子体或药液中,层间绝缘膜(尤其是成为Low-k膜或ULK膜那样)经常受到损伤(分解或变质)。对于该损伤的修复来说本工艺也是有效的。例如,暴露于氧等离子体中的SiOC膜虽然膜中的Si-CH3基分解而生成Si-OH基,然而由于Mn具有与C相比更容易与O成键的倾向,因此与因损伤而生成的Si-OH基优先地反应。这样,由于Si-OH基变少,因此可以期待避免SiOC膜的吸湿性变高、或k值升高、或使屏蔽金属的覆盖性恶化而降低Cu扩散屏蔽作用的情况。
此外,由于作为CVD反应,与其说是在接近供给控速的条件下,不如说是在接近反应控速的条件下的工艺,因此屏蔽膜26对硅氧化膜15及蚀刻阻止膜14、24的阶梯覆盖性(stepcoverage)变得极高。即使在作为CVD反应接近供给控速的条件下,由于在氧化锰25的堆积反应中有自我限制,因此形成屏蔽膜26的膜厚均匀性也会提高,另外可以获得良好的阶梯覆盖性。
然后,在将锰的有机金属化合物排出后,将铜的原料,例如作为β二酮铜配合物的Cu(hfac)TMVS的蒸气与载气例如H2气一起,向加热了的晶片W供给。该铜配合物在晶片W的表面分解而变为金属铜27,如图1(e)所示,堆积在包括凹部21内的晶片W的表面。其后,利用CMP(Chemical Mechanical Polishing)除去剩余的铜金属及上面侧的屏蔽膜26,形成上层侧的配线层20。
而且,在堆积了金属铜27后,也可以根据需要实施热处理(退火)工序。在实施热处理(退火)工序的情况下,也可以期待用与以往相比更为低温、短时间的退火工序来完成。其理由是因为,由于在CVD工序中已经加热,且仅堆积对于屏蔽膜的形成来说必需的最低限度的锰(Mn),因此不需要利用退火使剩余的锰(Mn)扩散。
在进行热处理(退火)的情况下,将堆积有上述金属铜27的晶片W例如在规定的浓度的含氧气体的气氛的处理容器内,加热到规定的加工温度,例如100~450℃左右,这样就会在硅氧化膜15与金属铜27的边界部分,可靠地形成自匹配地由氧化锰25构成的屏蔽膜26。而且,也可以采用如下的构成,即,在热处理(退火)时如上所述地向处理容器内供给氧等(氧供给机构等未图示)时,可以将氧分压控制为例如10ppb左右或其以下。
(装置构成)
下面,对于上述的半导体装置的制造方法中所用的半导体制造装置,参照图3~图5进行说明。
图3是例如被称作多腔室系统等的半导体制造装置,同图中从近前侧起,依次将属于大气气氛的第一搬送室72、用于切换真空环境和大气气氛而使晶片W待机的例如左右并排的真空进样室73a、73b以及作为真空搬送室模块的第二搬送室74介由门G气密性地连接。在第一搬送室72的正面侧,沿横向例如在3处设有进样口71,其载放了收纳有多片、例如25片晶片W的密闭型承载架1。另外,在第一搬送室72的正面壁处,设有闸门GT,其连接着载放于进样口71上的承载架1,与该承载架1的盖子一起开闭。在该第一搬送室72的侧面,连接有用于进行晶片W的朝向或偏心的调整的校准室77。
在第二搬送室74处,介由门G分别气密性地连接有各2台后述的甲酸处理模块3、Cu-MnOxCVD模块5及等离子体处理装置6,该第二搬送室74内为了不将已述的铜配线13氧化,例如被设定为真空环境。
在第一搬送室72及第二搬送室74中,分别设有作为基板搬送机构的第一搬送机构75及第二搬送机构76。第一搬送机构75是用于在进样口71、真空进样室73及校准室77之间进行晶片W的转交的搬送臂,被绕着垂直轴自由旋转、自由进退以及沿着进样口71的排列自由移动地构成。第二搬送机构76是用于在真空进样室73与甲酸处理模块3、Cu-MnOxCVD模块5及等离子体处理装置6之间进行晶片W的转交的搬送臂,被绕着垂直轴自由旋转、自由进退以及从进样口71侧看在第二搬送室74内在近前侧与里侧之间自由移动地构成。
接下来,参照图4对已述的作为前处理模块的甲酸处理模块3进行说明。图4中31是形成例如由Al(铝)构成的真空腔室的处理容器,31a是用于进行晶片W的搬入搬出的搬送口。在该处理容器31的底部,设有载放晶片W的载放台32。在该载放台32的表面部,为了将晶片W静电吸附,设有在电介质层33内嵌设卡盘电极34而成的静电卡盘35,从未图示的电源部向该卡盘电极34施加卡盘电压。而且,同图中G为门。
另外,在载放台32的内部设有作为加热机构的加热器36,可以将载放于静电卡盘35处的晶片W加热到规定的温度,例如200℃。在该载放台32处,从载放面自由出入地设有用于在其与第二搬送机构76之间进行晶片W的转交的升降栓37。上述升降栓37介由处理容器31的下方侧的支承构件38与驱动部39连结,由该驱动部39升降而构成。
在处理容器31的上部,与载放台32对向地设有气体喷头41,在该气体喷头41的下面,形成有多个气体供给孔42。另外,在气体喷头41的上面侧,连接着第一气体供给路43的一端侧,其用于供给将已述的铜氧化物13a还原的还原剂,例如作为有机酸的羧酸例如甲酸的蒸气。
第一气体供给路43的另一端侧介由阀V1、作为气体流量调整部的质量流控制器M1及阀V2,与形成还原机构或除去机构的还原剂供给源45连接。在阀V2与质量流控制器M1之间,利用夹设有阀V6的配管43a连接着排气机构43b。按照利用该排气机构43b,将在还原剂供给源45的更换时混入配管(第一气体供给路43)的气体(主要是大气)除去的方式构成。该还原剂供给源45具备例如在外侧设有加热器48的不锈钢制的贮留容器46,在该贮留容器46内,例如贮留有液体状的还原剂。在该贮留容器46处,连接有一端侧在该贮留容器46内的还原剂的液面的下方侧开口的载气供给路49,该载气供给路49的另一端侧介由阀V7、质量流控制器M3及阀V5与用于供给稀释气体、例如Ar(氩)气的稀释气体供给源47连接。所以就会如下地构成,即,利用该加热器48将液体状的还原剂气化,稀释气体作为载气将气体状的还原剂向气体喷头41供给。
在质量流控制器M3与阀V7之间,利用夹设有阀V8的配管43c连接着排气机构43d。按照利用该排气机构43d,将在还原剂供给源45的更换时混入配管(载气供给路49)的气体(主要是大气)除去的方式构成。上述的甲酸的供给方式被称作鼓泡方式,然而由于甲酸具有比较高的蒸气压,因此也可以对将贮留容器46用加热器48加热而得的气体状的还原剂用质量流控制器M1直接进行流量控制,而向气体喷头41供给。
另外,在气体喷头41处,连接有第二气体供给路44的一端侧,该第二气体供给路44的另一端侧介由阀V3、质量流控制器M2及阀V4与已述的稀释气体供给源47连接。在该气体喷头41内,已述的气体状的还原剂与稀释气体被混合,该混合气体被从气体供给孔42向处理容器31内供给。而且,在作为甲酸所接触的部位的处理容器31、气体喷头41、第一气体供给路43、阀V1、质量流控制器M1、阀V2中,设有加热器40,在该甲酸处理模块3中进行晶片W的处理时,按照不使甲酸冷凝的方式加热。
在处理容器31的底面,连接有排气管31A的一端侧,在该排气管31A的另一端侧,连接有作为真空排气机构的真空泵31B。处理容器31内的压力可以利用设于该排气管31A中的未图示的压力调整机构维持为规定的压力。
下面,在参照图5的同时,对作为兼作第一金属配线形成模块的屏蔽膜形成模块使用的Cu-MnOxCVD模块5进行说明。该Cu-MnOxCVD模块5具备处理容器50,在处理容器50内,设有用于将晶片W水平地载放的载台51。在该载台51内,设有形成晶片W的加热机构的加热器51a。另外,在载台51中,设有用于使利用升降机构51b自由升降的3根升降栓51c(为了方便仅图示了2根)出没的未图示的孔部,介由升降栓51c在第二搬送机构76与载台51之间进行晶片W的转交。
在处理容器50的底部连接有排气管52a的一端侧,在该排气管52a的另一端侧,介由阀58a、TMP(Turbo Molecular Pump)53a及阀58b连接着真空泵(DP(Dry Pump))53b。在真空泵53b的下游侧,连接有未图示的除害装置,将所排放的气体无害化而向系统外排出。在阀58a的上游侧的排气管52a处,连接有旁支管线52b的一端侧,该旁支管线52b的另一端侧介由阀58c和APC(Auto Pressure Controller)58d,与阀58b和真空泵53b之间的排气管52a连接。
另外,在旁支管线52b与真空泵53b之间的排气管52a处,为了防止在排气管52a、旁支管线52b内流通的处理气体,例如氢气等的爆炸,连接有用于供给稀释用的气体例如氮的非活性气体冲洗管线52c。在进行成膜加工时,关闭阀58a而打开阀58c,在利用APC58d控制处理容器50内的压力的同时,经由旁支管线52b将处理气体与稀释气体一起排出,在不进行成膜加工时,打开阀58a、阀58b而关闭阀58c,介由TMP53a将处理容器50内排气。通过使用该TMP53a将处理容器50内排气,就可以使处理容器50内的残留气体减少,保持质量优良的真空度。另外,在处理容器50的侧壁处,形成有由门阀G开闭的搬送口54。
在处理容器50的顶板部,与载台51对向地设有气体喷头55。气体喷头55具备相互分区的气体室56A、56B,向这些气体室56A、56B供给的气体被分别从气体供给孔57A、57B向处理容器50内供给。
在气体喷头55的上面,连接有用于将铜(Cu)的原料气体导入气体室56A的铜原料供给路61A的一端侧、用于将锰(Mn)的原料气体导入气体室56B的锰原料供给路61B的一端侧。在这些铜原料供给路61A及锰原料供给路61B中,为了使在内部流通的原料的蒸气不冷凝,设有加热器59。
在铜原料供给路61A的另一端侧,介由具备用于使液体状的铜原料气化的例如加热器的蒸发器65、包括液体质量流控制器、阀的流量调整部64A、阀V10,连接有作为第一金属配线形成机构的铜原料贮留部62A。在阀V10与流量调整部64A之间,利用夹设有阀V11的配管60a连接有排气机构70a。按照利用该排气机构70a,将在铜原料贮留部62A的更换时混入配管(铜原料供给管61A)的气体(主要是大气)除去的方式构成。在铜原料贮留部62A中,以液体的状态贮留有作为铜原料的铜有机金属化合物,例如作为β二酮配合物的Cu(hfac)TMVS。
在铜原料贮留部62A处,介由具备阀V12的气体供给管61C连接有加压部63A,通过利用从该加压部63A供给的He、Ar气等非活性气体将铜原料贮留部62A内加压,就可以将液体状的铜原料向蒸发器65压出。另外,在该加压部63A与阀V12之间,利用夹设有阀V13的配管60b连接有排气机构70b。按照利用该排气机构70b,将在铜原料贮留部62A的更换时混入配管(气体供给管61C)的气体(主要是大气)除去的方式构成。
在蒸发器65处,利用夹设有流路调整部67A的载气导入管68A,连接有贮留了例如H2气等载气的载气供给源66A。该蒸发器65中,例如如下构成,即,将载气加热,使该载气与已述的液体状的铜原料接触混合而使该铜原料气化,将铜原料的蒸气向气体室56A供给。
在锰原料供给路61B的另一端侧(上游侧),介由例如稀释部69、流路调整部64B和阀V14,连接有作为将含有锰而不含有氧的有机金属化合物的蒸气向晶片W供给的机构的锰原料贮留部62B,在该锰原料贮留部62B内,以液体的状态贮留有锰的有机金属化合物,例如(EtCp)2Mn(双乙基环戊二烯基锰)。在阀V14与流量调整部64B之间,利用夹设有阀V15的配管60c连接有排气机构70c。按照利用该排气机构70c,将在锰原料贮留部62B的更换时混入配管(锰原料供给管61B)的气体(主要是大气)除去的方式构成。在该锰原料贮留部62B的周围,设有加热器83,按照可以将锰原料贮留部62B内的原料例如加热到80℃的方式构成。
在锰原料贮留部62B中,按照在内部的液体材料的液面的下方侧开口的方式,连接着例如设有未图示的加热器的载气供给路80的一端侧。在该载气供给路80的另一端侧,介由阀V16及流量调整部81连接着例如贮留有H2气等载气的载气供给源66B,由加热器83加热而气化了的锰材料被与该载气一起向稀释部69供给。在阀V16与流量调整部81之间,利用夹设有阀V17的配管60d连接有排气机构70d。按照利用该排气机构70d,将在锰原料贮留部62B的更换时混入配管(载气供给路80)的气体(主要是大气)除去的方式构成。
在稀释部69处,连接着设有未图示的加热器的稀释气体路84的一端侧,在该稀释气体路84的另一端侧,介由流量调整部85连接着已述的载气供给源66B。在该稀释部69中,将原料气体稀释为规定的浓度而向气体室56B供给。
等离子体处理装置6如前所述是平行平板型的处理装置,如图6中概略性所示,通过在处理容器101内的兼作下部电极使用的载放台102上载放晶片W,从与载放台102对向地设置并兼作上部电极使用的气体喷头103介由处理气体供给路104向处理容器101内供给处理气体,从上部电源105供给等离子体产生用的高频,并且从下部电源106供给用于将离子引入晶片W的偏转用高频,从而利用将处理气体等离子体化了的等离子体对晶片W进行等离子体处理。而且,同图中107是排气管,108是真空泵,109是搬送口,G是门。
如已述的图3中所示,在该半导体制造装置中,设有例如由计算机构成的控制部2A。该控制部2A具备由程序、存储器、CPU构成的数据处理部等,在上述程序中按照从控制部2A向半导体制造装置的各部发送控制信号、使已述的各步骤进行的方式编入命令(各步骤)。另外,例如在存储器中具备写入处理压力、处理温度、处理时间、气体流量或电力值等处理参数的值的区域,CPU在执行程序的各命令时读出这些处理参数,将与该参数值对应的控制信号向该半导体制造装置的各部位发送。该程序(也包括有关处理参数的输入操作或显示的程序)被存入于计算机存储介质例如软盘、CD、硬盘、MO(光磁盘)等存储部2B中而安装到控制部2A中。
(晶片的流程)
首先,例如在已述的等离子体处理装置6等中预先对晶片W进行等离子体处理而利用蚀刻或灰化形成凹部21后,例如进行湿式清洗。然后,利用未图示的搬送机构将存放有该晶片W的承载架1搬送到半导体制造装置中,载放于进样口71。其后,将承载架1内的晶片W介由第一搬送室72搬送到校准室77,进行朝向或偏心的调整后,搬送到真空进样室73。调整该真空进样室73内的压力,将晶片W介由第二搬送室74搬入甲酸处理模块3中。
然后,将晶片W载放于载放台32上,将处理容器31内真空抽吸到规定的真空度,例如100~500Pa(0.75~3.75Torr)左右,并且将晶片W加热到规定的温度,例如150~300℃左右。此后,将气体状的还原剂,例如甲酸(及载气)分别以规定的流量,例如分别以10~100sccm、0~100sccm向气体喷头41内供给。另外,当将稀释气体以规定的流量,例如0~200sccm向气体喷头41内供给时,气体状的甲酸与稀释气体就在这里被混合,该混合气体被向晶片W供给。此后,如前所述,利用该甲酸将铜氧化物13a逐渐还原或蚀刻。在将该还原处理进行规定的时间,例如1~10分钟左右后,停止还原剂、载气及稀释气体的供给,将处理容器31内真空排气,将晶片W向第二搬送室74搬出。
接下来,将晶片W搬送到Cu-MnOxCVD模块5,载放于处理容器50内的载台51上,并且将晶片W加热到规定的温度,例如100~500℃左右,更具体来说是加热到200℃。此后,按照使锰的有机金属化合物达到规定的流量,例如2~10sccm左右,更具体来说是7sccm左右的方式,将载气(及稀释气体)调整为规定的流量,例如分别为10~100sccm左右,更具体来说是25sccm(0~100sccm左右),将这些气体在稀释部69中混合,通过将该混合气体向晶片W供给规定的时间,例如5分钟以上,而形成已述的屏蔽膜26。而且,也可以按照形成屏蔽膜26的方式调整成膜条件,例如成膜时间,而不加热晶片W。其后,停止上述的混合气体的供给而将处理容器50内真空排气后,将气体状的铜的原料以规定的流量向晶片W供给,在包括凹部21的晶片W的表面形成金属铜27的膜。其后,停止气体的供给而将处理容器50内真空排气,介由第二搬送室74、真空进样室73及第一搬送室72将晶片W向承载架1搬出。
根据上述的实施方式,例如在利用蚀刻处理形成凹部21后,使用甲酸将因该蚀刻处理或清洗等氧化了的铜配线13的表面的铜氧化物13a还原或蚀刻,除去该铜配线13的表面的氧。此后,通过对晶片W的表面供给不含有氧且与氧反应性高的锰的有机金属化合物,而在含有氧的硅氧化膜15及蚀刻阻止膜14、24中生成氧化锰25,另一方面,在不含有氧的铜配线13的表面不生成氧化锰25。因此,就可以在仍旧使铜配线13的表面露出铜的状态下,对硅氧化膜15及蚀刻阻止膜14、24,选择性地形成屏蔽膜26。
此外,由于在形成屏蔽膜26后,对该晶片W供给铜的原料而在包括凹部21的晶片W的表面形成金属铜27的膜,另外还由于是将此种一连串的处理在真空环境中进行的,因此在铜配线13与金属铜27之间,不会夹有氧化锰25或铜的自然氧化膜等绝缘物。所以,就可以抑制配线电阻的上升。
此外,由于在屏蔽膜26的表面,也就是在金属铜27的内部不含有多余的金属锰,或者变得极少,因此可以抑制配线电阻的上升,另外由于可以省略用于将锰排出的退火处理,因此可以提高生产率。
而且,也可以在堆积了金属铜27后,根据需要实施热处理(退火)工序。在实施热处理(退火)工序的情况下,也可以期待用与以往相比更为低温、短时间的退火工序来完成。其理由是因为,由于在CVD工序中已经加热,且仅堆积对于屏蔽膜的形成来说必需的最低限度的锰(Mn),因此不需要利用退火使剩余的锰(Mn)扩散。
在进行热处理(退火)的情况下,也可以将设有在内部具备载放晶片W的载放台的处理容器、用于将晶片W加热的加热机构、以及将处理容器内保持为含氧气体气氛的机构如气体供给路(都未图示)的退火单元100与已述的第二搬送室74气密性地连接(图7)。在该情况下,将堆积有上述金属铜27的晶片W例如在规定的浓度的含氧气体的气氛中,加热到规定的加工温度,例如100~450℃左右,这样就会在硅氧化膜15与金属铜27的边界部分,可靠地形成自匹配地由氧化锰25构成的屏蔽膜26。而且,也可以采用如下的构成,即,在热处理(退火)时如上所述地向处理容器内供给氧等(对于氧供给机构也未图示)时,可以将氧分压控制为例如10ppb左右或其以下。
通过像这样形成屏蔽膜26,即使是像后述的实施例中所示那样很薄的膜厚,也可以针对铜获得极高的屏蔽性能,因此在之后的器件形成工序中,即使在暴露于例如400℃左右的热处理工序中的情况下,也可以抑制铜向硅氧化膜15的扩散,因而可以抑制泄漏电流的增大。另外可以认为,通过如上所述地在低温下,例如在小于500℃形成屏蔽膜26,该屏蔽膜26就会变为无定形状,因此就不存在氧化锰25的晶界,从而将铜的扩散路径关闭,所以即使是像上述那样的薄膜,也可以获得极高的屏蔽性能。
另外,通过将该屏蔽膜26的成膜进行氧化物(含有氧的膜)上的诱导(incubation)时间(所谓诱导时间是指,从开始将原料气体向晶片W供给起,直到在晶片W上开始堆积反应物的时间)以上,例如在成膜温度为200℃的情况下是一分钟以上的规定时间,从而在硅氧化膜15及蚀刻阻止膜14、24的表面上,所附着的锰的有机金属化合物就会分解而容易发生作为氧化锰25附着的反应,另一方面,在铜配线13(不含有氧的膜)的表面,由于不会导致所附着的锰的有机金属化合物分解而作为氧化锰25附着的反应,因此就可以如前所述地选择性地形成屏蔽膜26。但是,将屏蔽膜26的成膜时间进行金属上的诱导时间以上,例如在成膜时间为200℃时进行60分以上这样的规定时间以上,则无论是氧化物上还是金属上,附着的锰的有机金属化合物分解而发生作为氧化锰25附着的反应,因此不能选择性地形成屏蔽膜26。
作为此种屏蔽膜26的膜厚,优选为1~7nm,更优选为1.5nm~4nm,进一步优选为2nm~3nm。而且,根据已述的JP2007-67107A中记载的内容,所堆积的锰(Mn)的膜厚被记载为2nm。但是,如果金属锰膜氧化而变为氧化锰膜,则其膜厚就会增加到约2.7倍,因此在2nm的锰膜氧化而变为氧化锰的情况下,膜厚相当于5.5nm的屏蔽膜。
如上所述,通过利用使用了气体状的原料的CVD法来形成屏蔽膜26,即使在凹部21的开口尺寸小的情况下,阶梯覆盖性也会提高,可以均匀地形成屏蔽膜26。另外,即使在晶片W上混杂着例如在凹部21的开口宽度方面参差不齐的图案,也可以形成此种屏蔽膜26。根据该结果,在铜多层配线中,可以从局部配线到全部配线地适用该屏蔽膜26。另外,由于可以实现铜多层配线的微细化,因此可以使器件的工作速度提高,并且可以减小芯片尺寸,所以由1片晶片W得到的半导体器件芯片(例如逻辑、存储器等)的个数增多,这样就可以降低器件的成本。
此外,由于器件的工作速度提高,因此可以提高具备该器件的电子计算机(例如电子计算机、通信机器、信息终端、手机等)的计算速度或信息处理速度。此外,由于利用上述的屏蔽膜26,可以抑制金属配线的铜的扩散,因此可以抑制层间绝缘膜的泄漏电流,由于配线的可靠性提高,因此可以延长具备该器件的电子机器的寿命。另外,由于可以用更小的电路来进行必需的计算,因此可以用于手机等要求小型化的信息终端中。
此外,由于不是先将金属锰成膜其后使之氧化的方法,而是直接形成作为氧化锰的屏蔽膜26,因此如后所述可以根据氧的有无使屏蔽膜26选择性生长,另外由于在屏蔽膜26形成后立即发挥对铜的屏蔽作用,因此即使是很薄的膜厚,也可以获得极高的屏蔽性能。而且,在该屏蔽膜26中,也可以如后述的实施例中所示,除了氧以外,还含有C(碳)。
作为上述的屏蔽膜26的成膜中所用的原料,优选不含有氧的有机金属化合物,优选含有环状的烃。另外,优选为如上所述因氧的存在而分解的化合物。作为此种原料,例如可以使用Cp2Mn(双环戊二烯基锰)、(MeCp)2Mn(双甲基环戊二烯基锰)、(i-PrCp)2Mn(双异丙基环戊二烯基锰)等,也可以将此种原料的多种组合使用。另外,如果是虽然含有氧,然而在分解后氧不会单独地与锰反应的化合物,例如CO等,则也可以使用例如(MeCp)Mn(CO)3(三羰基甲基环戊二烯基锰)等。作为载气,除了H2以外也可以使用还原性气体或非活性气体,例如Ar气等。
另外,作为还原处理中所用的还原剂,除了甲酸以外,也可以使用有机酸例如乙酸或氢等。此外,也可以利用使用了氩气的溅射法,将铜氧化物13a物理地除去。
此外,在上述的例子中,由于是在形成屏蔽膜26后,另行形成金属铜27的膜,因此也可以将这些处理分别在不同的处理容器50中进行。另外,为了增强屏蔽膜26与金属铜27的密合性,例如也可以通过在屏蔽膜26开始形成的同时,开始铜原料的供给而一点点地增加该铜原料的供给量,从而形成铜相对于锰的量的比例随着接近表层慢慢地增加的密合层。该情况下,由于屏蔽膜26与金属铜27的界面混合而变得模糊,因此屏蔽膜26与金属铜27的密合性提高。而且,该情况下为了减少金属铜27内所含的剩余的锰的量,优选增加铜原料的供给,另一方面慢慢地减少锰的供给量而进行。而且,作为上述的密合层的状态,可以认为是变成CuMn合金、或Cu+MnOx(x:任意的正数)混合物、或Cu+MnCx(x:任意的正数)混合物、或Cu+MnCxOy(x、y:任意的正数)混合物、或CuMnxOy(x、y:任意的正数)化合物、或CuMnxCy(x、y:任意的正数)化合物、或CuMnxCyOz(x、y、z:任意的正数)化合物的任一种、或它们的混合物。
另外,也可以在形成屏蔽膜26后,例如利用溅射法形成铜的种子层,将上述的金属铜27嵌入凹部21。该情况下,例如在第二搬送室74处气密性地连接作为用于进行溅射的处理容器的种子层形成模块,利用设于该处理容器内的种子层形成机构形成种子层。所谓种子层形成机构是如下地构成的机构,即,可以在基板上堆积金属原子,上述金属原子是使例如利用DC磁控管法等形成的氩离子等碰撞设于处理容器内的溅射用的金属源(溅射靶)而溅射出的(=弹出的)。如果采用溅射法,则可以利用溅射出的金属原子射入屏蔽膜26的锚定效应来期待密合性。作为溅射方式,可以使用DC(直流)2极溅射法或RF(高频)溅射法、等离子体溅射法、磁控管溅射法、离子束溅射法等,为了改善阶梯覆盖性,可以使用准直溅射法、远距离溅射法、离子化溅射法。该情况下,也可以在利用溅射成膜的同时,将晶片W加热或冷却到例如0℃以下。此时,为了不将铜配线13的表面及种子层氧化,晶片W被真空搬送。
而且,虽然在上述的例子中利用CVD法形成金属铜27的膜,然而也可以是溅射法等PVD法等,还可以利用电镀法或非电镀膜法形成金属铜27的膜。在该情况下,也可以在屏蔽膜26的形成后,利用CVD法或溅射法在凹部21上堆积成为种子层的金属膜,例如铜。另外,作为上述的屏蔽膜26或金属铜27的成膜方法,例如除了热CVD法以外,也可以是等离子体CVD法、光CVD法,另外对于屏蔽膜26,例如也可以是ALD(Atomic Layer Deposition)法等。此外,虽然在上述的例子中,在相同的处理容器50内将屏蔽膜26和金属铜27成膜,然而也可以在不同的处理容器50内进行各自的成膜处理。
另外,作为上述的金属铜27,不仅可以是纯铜,也可以是例如以铜作为主成分的金属或Al或Ag,还可以含有多种这些金属。此外,作为已述的铜氧化物13a,虽然采用利用蚀刻处理等生成的进行了说明,然而例如在大气中搬送中生成的情况下,也可以应用本发明的半导体装置的制造方法。另外,在未生成铜氧化物13a的情况下,也可以不进行已述的还原处理。此外,即使在生成了铜氧化物13a的情况下,如果氧化锰25选择性地生成于凹部21的侧壁上,则也可以不将铜氧化物13a完全除去,而是使之减少。而且,虽然在这里对于向含有氧的硅氧化膜15等上供给锰的有机金属化合物而成膜的屏蔽膜26,是作为由MnOx(x:任意的正数)构成的氧化锰25进行了说明,然而也有如下的说法,即,将硅氧化膜15的硅纳入而反应,变为MnSixOy(x、y:任意的正数),在学会等中议论也有分歧。由此,在这里作为包括MnOx(x:任意的正数)和MnSixOy(x、y:任意的正数)的内容而采用氧化锰25这样的表现形式。
另外,虽然在这里以使用硅氧化膜15作为属于基底膜的层间绝缘膜的情况为例进行说明,然而并不限定于此,也可以使用有机膜或多孔膜等上述的Low-k膜或ULK膜。
另外,虽然在这里以使用锰(Mn)作为第二金属的情况为例进行说明,然而并不限定于此,也可以使用其他的金属,例如选自Mg、Al、Ti、V、Cr、Ni、Ge、Y、Zr、Nb、Tc、Rh、Pd、Sn、Re、Pt中的一种以上的金属。所以,作为已述的有机化合物,也可以使用选自Cp2Metal[=Metal(C5H5)2]、(MeCp)2Metal[=Metal(CH3C5H4)2]、(Me5Cp)2Metal[=Metal((CH3)5C5H4)2]、(EtCp)2Metal[=Metal(C2H5C5H4)2]、(i-PrCp)2Metal[=Metal(C3H7C5H4)2]、(t-BuCp)2Metal[=Metal(C4H9C5H4)2]、Metal(DMPD)(EtCp)[=Metal(C7H11C2H5C5H4)](这里,Metal表示上述的第二金属元素)中的一种以上的化合物。
另外,这里说明的成膜装置只不过是给出一个例子,例如作为基板的加热机构也可以取代电阻加热器而使用卤素灯等加热灯,热处理装置不仅可以是单片式的,也可以是批处理式的。
此外,虽然在这里作为被处理体以半导体晶片为例进行说明,然而并不限定于此,在玻璃基板、LCD基板、陶瓷基板、塑料等有机基板等中也可以应用本发明。
实施例
下面,对针对本发明进行的实验进行说明。实验中,使用了图8(a)所示的测试用的晶片W。该晶片W是如下所示地制作的。首先,在硅基板90上利用使用了TEOS(Tetra Ethoxy Silane、别名TetraethylOrthosilicate)的等离子体CVD法在350℃下成膜,得到膜厚100nm的硅氧化膜91。然后,在该硅氧化膜91上,在已述的Cu-MnOxCVD模块5中用以下的成膜条件形成氧化锰层92。另外,在该晶片W的表面,利用溅射法以使膜厚达到100nm的方式形成铜膜93。其后,对该晶片W,为了确认有无铜的扩散而在以下的退火条件下进行热处理。此后,对该晶片W进行以下说明的实验。而且,由于该实验并非需要良好的阶梯覆盖性的实验,因此使用了没有图案的基板。由此,如上所述,并非利用CVD法而是利用溅射法形成铜膜93,然而即使利用该方法,膜质或阶梯覆盖性以外的物性等也会是没有特别的问题的水平。
这里,如下所示使氧化锰层92的成膜时间长达30分钟是因为,如上所述,利用由基底造成的诱导时间的差,更为清楚地显示氧化锰层92的CVD成膜的基底选择性。也就是说,如果将锰的有机金属化合物向含有氧的膜供给,则利用预先进行的实验可知,例如在成膜温度为200℃的情况下,当达到1分钟以上时所附着的锰的有机金属化合物即会分解而开始作为氧化锰附着,因此像这样地设定出成膜时间。而且已经确认,即使像这样将锰的有机金属化合物长时间地向铜供给,也无法在铜表面形成氧化锰的连续膜。
而且,此次实施的利用退火条件的热处理是为了用于验证Cu扩散屏蔽性能的加热加速度试验而进行的,不是在氧气氛中进行。即,与以往例那样的出于使屏蔽膜自动形成并且使剩余的锰扩散、排出的目的在氧气氛中进行的热处理不同。
(成膜条件)
前驱体(原料):(EtCp)2Mn
加热器83的设定温度:70℃
载气:H2、25sccm
成膜温度:300、400、500℃
处理压力:133Pa(1Torr)
成膜时间:30分钟
(退火条件)
供给气体:Ar、50sccm
晶片W的加热温度:400℃
退火时的压力:667Pa(5Torr)
退火时间:20分钟(升温时间)+40分钟(温度保持时间)
(实验1:剖面观察)
使用TEM(Transmission Electron Microscopy)观察了上述3种晶片W的切割面。其结果是,在300℃及400℃下成膜的晶片W中,如图9及图11所示,在硅氧化膜91与铜膜93之间,可以确认到极薄的5nm左右的氧化锰层92。该膜成为界面没有凹凸的光滑的连续膜,并且成为无定形状而完全看不到晶界之类的裂纹。另外,在硅氧化膜91与铜膜93之间,看不到剩余的锰残留或偏析之类的部分。虽然未图示,然而在100℃及200℃下成膜的晶片W中也确认到如上所述的实验结果。此时得到的氧化锰层92的膜厚更薄,为2~3nm左右。
所以可知,通过利用此种方法形成已述的屏蔽膜26,就不需要用于排出剩余的锰的退火处理,即氧气氛中的退火处理。而且,已述的图9及图11是为了容易判别各区域的边界而仿照实际拍摄的TEM图像表示的图。另外,图10及图12是分别对图9及图11中示意性表示的图表示实际中拍摄的TEM图像的图。
但是,在500℃下成膜的晶片W中,可以确认到若干的岛状的粒子生长。另外,在硅氧化膜91与铜膜93之间,从上侧起依次层叠有结晶性比较高的层、被认为碳很多的层、以及无定形层。可以认为,该无定形层相当于在300℃及400℃下成膜的晶片W中看到的、形成于硅氧化膜91与铜膜93之间的、极薄的氧化锰层92。但是,由于像这样成膜温度变高,会引起岛状的粒子生长,即异常粒子生长,因此可知,作为氧化锰层92的成膜温度,优选小于500℃。而且,如前所述,利用另外进行的实验已经确认,在100℃或200℃下也会形成该氧化锰层92。
(实验2:剖面的组成绘图)
然后,对上述的各晶片W,使用EDX(Energy Dispersive X-rayAnalysis)进行了剖面的组成分析。而且,无论在哪种晶片W中,为了进行分析,都在铜膜93的表面涂布有粘接剂。
在300℃及400℃下成膜的晶片W中,如图13及图14所示,来自锰的信号仅在形成于硅氧化膜91与铜膜93之间的极薄的层92中可以确认。根据该结果,在该极薄的层92中确实含有锰,如果考虑后述的SIMS的结果,则可以认为是形成氧化锰。另外,从硅氧化膜91的部分未检测出Cu的信号,看不到Cu向硅氧化膜91中渗出的样子。
根据该结果,氧化锰层92具有极为良好的Cu扩散屏蔽性,可以确认,连能使Cu渗出那样的针孔(例如晶界之类的Cu扩散路径)也不存在。而且,虽然未图示,然而在100℃及200℃下成膜的晶片W中也确认到与上述相同的实验结果。另外,对于图13及图14,也与已述的图9及图11相同,是仿照实际得到的图像表示的图。
另一方面,对于在500℃下成膜的晶片W,在已述的岛状的粒子生长部分含有很多锰,表明是异常生长。所以再次确认,在实验1中观察到的现象是由锰的异常粒子生长造成的。
(实验3:膜厚方向的元素分析)
对上述的各晶片W,在使用SIMS(Secondary Ion MassSpectrometry)沿膜厚方向进行蚀刻的同时进行了深度方向的元素分析。另外,在测定时,由于如果从表面侧(图15及图16中的Cu膜侧)蚀刻膜,则会在氧化锰层92及硅氧化膜91的成分分析中混入上层的Cu原子,因此从硅基板的背面侧进行蚀刻。如图15及图16所示,在300℃及400℃下成膜的晶片W中,随着从上侧向下侧接近,在氧化锰层92中铜的峰急剧地减少,在硅氧化膜91的上端(氧化锰层92的下端)基本上看不到峰(由于这里可以看到的峰值在检测极限程度的信号中是噪音水平,因此可以将硅氧化膜91内的Cu的存在近似看作零)。
根据该结果可知,即使氧化锰层92是此种薄膜,也会具有极高的屏蔽性能。而且,虽然未图示,然而在100℃及200℃及500℃下成膜的晶片W中也确认到与上述相同的实验结果。
另外,与实验2的结果相对应,锰的峰变得极为尖锐。另外由于与该锰的峰重合地确认到碳的峰,因此可以确认,锰原子将锰的有机金属化合物中所含的碳纳入。根据该结果,可以期待由锰原子带来的杂质清除效果,所以在使用相同的有机金属化合物,例如Cu前驱体(原料)进行CVD成膜时,可以期待,通过Cu的有机金属化合物中所含的碳或氟等杂质与锰反应而纳入氧化锰层92中,就可以减少铜膜93中的杂质。或者,在利用电镀法或非电解镀膜法使Cu成膜时,可以期待,通过Cu膜中所含的来源于镀液的氯等杂质与锰反应而纳入氧化锰层92中,就可以减少铜膜93中的杂质。
(实验4:微细孔的阶梯覆盖性观察)
与上述的3种晶片W不同,使用图8(b)所示的用于确认堆积膜的阶梯覆盖性的晶片W,进行了阶梯覆盖性的验证。该晶片W在硅基板上堆积由膜厚510nm的等离子体TEOS构成的硅氧化膜91,对该等离子体TEOS膜图案处理出微细孔。在该硅氧化膜91上,在上述的Cu-MnOxCVD模块5中已述的成膜条件下(其中,成膜温度为200℃及400℃)形成氧化锰92。使用TEM(Transmission ElectronMicroscopy)观察了如此制成的晶片W的切割面。
其结果是,无论在200℃及400℃下成膜的哪一个晶片W中,都可以沿着如图8所示的形成直径为180nm左右、纵横比(由孔的宽度与深度导出的比率)为2.8左右的微小的孔图案或直径为125nm左右、纵横比为4.0左右的微小的孔图案的硅氧化膜91的表面,确认到极薄的5nm左右的氧化锰层92。该膜成为其表面没有凹凸的光滑的连续膜。所以可知,通过利用此种方法形成已述的屏蔽膜26,对于微小的孔图案也可以用良好的阶梯覆盖性成膜。
(参考实验:氧化锰的膜厚的基底依赖性确认)
本发明中所用的锰的有机金属化合物如前所述具有如下的特征,如已述那样针对含有氧的膜会在比较短的时间中反应而生成氧化锰25,而针对不含有氧的膜则不会在比较短的时间中反应。所以,为了确认该特征,进行了以下的参考实验。
实验中,作为晶片W使用了以下的3种样品。另外,在已述的Cu-MnOxCVD模块5中,在以下的成膜条件下进行了氧化锰的成膜处理。其后,对各样品算出锰的附着膜厚。
(样品)
1.利用已述的使用了TEOS的等离子体CVD法成膜的硅氧化膜
2.将裸硅在氧化性气氛中进行热处理的硅氧化膜
3.未处理的裸硅
(成膜条件)
前驱体(原料):(EtCp)2Mn
载气:H2、25sccm
成膜温度:500℃
处理压力:133Pa(1Torr)
成膜时间:30分钟
(实验结果)
如图17所示,对于含有氧的样品1.2.来说锰的附着量变多,而对于不含有氧的样品3.来说锰的附着量变少。由此可以确认已述的锰的有机金属化合物的特征。而且,作为对于不含有氧的样品3.也附着有锰的理由,可以将成膜温度高达异常粒子生长的程度作为其一个原因举出。
另外,即使是相同的硅氧化膜,也会因成膜方法的差异(样品1.与2.),而在锰的附着量方面产生差别。这或许可以认为是因为,因成膜方法的差异,而在硅氧化膜中的氧的量方面存在差别。
根据该实验认为,通过在已述的铜配线13中,为了使氧的量变得极少而进行还原处理或蚀刻处理,另外在该铜配线13中不形成自然氧化膜地,进一步将屏蔽膜26的成膜处理在小于500℃的低温下进行,就可以抑制在铜配线13的表面生成氧化锰25,针对含有氧的膜进行屏蔽膜26的选择性生长。
(实验5:向双镶嵌结构的样品的嵌入实验)
A.实验过程、实验条件
(1)双镶嵌试样
从SEMATECH购入图18所示的双镶嵌结构的样品。120是由硅氧化物构成的层间绝缘膜,121是Cu配线部,122是SiN膜。
(2)CVD-Mn堆积
在双镶嵌结构样品上,在下述的条件下堆积Mn。
成膜条件如下所示。
基底:SEMATECH图案800AZ
前驱体:(EtCp)2Mn
前驱体瓶内部的温度:80℃(测定方法为热电偶)
供给方式:鼓泡方式
鼓泡气体:H2、25SCCM
基板温度:200℃
处理压力:133Pa(1Torr)
成膜时间:10min
(3)PVD-Cu堆积(Cap Cu堆积)
使堆积了CVD-Mn的样品暴露在大气中,在CVD-Mn上,利用溅射法堆积Cu作为保护膜。
成膜条件如下所示。
基板温度:室温
膜厚:500nm  
(4)退火
在堆积了PVD-Cu后进行退火。
退火条件如下所示。
气体流量:Ar、50SCCM
基板温度:400℃
压力:667Pa(5Torr)
时间:20分钟(升温时间)+40分钟(温度保持时间)
(5)测定
剖面观察用测定器:透过型电子显微镜(TEM)
元素分析用测定器:能量分散型X射线分光器(EDX)
B.实验结果
图19是如上所述地进行了处理的样品的TEM照片,图19(a)将通孔及沟槽部分放大显示,图19(b)将通孔的底部附近放大显示,图19(c)将从通孔的侧壁延至沟槽的底部的区域放大显示。另外,图20表示出包括下层的Cu配线的通孔及沟槽整体。
发现在铜与层间绝缘膜(硅氧化膜)的界面中,存在膜厚3~4nm的Mn化合物膜。该Mn化合物膜的连续薄膜在层间绝缘膜的最上面、通孔内壁处都是3~4nm的膜厚,具有良好的阶梯覆盖性。
判明存在于下层铜配线(M1-Cu)与PVD-Cu(M2-Cu)的界面中的Mn层并非连续薄膜,而是上下的界面模糊的厚5~10nm的不连续的层。
虽然不是所有的通孔底部,然而从下层铜配线(M1-Cu)到PVD-Cu(M2-Cu),存在有存在连续的Cu的晶粒的界面。即,可以说形成从下层铜配线(M1-Cu)穿过上述Mn层而到达通孔内的铜的电通路。
根据以上的数据可以说表明,能够实现Mn化合物向镶嵌结构的层间绝缘膜(硅氧化膜)上的选择性生长。这里表示出使用EDX对样品的纵剖面进行了元素分析的结果。图21是用于表示测定点的示意图,在测定点※1、※4处未检测出Mn,而在测定点※2、※3及※5处检测出Mn。所以可知,在Cu的配线层的中心部以EDX水平而言不存在Mn,而是向其周缘部扩散。另外还注意到,Mn的一部分残留于通孔的底部。此外,由于在测定点※5处检测出O(氧),因此可知在层间绝缘膜的表层部形成含有Mn和O的屏蔽膜。
(实验6:锰化合物向Cu满布膜上的成膜实验)
A.实验过程、实验条件
(1)基板
准备在Bare-Si基板上全面地形成了p(等离子体CVD)-TEOS膜的基板。
(2)PVD-Cu堆积(下层)
在下述的条件下堆积下层的PVD-Cu。
膜厚:100nm
基板温度:室温
后处理:无退火
(3)CVD-Mn堆积
在下述的条件下堆积CVD-Mn。成膜条件除了基底的条件以外,与实验5的(2)中记载的条件相同。
(4)PVD-Cu堆积(上层)
在下述的条件下堆积上层的PVD-Cu。
膜厚:100nm
基板温度:室温
后处理:无退火
(5)测定
剖面观察用测定器:透过型电子显微镜(TEM)
元素分析用测定器:能量分散型X射线分光器(EDX)
B.实验结果
图22(a)是对上层的PVD-Cu和下层的PVD-Cu的层叠部分以使界面位于中央的方式拍摄的TEM照片,图22(b)是将Mn-K线信号可视化而得的图像图,图22(c)是对图22(a)所示的部分中界面下方侧50nm左右的下层的PVD-Cu的部位利用EDX分析的结果。根据该结果可知,CVD-Mn层作为两个界面不清楚的厚5~10nm的膜,沿着下层的PVD-Cu和上层的PVD-Cu的界面存在。从下层的PVD-Cu到上层的PVD-Cu,存在有连续的Cu的晶粒。该情况说明,CVD-Mn膜不是连续膜,是不连续的,形成从下层铜配线穿过CVD-Mn膜到达上层铜配线的电通路。根据EDX的数据可知,在下层Cu/上层Cu的界面的不清楚的层和PVD-Cu/p-TEOS界面中含有Mn。根据该情况可以认为,在Mn的成膜中附着(堆积)于下层的PVD-Cu处的Mn固溶于Cu中而扩散,向Cu/p-TEOS界面中析出(偏析)。
另外,根据EDX的数据,来自Cu的体材部分(界面以外的部分)的Mn-K线信号是噪音水平,可以认为锰在Cu的体材中的残留很少。
根据以上的结果可以认为,即使在下层Cu/上层Cu的界面中存在有CVD-Mn膜,作为电阻的作用也变得极小,或者基本上不会形成电阻。
该实验中表明在铜膜上未形成锰化合物膜。上述的实验1、2、3中表明在硅氧化膜上形成锰化合物膜。如果比较两者,则表明可以实现锰化合物在镶嵌结构的绝缘膜上的选择性生长。
(实验7:成膜时间与膜厚的关系的验证实验)
A.实验过程、实验条件
(1)样品准备
作为样品,准备了全面地形成有绝缘膜(p-TEOS膜)的基板。
(2)CVD-Mn堆积
在下述的条件下堆积Mn。
基板温度:200℃
压力:133Pa(1torr)
载气流量:H2、25SCCM
成膜时间:0.3分钟(20秒)~30分钟
(3)膜厚测定
测定方法:荧光X射线分析(XRF)
B.实验结果
在p-TEOS膜上,不依赖于成膜时间,成膜时间为20秒左右足够,锰化合物的膜厚基本上恒定。在膜厚的增加方面有自我限制。
(实验8:成膜时间与铜的电阻率的关系的验证实验)
A.实验过程、实验条件
(1)样品准备
作为样品,准备了全面地形成Cu膜的基板。
(2)CVD-Mn堆积
在下述的条件下堆积Mn。
基板温度:200℃
压力:133Pa(1torr)
载气流量:H2、25SCCM
成膜时间:0.3分钟(20秒)~30分钟
(3)电阻率测定
利用四端子法,测定了铜膜的电阻率。
B.实验结果
如图23所示,即使成膜时间增加,电阻率也基本上恒定。对该情况可以推定为,Mn向Cu层中固溶、扩散,结果从Cu中将Mn排除。根据已述的图22(c)的结果可以推定,被排除的Mn向Cu/p-TEOS界面偏析。由此可以认为,Cu的电阻率被抑制得像纯铜那样低。而且,如果在Cu中有Mn之类的杂质,则电阻率就会升高。
以上的结果表明,CVD-Mn工序不会引起Cu配线的电阻上升,因而可以期待应用于半导体器件中。

Claims (48)

1.一种半导体装置的制造方法,其特征在于,包括:
工序(a),对具有形成了凹部的层间绝缘膜、和以第一金属作为主成分而向凹部的底面露出的下层金属配线的基板,供给含有第二金属的有机金属化合物的蒸气,通过所述含有第二金属的有机金属化合物与所述层间绝缘膜的成分的一部分反应,而在所述层间绝缘膜的露出面形成作为所述第二金属的化合物的防止第一金属扩散的屏蔽膜;
工序(b),然后在所述凹部内嵌入以第一金属作为主成分的金属配线。
2.根据权利要求1所述的半导体装置的制造方法,其特征在于,在所述屏蔽膜形成工序(a)中,通过所述下层金属配线不含有与所述含有第二金属的有机金属化合物反应而形成第二金属的化合物的成分,而不在所述向凹部的底面露出的下层金属配线上形成屏蔽膜。
3.根据权利要求1或2所述的半导体装置的制造方法,其特征在于,在形成所述屏蔽膜的工序(a)之前,进行工序(c),即,将向形成于所述基板上的所述层间绝缘膜的凹部的底面露出的下层侧的以第一金属作为主成分的金属配线的表面的金属氧化物还原或蚀刻,除去或减少该金属配线的表面的氧。
4.根据权利要求1~3中任意一项所述的半导体装置的制造方法,其特征在于,在所述形成屏蔽膜的工序(a)与所述嵌入以第一金属作为主成分的金属配线的工序(b)之间,进行在所述层间绝缘膜的表面及所述凹部内形成由所述第一金属构成的种子层的工序。
5.根据权利要求1~4中任意一项所述的半导体装置的制造方法,其特征在于,所述层间绝缘膜含有氧或碳。
6.根据权利要求1~5中任意一项所述的半导体装置的制造方法,其特征在于,所述层间绝缘膜的表面附近或所述层间绝缘膜中的成分的一部分为氧、或水等含有氧原子的化合物、或碳。
7.根据权利要求1~6中任意一项所述的半导体装置的制造方法,其特征在于,所述第一金属为选自Al、Cu、Ag中的一种以上的金属。
8.根据权利要求1~7中任意一项所述的半导体装置的制造方法,其特征在于,所述第二金属为选自Mg、Al、Ti、V、Cr、Mn、Ni、Ge、Y、Zr、Nb、Tc、Rh、Pd、Sn、Re、Pt中的一种以上的金属。
9.根据权利要求1~8中任意一项所述的半导体装置的制造方法,其特征在于,在所述形成屏蔽膜的工序(a)中,将所述基板加热。
10.根据权利要求1~9中任意一项所述的半导体装置的制造方法,其特征在于,所述含有第二金属的有机金属化合物不含有氧。
11.根据权利要求1~10中任意一项所述的半导体装置的制造方法,其特征在于,所述含有第二金属的有机金属化合物因氧的存在而发生分解反应。
12.根据权利要求1~11中任意一项所述的半导体装置的制造方法,其特征在于,所述层间绝缘膜由选自SiO膜、SiOF膜、SiC膜、SiOC膜、SiCOH膜、SiCN膜、多孔氧化硅膜、多孔甲基倍半硅氧烷膜、聚芳撑膜、注册商标为SiLK的膜和氟碳膜中的一种以上的膜构成。
13.根据权利要求1~12中任意一项所述的半导体装置的制造方法,其特征在于,所述含有第二金属的有机金属化合物由选自Cp2Metal[=Metal(C5H5)2]、(MeCp)2Metal[=Metal(CH3C5H4)2]、(Me5Cp)2Metal[=Metal((CH3)5C5H4)2]、(EtCp)2Metal[=Metal(C2H5C5H4)2]、(i-PrCp)2Metal[=Metal(C3H7C5H4)2]、(t-BuCp)2Metal[=Metal(C4H9C5H4)2]、Metal(DMPD)(EtCp)[=Metal(C7H11C2H5C5H4)]中的一种以上的有机金属化合物构成,其中,Metal表示所述第二金属元素。
14.根据权利要求1~13中任意一项所述的半导体装置的制造方法,其特征在于,所述第一金属为Cu,所述第二金属为Mn。
15.根据权利要求1~14中任意一项所述的半导体装置的制造方法,其特征在于,在所述形成屏蔽膜的工序(a)之后,进行如下的工序,即,将所述基板加热,并且边将含有所述第二金属的有机金属化合物的蒸气向所述基板供给、边开始向所述基板供给含有所述第一金属的有机金属化合物的蒸气,通过逐渐增加含有该第一金属的有机金属化合物的蒸气的供给量,而在所述屏蔽膜的上层形成所述第一金属相对于所述第二金属的比例随着接近表层慢慢地增加的密合层。
16.根据权利要求3~15中任意一项所述的半导体装置的制造方法,其特征在于,所述下层侧的金属配线的表面的金属氧化物是在将所述基板进行大气中搬送时生成的。
17.根据权利要求3~15中任意一项所述的半导体装置的制造方法,其特征在于,所述下层侧的金属配线的表面的金属氧化物是通过蚀刻处理而生成的,所述蚀刻处理是在所述除去或减少氧的工序(c)之前进行的,对所述层间绝缘膜供给含有氧的处理气体的等离子体而形成凹部。
18.根据权利要求3~17中任意一项所述的半导体装置的制造方法,其特征在于,所述除去或减少氧的工序(c)是对所述凹部供给有机酸的工序。
19.根据权利要求3~17中任意一项所述的半导体装置的制造方法,其特征在于,所述除去或减少氧的工序(c)是对所述凹部供给氢的热处理工序、或氩溅射蚀刻工序。
20.根据权利要求18所述的半导体装置的制造方法,其特征在于,所述有机酸是甲酸。
21.根据权利要求1~20中任意一项所述的半导体装置的制造方法,其特征在于,在嵌入金属配线的工序(b)之后,进行热处理即退火工序(d)。
22.根据权利要求1~21中任意一项所述的半导体装置的制造方法,其特征在于,所述形成屏蔽膜的工序(a)包含将所述基板加热到100℃以上且小于500℃的工序。
23.根据权利要求1~22中任意一项所述的半导体装置的制造方法,其特征在于,所述屏蔽膜为无定形状。
24.根据权利要求1~23中任意一项所述的半导体装置的制造方法,其特征在于,所述屏蔽膜的膜厚为5nm以下。
25.一种半导体装置,其特征在于,是利用权利要求1~24中所述的半导体装置的制造方法制造的。
26.一种电子机器,其特征在于,具备权利要求25所述的半导体装置。
27.一种半导体制造装置,是用于实施权利要求1的制造方法的半导体制造装置,其特征在于,具备:
真空搬送室模块,其具备搬入有基板的真空环境的搬送室、和设于该搬送室内的基板搬送机构;
屏蔽膜形成模块,其具备与所述真空搬送室模块气密性地连接并在内部设有载放基板的载放台的处理容器、和将含有第二金属的有机金属化合物的蒸气向所述基板供给的机构,通过所述基板上的层间绝缘膜的成分的一部分、与所述含有第二金属的有机金属化合物反应,而在该层间绝缘膜的露出面形成作为所述第二金属的化合物的防止第一金属扩散的屏蔽膜;
第一金属配线形成模块,其具备与所述真空搬送室模块气密性地连接并在内部设有载放基板的载放台的处理容器、将所述基板加热的机构、和将以第一金属作为主成分的原料蒸气向所述基板供给而在所述凹部内嵌入以所述第一金属作为主成分的原料的第一金属配线形成机构;和
控制部,其按照将搬入到所述真空搬送室模块的基板向所述屏蔽膜形成模块搬送,然后介由所述真空搬送室模块向所述第一金属配线形成模块搬送所述基板的方式,来控制所述基板搬送机构。
28.根据权利要求27所述的半导体制造装置,其特征在于,在内部具备还原机构或除去机构的前处理模块与所述真空搬送室模块气密性地连接,所述还原机构或除去机构将向形成于所述基板上的所述层间绝缘膜的凹部的底面露出的下层侧的以第一金属作为主成分的金属配线的表面的金属氧化物还原或蚀刻,
所述控制部按照在将所述基板向所述屏蔽膜形成模块搬送之前,介由所述真空搬送室模块将所述基板向所述前处理模块搬送的方式,来控制所述基板搬送机构。
29.根据权利要求27或28所述的半导体制造装置,其特征在于,在内部具备种子层形成机构的种子层形成模块与所述真空搬送室模块气密性地连接,所述种子层形成机构用于在所述层间绝缘膜的表面及所述凹部内形成以所述第一金属作为主成分的种子层,
所述控制部按照在将所述基板搬送到所述屏蔽膜形成模块后,向所述第一金属配线形成模块搬送之前,介由所述真空搬送室模块将所述基板向所述种子层形成模块搬送的方式,来控制所述基板搬送机构。
30.根据权利要求27~29中任意一项所述的半导体制造装置,其特征在于,所述屏蔽膜形成模块的处理容器兼用作所述第一金属配线形成模块的处理容器。
31.根据权利要求27~30中任意一项所述的半导体制造装置,其特征在于,所述层间绝缘膜含有氧或碳。
32.根据权利要求27~31中任意一项所述的半导体制造装置,其特征在于,所述层间绝缘膜的表面附近或所述层间绝缘膜中的成分的一部分为氧、或水等含有氧原子的化合物、或碳。
33.根据权利要求27~32中任意一项所述的半导体制造装置,其特征在于,所述第一金属为选自Al、Cu、Ag中的一种以上的金属。
34.根据权利要求27~33中任意一项所述的半导体制造装置,其特征在于,所述第二金属为选自Mg、Al、Ti、V、Cr、Mn、Ni、Ge、Y、Zr、Nb、Tc、Rh、Pd、Sn、Re、Pt中的一种以上的金属。
35.根据权利要求27~34中任意一项所述的半导体制造装置,其特征在于,所述屏蔽膜形成模块具备将基板加热的机构。
36.根据权利要求27~35中任意一项所述的半导体制造装置,其特征在于,所述含有第二金属的有机金属化合物不含有氧。
37.根据权利要求27~36中任意一项所述的半导体制造装置,其特征在于,所述含有第二金属的有机金属化合物因氧的存在而发生分解反应。
38.根据权利要求27~37中任意一项所述的半导体制造装置,其特征在于,所述层间绝缘膜由选自SiO膜、SiOF膜、SiC膜、SiOC膜、SiCOH膜、SiCN膜、多孔氧化硅膜、多孔甲基倍半硅氧烷膜、聚芳撑膜、注册商标为SiLK的膜和氟碳膜中的一种以上的膜构成。
39.根据权利要求27~38中任意一项所述的半导体制造装置,其特征在于,所述含有第二金属的有机金属化合物由选自Cp2Metal[=Metal(C5H5)2]、(MeCp)2Metal[=Metal(CH3C5H4)2]、(Me5Cp)2Metal[=Metal((CH3)5C5H4)2]、(EtCp)2Metal[=Metal(C2H5C5H4)2]、(i-PrCp)2Metal[=Metal(C3H7C5H4)2]、(t-BuCp)2Metal[=Metal(C4H9C5H4)2]、Metal(DMPD)(EtCp)[=Metal(C7H11C2H5C5H4)]中的一种以上的有机金属化合物构成,其中,Metal表示所述第二金属元素。
40.根据权利要求27~39中任意一项所述的半导体制造装置,其特征在于,所述第一金属为Cu,所述第二金属为Mn。
41.根据权利要求27~40中任意一项所述的半导体制造装置,其特征在于,所述还原机构或除去机构是对所述凹部供给有机酸的机构。
42.根据权利要求27~40中任意一项所述的半导体制造装置,其特征在于,所述还原机构或除去机构是对所述凹部供给氢的机构、或进行氩溅射蚀刻的机构。
43.根据权利要求41所述的半导体制造装置,其特征在于,所述有机酸是甲酸。
44.根据权利要求27~43中任意一项所述的半导体制造装置,其特征在于,在内部具备将基板加热的加热机构的退火单元与所述真空搬送室模块气密性地连接,
所述控制部按照在将所述基板搬送到所述第一金属配线形成模块后,介由所述真空搬送室模块将所述基板向所述退火单元搬送的方式,来控制所述基板搬送机构。
45.根据权利要求27~44中任意一项所述的半导体制造装置,其特征在于,所述屏蔽膜形成模块具备将所述基板加热到100℃以上且小于500℃的加热机构。
46.根据权利要求27~45中任意一项所述的半导体制造装置,其特征在于,所述屏蔽膜为无定形状。
47.根据权利要求27~46中任意一项所述的半导体制造装置,其特征在于,所述屏蔽膜的膜厚为5nm以下。
48.一种存储介质,其特征在于,用于对基板进行处理的半导体制造装置,存入了在计算机上工作的计算机程序,
所述计算机程序编写有步骤组以实施权利要求1~24中任意一项所述的半导体装置的制造方法。
CN200980101317.1A 2008-01-28 2009-01-20 半导体装置的制造方法、半导体装置、电子机器、半导体制造装置及存储介质 Pending CN101897016A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2008015803 2008-01-28
JP2008-015803 2008-01-28
JP2008217257A JP5366235B2 (ja) 2008-01-28 2008-08-26 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2008-217257 2008-08-26
PCT/JP2009/050753 WO2009096264A1 (ja) 2008-01-28 2009-01-20 半導体装置の製造方法、半導体装置、電子機器、半導体製造装置及び記憶媒体

Publications (1)

Publication Number Publication Date
CN101897016A true CN101897016A (zh) 2010-11-24

Family

ID=40912617

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980101317.1A Pending CN101897016A (zh) 2008-01-28 2009-01-20 半导体装置的制造方法、半导体装置、电子机器、半导体制造装置及存储介质

Country Status (6)

Country Link
US (1) US8247321B2 (zh)
JP (1) JP5366235B2 (zh)
KR (1) KR101178650B1 (zh)
CN (1) CN101897016A (zh)
TW (1) TWI469218B (zh)
WO (1) WO2009096264A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106328513A (zh) * 2015-07-02 2017-01-11 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107230659A (zh) * 2016-03-23 2017-10-03 三星电子株式会社 制造半导体器件的方法

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7883745B2 (en) 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
KR20100090974A (ko) * 2009-02-09 2010-08-18 삼성전자주식회사 반도체 소자의 형성 방법
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
JP5585909B2 (ja) * 2010-02-16 2014-09-10 合同会社先端配線材料研究所 コンタクトプラグ、配線、半導体装置およびコンタクトプラグ形成方法
US8531033B2 (en) * 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP5653018B2 (ja) * 2009-09-24 2015-01-14 東京エレクトロン株式会社 酸化マンガン膜の成膜方法
US8653663B2 (en) * 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
JP5429078B2 (ja) * 2010-06-28 2014-02-26 東京エレクトロン株式会社 成膜方法及び処理システム
JP5510657B2 (ja) * 2010-07-15 2014-06-04 合同会社先端配線材料研究所 コンタクトプラグ形成方法
JP2012204522A (ja) * 2011-03-24 2012-10-22 Tokyo Electron Ltd 成膜方法およびCu配線の形成方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9965850B2 (en) * 2012-07-05 2018-05-08 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US8691709B2 (en) * 2011-09-24 2014-04-08 Tokyo Electron Limited Method of forming metal carbide barrier layers for fluorocarbon films
KR101348010B1 (ko) * 2012-03-20 2014-01-08 한국산업기술대학교산학협력단 기판 배선전극 형성방법 및 이에 의해 제조된 기판
US9054109B2 (en) * 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
US10521896B2 (en) * 2012-07-05 2019-12-31 Bernard Fryshman Object image recognition and instant active response with enhanced application and utility
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US9142488B2 (en) 2013-05-30 2015-09-22 International Business Machines Corporation Manganese oxide hard mask for etching dielectric materials
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
JP6318744B2 (ja) * 2014-03-18 2018-05-09 東京エレクトロン株式会社 半導体装置の製造方法
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
JP2016167545A (ja) * 2015-03-10 2016-09-15 東京エレクトロン株式会社 ビアホール底のクリーニング方法および半導体装置の製造方法
US9564356B2 (en) * 2015-04-16 2017-02-07 International Business Machines Corporation Self-forming metal barriers
US9412654B1 (en) * 2015-04-27 2016-08-09 International Business Machines Corporation Graphene sacrificial deposition layer on beol copper liner-seed for mitigating queue-time issues between liner and plating step
JP6506702B2 (ja) 2016-01-04 2019-04-24 株式会社日立ハイテクノロジーズ 磁気抵抗素子の製造方法および真空処理装置
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
EP3309279B1 (en) * 2016-10-14 2020-07-15 ATOTECH Deutschland GmbH Wafer-like substrate processing method, apparatus and use thereof
US10760156B2 (en) 2017-10-13 2020-09-01 Honeywell International Inc. Copper manganese sputtering target
US10566428B2 (en) * 2018-01-29 2020-02-18 Raytheon Company Method for forming gate structures for group III-V field effect transistors
US11035036B2 (en) 2018-02-01 2021-06-15 Honeywell International Inc. Method of forming copper alloy sputtering targets with refined shape and microstructure
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
DE102018131694A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
US11398406B2 (en) 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
WO2020101856A2 (en) * 2018-11-13 2020-05-22 Corning Incorporated 3d interposer with through glass vias - method of increasing adhesion between copper and glass surfaces and articles therefrom
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
WO2021007319A1 (en) * 2019-07-09 2021-01-14 Entegris, Inc. Porous carbonaceous vacuum chamber liners
US20210209352A1 (en) * 2019-12-26 2021-07-08 Bernard Fryshman Insect and other small object image recognition and instant active response with enhanced application and utility
JP7486123B2 (ja) * 2020-07-02 2024-05-17 パナソニックIpマネジメント株式会社 ガスセンサ装置
CN115226325A (zh) * 2021-04-14 2022-10-21 鹏鼎控股(深圳)股份有限公司 电路板的制作方法以及电路板

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3840650B2 (ja) 1998-01-21 2006-11-01 株式会社トリケミカル研究所 配線用銅合金膜形成材料および配線用銅合金膜形成方法
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US6797608B1 (en) * 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
KR100407678B1 (ko) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속배선 형성 방법
JP2002057126A (ja) * 2000-08-10 2002-02-22 Fujitsu Ltd 半導体装置とその製造方法
US20020089063A1 (en) * 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US6905964B2 (en) * 2003-01-09 2005-06-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating self-aligned metal barriers by atomic layer deposition on the copper layer
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
JP2005050954A (ja) * 2003-07-31 2005-02-24 Toshiba Corp 半導体装置およびその製造方法
US7030023B2 (en) * 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
KR100588665B1 (ko) * 2003-12-30 2006-06-12 동부일렉트로닉스 주식회사 반도체 소자의 장벽금속층 형성 방법
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
JP2005228818A (ja) * 2004-02-10 2005-08-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP4236201B2 (ja) 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP4523535B2 (ja) * 2005-08-30 2010-08-11 富士通株式会社 半導体装置の製造方法
JP2007157959A (ja) * 2005-12-05 2007-06-21 Sony Corp 半導体装置の製造方法および半導体装置
JP4816052B2 (ja) * 2005-12-13 2011-11-16 東京エレクトロン株式会社 半導体製造装置及び半導体装置の製造方法
JP2007173511A (ja) 2005-12-22 2007-07-05 Sony Corp 半導体装置の製造方法
JP5076482B2 (ja) * 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2007287816A (ja) * 2006-04-14 2007-11-01 Sony Corp 半導体装置の製造方法
JP2008013848A (ja) * 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP5275553B2 (ja) * 2006-06-27 2013-08-28 スリーエム イノベイティブ プロパティズ カンパニー 分割チップの製造方法
KR100769144B1 (ko) * 2006-07-24 2007-10-22 동부일렉트로닉스 주식회사 에스아이피 구조의 반도체 장치 및 그 제조방법
JP5343369B2 (ja) * 2008-03-03 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106328513A (zh) * 2015-07-02 2017-01-11 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106328513B (zh) * 2015-07-02 2019-03-12 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107230659A (zh) * 2016-03-23 2017-10-03 三星电子株式会社 制造半导体器件的方法

Also Published As

Publication number Publication date
TWI469218B (zh) 2015-01-11
JP5366235B2 (ja) 2013-12-11
TW200949948A (en) 2009-12-01
WO2009096264A1 (ja) 2009-08-06
JP2009206472A (ja) 2009-09-10
US20110049718A1 (en) 2011-03-03
KR101178650B1 (ko) 2012-08-30
US8247321B2 (en) 2012-08-21
KR20100093138A (ko) 2010-08-24

Similar Documents

Publication Publication Date Title
CN101897016A (zh) 半导体装置的制造方法、半导体装置、电子机器、半导体制造装置及存储介质
CN101911266B (zh) 半导体装置的制造方法、半导体制造装置及存储介质
US6464779B1 (en) Copper atomic layer chemical vapor desposition
KR20140085330A (ko) 금속 망간막의 성막 방법, 처리 시스템, 전자 디바이스의 제조 방법 및 전자 디바이스
JP6030439B2 (ja) マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
JP5969306B2 (ja) Cu配線の形成方法
JP2010212601A (ja) CVD−Ru膜の形成方法および半導体装置の製造方法
JP2008244298A (ja) 金属膜の成膜方法、多層配線構造の形成方法、半導体装置の製造方法、成膜装置
US10096548B2 (en) Method of manufacturing Cu wiring
WO2008010371A1 (fr) Procédé de fabrication de dispositif semi-conducteur, appareil de fabrication de dispositif semi-conducteur, programme informatique et support de stockage
US9916975B2 (en) Precursors of manganese and manganese-based compounds for copper diffusion barrier layers and methods of use
KR20180117575A (ko) Cu 배선의 제조 방법 및 Cu 배선 제조 시스템
KR20100024416A (ko) 성막 방법 및 처리 시스템
KR20180068328A (ko) 구리 배선의 제조 방법
US7846839B2 (en) Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
JP2002329682A (ja) Cu薄膜作製方法
JP2006024668A (ja) 半導体装置の製造方法
JP2009044056A (ja) 銅膜作製方法
JP2006024667A (ja) 半導体装置の製造方法
JP2013048268A (ja) 銅膜作製方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20101124