CN101743610A - 平面基板的处理装置 - Google Patents

平面基板的处理装置 Download PDF

Info

Publication number
CN101743610A
CN101743610A CN200880024180A CN200880024180A CN101743610A CN 101743610 A CN101743610 A CN 101743610A CN 200880024180 A CN200880024180 A CN 200880024180A CN 200880024180 A CN200880024180 A CN 200880024180A CN 101743610 A CN101743610 A CN 101743610A
Authority
CN
China
Prior art keywords
substrate
electrode
process chamber
reactor
operating means
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880024180A
Other languages
English (en)
Other versions
CN101743610B (zh
Inventor
M·格尔斯勒尔
T·默茨
M·罗德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Buehler Alzenau GmbH
Original Assignee
Leybold Optics GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Leybold Optics GmbH filed Critical Leybold Optics GmbH
Publication of CN101743610A publication Critical patent/CN101743610A/zh
Application granted granted Critical
Publication of CN101743610B publication Critical patent/CN101743610B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Abstract

本发明涉及一种用于处理平面基板的反应器,其具有真空室(11)、处理腔(9),设置第一电极(5)和对电极(7)以用于产生离子体和形成该处理腔的两对置的壁,以及将气态材料引入(19,23,25)处理腔和从处理腔排出的装置,其中该基板(3)可由对电极接受。还具有对真空室的加料和排料口和用于改变电极间相对间距的装置(41,43),其中第一个较大的间距是在装入处理腔或从处理腔卸出时设定,第二个较小的间距在实施处理时设定,和/或设置与对电极相关联的用于接受基板的装置,该装置如此构成,即该基板至少在进行处理时,以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置,该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。

Description

平面基板的处理装置
技术领域
本发明涉及一种用于处理平面基板的反应器和方法、适于平面基板的操作装置和用于制备各独立权利要求前序部分的平面基板的装置。
背景技术
由EP 0312447B1已知一种在适于等离子体-淀积工艺(PECVD)的电子学应用或光电子学应用的基板上制备薄层的方法,其中在有淀积等离子体存在下将制备涂层的反应气体引入置于真空室中的等离子体箱中。在真空室中产生和保持的压力低于等离子体箱中存在的压力。由EP 02218112 B1以及US 4798739也已知类似的方法。其它的反应器,特别是具有多个处理基板腔的反应器公开于DE 19901426A1、US 6183564B1、US 5944857以及日本专利申请JP 06267808A摘要中。
所提及的为以低成本制备高效的太阳能电池所用的以硅烷和氢作为工艺气体的PECVD-法,其重要淀积参数是气体压力、气体流量、等离子体激发的功率密度和频率、基板温度、气体组成以及电极和对电极间的间距。为达高淀积率,高的气体流量和缩小电极间距是很重要的。合适的电极间的间距为0.5-15mm。在此小间距下将基板引入电极间的空间是有问题的,以致在涂层时为确保不中断层生长的高生产率就需平行的生产线,为此要使用簇状式装置,对现今所需的1.4m2或更大的基板而言,该装置需高的建造耗费。
已知一种中心-簇状式装置,其中围绕中心点安置平行处理室,在中心点设置中心操作装置。中心-簇状式装置的缺点在于,在大基板情况下,中心操作装置非常大且难以接近,并且处理室的数目和由此可达到的在产量是有限的。还已知一种例如在制备TFT-显示器时使用的垂直-簇状式装置。该垂直-簇状式系统包括具有平面处理室的塔式建筑,由此难以进行各部件间的有效气体隔离和重叠安装的层数也受限制。
发明内容
本发明的目的是能对平面基板进行有效的等离子体处理,特别是提供一种相应的反应器以及用于处理平面基板的方法,此外本发明的目的是还能简易和可靠地操作平面基板以及可改进所处理基板的制备。
本发明的目的是通过独立权利要求的特征部分实现的。
本发明的用于处理平面基板的反应器具有真空室,其中配置有处理腔,该处理腔中设置第一电极和对电极以用于产生处理待处理的表面的等离子体和形成该处理腔的两对置的壁,并具有引入装置和排出装置,用于将气态材料特别是涂层材料或净化材料引入和/或排出处理腔,至少一块基板可通过对电极接纳在对电极朝向所述电极的正面上,还设置该真空室的加料和排料口,优选具有封密装置,该反应器的特征在于,提供用于改变电极间相对间距的装置,其中第一个较大的间距是在至少一块基板装入或卸出处理腔时设定,第二个较小的间距在对至少一块基板实施处理时设定;和/或与对电极相关联的用于接受基板的装置,该装置如此构成,即该至少一块基板至少在进行处理时特别是涂层时,优选也在装入处理腔或从处理腔卸出时,以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置。在本发明中,特别是用于太阳能电池的基板、玻璃板等均称为平面基板。通常是1.4m2或更大的矩形基板。在本发明中,借助于在两平面电极间产生的等离子体,特别是使用PECVD法对基板进行的各类改变均称为处理。
有利的是,借助于改变间距的装置可使电极和对电极相互较贴近,也可减小电极和基板之间的间距。由此在涂层时可对层结构产生有利影响。也可在基板处理时改变间距和由此改变工艺参数,以控制处理过程。当然,在改变间距时可移动电极,也可移动对电极或移动两者。
此外,有利的是,在进行处理时该基板以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置。由此降低了该基板敏感的待处理表面或处理过的表面由颗粒污染的风险,因为少量颗粒可到达该表面上。当处理腔中形成的层,例如由硅组成的层碎裂时会形成这种颗粒。该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°,因为由此可减少通过反应器的水平方向的所需空间。
在本发明的适于平面基板的操作装置中提供有至少一个用于一个或多个基板的抓臂组件,该抓臂组件如此构成,即该基板可平行于其表面移动,并且至少在装入和卸出处理腔时该基板以其待处理表面朝下而对垂直线成0°-90°之间的某一角度α配置。由此有利的是,该基板以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置就可减少在操作该基板时对待处理表面或处理过的表面的污染。
优选的是本发明的反应器与本发明的操作装置的组合,此外还提供了控制器、传感器和驱动器,借助于传感器可测出基板相对于反应器的电极和/或对电极的位置,并借助于控制器和驱动器实施向反应器或真空室的装入或卸出。
本发明的另一方面是提供一种用于处理平面基板的装置,该装置具有沿纵向延伸的输送腔、至少一个用于处理平面基板的与输送腔相连的或可相连的处理接受器和用于输送基板的可沿纵向移动的输送机械手,该处理接受器和/或输送机械手如此构成,即该基板至少在设定的时间间隔中,优选在处理接受器中进行该基板处理时以其待处理的表面相对垂直线成0°-90°之间的某一角度α配置。该基板至少在设定的时间间隔中,优选在处理接受器中进行基板处理时或在装入和卸出处理接受器时以其待处理的表面朝下而相对垂直线成0°-90°之间的某一角度α配置是有利的,因为由此减少了对待处理表面或处理过的表面的污染,并同时可使在平面基板处理时可保持较小的所需空间。优选是无运载工具(输送框架)的基板固定,因为运载工具是昂贵的,并且在热负荷下是不稳定的。这种固定方式的先决条件是该基板具有可边缘直立的某种刚度。
本发明的另一方面是提供一种用于在一种反应器中处理平面基板的方法,该反应器具有真空室,真空室中安装有处理腔,其中设置有用于产生处理待处理的表面的等离子体的第一电极和对电极和形成该处理腔的两对置的壁,并提供了引入装置和排出装置,用于将气态材料特别是涂层材料或净化材料引入或排出处理腔,电极间的相对间距是可调的,该第一个较大的间距是在至少一块基板装入或卸出处理腔时设定,该第二个较小的间距在对该至少一块基板实施涂层时设定,和/或该至少一块基板至少在进行处理时特别是涂层时,优选也在引入或卸出处理腔时以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置。
本发明的另一方面涉及一种用于处理平面基板的方法,其利用沿纵向延伸的输送腔、至少一个用于处理平面基板的对应于输送腔的处理接受器和用于输送基板的可沿纵向移动的输送机械手,该处理接受器和/或输送机械手可使基板至少在设定的时间间隔中,优选在处理接受器中进行基板处理时以其待处理表面相对垂直线成0°-90°之间的某一角度α配置。
本发明各方面的其它有利的实施方案列于从属权利要求中。
附图说明
下面依附图详述本发明,由其也得出与权利要求中的记载无关的本发明的其它特征、细节和优点。
图1以俯视图示出县有两电极的反应器的纵截面,其中该两电极相互呈小间距布置;
图2示出类似图1中的反应器的纵截面,但另加有泵抽通道;
图3示出图2所示反应器的视图,其中电极相互呈大间距布置,并且基板被部分引入该反应器中;
图4以侧视图示出反应器的对电极和外壳壁的纵截面并示出了垂直方向L;
图5以侧俯视图示出用于平面基板的操作装置的抓臂;
图6示出具有框架和两井筒的操作器组件的立体图;
图7以俯视图示出作业线的截面;
图8示出作业线的立体图;
图9示出作业线细节的立体图;
图10示出具有穿梭运输工具的作业线截面;
图11以俯视图示出双处理腔反应器的纵截面。
具体实施方式
下面对用于处理平面基板的反应器、操作、设备和方法的叙述集中于结构方面,对本专业人员而言显而易见的是,在这些设备和方法中还提供有未详细示出的传感器、加热组件和冷却组件、控制装置和驱动装置。
图1以简图示出用于处理平面基板3的反应器1。该反应器1例如可设计成PECVD反应器。该反应器1包括具有电极5和对电极7的处理腔9,该电极是用于产生处理一块或多块平面基板3的待处理表面的等离子体。该电极5、7可连接或已经连接到未详细示出的电压源、优选高频电源上,以在处理腔9中产生电场。该电极5、7优选用于处理面积至少为1.4m2的基板,并作为制备高效薄层太阳能组件,如非晶形或微晶形的硅薄层太阳能电池的处理步骤或加工步骤。
该电极5、7形成该处理腔9的两对置的壁。该处理腔9处于具有加料口和排料口49的真空室11中。该真空室11可用封闭装置27密封。该封闭装置是任选的。真空室11由反应器1的外壳13形成。为对环境密封设置有密封件15。
真空室11可呈任意的空间形状,例如有圆形或多角形特别是矩形的截面。该处理腔9例如呈扁平的平行六角体。
采用已知的装置加入和排出气态材料,该气态材料特别是涂层材料或净化材料。该净化材料例如可以是NF3。该气态材料的加入和排出可以是依顺序的也可以是平行的。
在图1-2中作为排除气态材料的装置示出真空泵17和相关的真空导管18。作为加入气态材料的装置设置了具有通道23的涂料源19,该涂料源连接在气体分配器25上。在本实施方案中该气体分配器25类似于喷淋头,其包括大量通入处理腔9中的通孔,经该通孔将气态材料加入处理腔9中。当然,加入气态材料的装置也可与图1中所示的如气体分配器25不同。
按本发明,该反应器1具有用于改变电极之间的相对间距的装置,在图1-3的实施方案中该装置可为滑动支杆41,其可借助于支承板43在真空室11中作线性移动。该滑动支杆与对电极7的背向电极5的背面相连。与滑动支杆41相关的驱动装置未示出。
该电极5安装在真空室11中的支承结构,按图1-3所示该支承结构由外壳后壁33形成。为此该电极5配置在该支承结构的凹槽中,并通过介电材料34与真空室壁隔离。该基板3安放在对电极7的面朝电极5的正面上。
在图1中看出,在进行处理时该对电极7覆盖所述凹槽。在对电极7的边缘区和凹槽边缘区之间形成宽度为1mm数量级的缝隙。该缝隙的宽度的大小要使在进行处理时能将等离子体保持在处理腔的内部。该缝隙导致在处理腔和真空室11的其余内腔之间几乎不形成大的压降。在处理腔9之外的真空室11的区域通过真空导管18与真空泵17相连,以致在真空泵17运行时由于较大的体积可用简单的方法实现经缝隙来自处理腔9的气流量的高均匀性。当然,本发明也包括其它结构的装置来从处理腔中去除气态材料。
图2和3示出另一种类似于图1的反应器1,下面仅涉及其不同点。
图2和3的反应器1具有优选是环绕的泵抽通道29,其在支承结构中由槽形的第二凹槽构成。在上游该泵抽通道29经抽空通道31与处理腔9相连。此外,在下游该泵抽通道29还经真空导管18与真空泵17相连。在通过对电极7覆盖凹槽时,该泵抽通道是与真空室11以气密的方式隔开的或是可隔开的。为此优选设置耐温的密封环37。优选是在进行处理平面基板时实施覆盖。有利的是在进行处理时可在处理腔9中产生达10mbar的较高的工作压力,而在工艺室中的工作压力为10-2-10-4mbar。
在本发明的另一实施方案中,该对电极7具有在图1-3中未示出的用于接受平面基板的装置,该装置如此构成,即一块基板或多块基板至少在进行处理时以其对待处理表面或处理过表面朝下而相对垂直线成0°-90°之间的某一角度α配置。在基板的这种配置下,可避免或至少降低对待涂基板表面或经涂过的基板表面的污染,因为相关的颗粒在重力场中向下并由此与受威胁的表面分离。该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
图3中未示出封闭装置27,该基板3通过口49已部分送入反应器1的处理腔9。双箭头47表示基板3的送入方向或卸出方向。可看出,通过拉回对电极使其接近外壳13的外壳壁45就可特别容易将基板3送入处理腔9,因为该真空室11的几乎整个空间为此得以扩大。
将基板3送入反应器1后,可将基板3安放在对电极7的面朝电极5的正面上。
用于接受基板的装置可设计成适用于以具有运载工具的基板。
在本发明的一个优选实施方案中,该用于接受基板的装置设计成用于无框式接受一块或多块基板或用于无框式的运载工具。
此外,用于接受基板的装置还可制成用于改变待接受的基板或已接受的基板与对电极的正面的表面之间的间距。特别是该基板在送入或卸出处理腔时其距该对电极的所述表面的间距比在进行处理时的间距更大。
接受基板的装置至少在该对电极7的上边缘区可具有至少一个用于一块或多块基板的上保持部件或至少在该对电极7的下边缘区具有至少一个用于一块或多块基板的下保持部件。
图4中以侧视图示出本发明反应器的对电极100和外壳壁120的纵截面,示出了垂直方向L。图中包括基板105。该基板以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置。图中未示出与对电极相对配置的电极。
该下保持部件制成适于基板105的下边缘的支承部件115。在这种情况下,该支承部件115制成具有金属支承件116的支杆118,该支承件116凸进图4中未示出的处理腔,并具有优选由陶瓷制成的中间段117,该支杆穿过对电极100的衬套而在对电极100的背面延伸进真空室11的区域。该支杆118的端区在对电极100向外壳壁120的方向拉回时压到止挡119,并因此从该对电极100的前表面向处理腔方向移动。由此该基板105的下边缘从对电极100的前表面移开并因此该基板形成对所述表面的较大间距。至少部分支杆118由充满惰性气体如氮气的护套130围绕,并提高了该区域的耐蚀性,这种效果特别是在引入高腐蚀性的净化剂时被显示出来。
该上保持部件制成适于基板105的上边缘的具有金属支座件111的支架110。该支座与支杆113相连,该支杆经穿过对电极100的衬套而在对电极100的背面延伸进真空室11的区域。此外在支座件111和支杆113之间还置有优选由陶瓷制成的中间件112。该支杆113在对电极100向外壳壁120的方向拉回时压到止挡114,并产生相对于对电极100的前表面的移动。由此可增大基板105和对电极100的前表面之间的间距。通过在基板105和对电极100的前表面之间的间距的变化可实现向处理腔的可靠进料和卸料,因为在进料和卸料时该基板在空间上脱开了该对电极100的前表面。
此外,在本发明的一个优选实施方案中,当对电极100例如为进行基板处理向电极方向移动时,该相对于对电极的前表面可线性移动的保持部件压向一个或多个止挡,该止挡例如设在其中配置有电极的凹槽的无涂层的边缘区。由此减小了基板和对电极前表面之间的间距,有利的是该基板压向该所述表面,以致在进行处理时可实现该基板位置的固定。在本发明的另一实施方案中,作为替代或者附加,将该基板的一个或两个侧区指定给一个或多个保持部件。
此外,该保持部件还可相对于对电极的前表面作可旋转的移动,以简化该基板的装入动作或卸出动作。
因为至少在处理,特别是在进行涂层时,在处理腔中规定的电势状况是很重要的,所以该保持部件呈电浮置状态。
在本发明的适于平面基板的具有至少一个抓臂组件的操作装置中,该抓臂组件如此制成,即该基板例如在装入和卸出处理腔时,以其待处理表面或处理过的表面朝下而相对垂直线成0°-90°之间的某一角度α配置。该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
图5中示出抓臂200,该抓臂包括具有上臂架和下臂架206、207的框架205。在上臂架206上配置有支架211和在下臂架207上配置有支座212和213,以用于由抓臂200保持的基板220。该抓臂200可无框地保持基板220,这时基板以其下边缘直立配置。该框架可通过传动装置在垂直方向上平行于箭头225移动和在水平方向上平行于箭头230移动。借助于垂直移动可将基板220装在至少一个用于基板固定的下保持部件上或由该部件接纳基板。
图6以立体图示出了具有框架305和通道350的操作器组件300。
该框架可平行于箭头330的方向进出通道350。以外,该操作组件300还具有带有另一个不可见的框架的第二通道355。基板320类似于图5中所示配置在臂架306和臂架307之间的区域。此外,该操作器还有加热部件325以加热至少进入通道350中的框架305中的基板。该操作器还有保证其运动性的轮子340。除框架305平行于箭头330的移动外,该框架305还可垂直移动。为实行框架移动所需的驱动组件未示于图5和6中。
本发明的操作装置宜组合进本发明的反应器中。此情况下向反应器的处理腔的装入或卸出通过抓臂在平行于待装入处理腔的或待卸出处理腔的基板的表面的水平或垂直移动的组合实现。优选是如结合图4所述,即在装入或卸出时,在基板和对电极前表面之间的间距保持较大,并且该基板放在至少一个用于接受基板的装置的下保持部件上或由该下保持部件所接纳。
在一种具有第一抓臂和第二抓臂的操作装置中,可用简单的方法完成由第二块基板来取代在反应器经处理过的第一块基板。这时第一块基板由反应器卸出并进入操作装置,接着将已在操作装置中存在的第二块基板送入反应器。由此仅需该操作装置相对于反应器移动,以确保该抓臂相对于装入口和卸出口的准确定位。
本发明的用于处理平面基板的装置以截面形式示于俯视图7中。
图7示出作业线400,其具有由孔道420形成的输送通道,并有一系列构成反应器410的、与孔道420相连的处理接受器来处理平面基板。
在该优选是经加热的孔道420中存在有机械手430,为明显起见也在孔道420中的第二位置以标号430’示出机械手。该机械手430配置在导轨435上。此外,在该作业线的入口处装有两个加热组件450和455,该加热组件450例如可在大气压力下加热。处理接受器或反应器410用活门440与孔道相连。该孔道420是可抽真空的和/或可充有惰性气体如氮或氩等。标号415表示与孔道分开的反应器。
如图7中的作业线特别适于处理用于薄层太阳能电池的基板。这类薄层太阳能电池包括由非晶形硅制成的P--n层和由微结晶硅制成的P-I-N层。优选该掺杂层和本征层在不同的处理接受器中淀积,以防止夹带对本征层的效率会产生不利影响的掺杂剂。所示出的作业线可进行高效的平行处理。
图8为图7所示的作业线的立体图,从中可看出,由可结合的和可脱开的呈组件形成的反应器410呈可移动式配置在导轨416上,以尽可能减少作业线的停机时间。在维修或故障情况下可从孔道脱开该反应器,而同时不会中断其余的处理。
图9更详细示出作业线400具有一个脱开的反应器415的状态。为看清起见活门440是打开的,这样可看出在孔道中机械手上的基板490。
图10示出用于处理平面基板的本发明装置的另一个实施方案,其中输送机械手与真空接受器和在其中配置的用于平面基板的操作装置一起构成穿梭运输工具438或438’。该穿梭运输工具具有活门436,其可与一个或多个处理接受器410以真空方式相连接。在本发明的此实施方案中,该输送腔宜不抽真空。本发明的这种实施方案特别适合非常大的基板,因为要抽真空的体积保持很小。为使穿梭运输工具438与电源和介质源相连可配置牵引链439。在一个优选实施方案中,该穿梭运输工具438具有本身的宜较小的泵位,其与真空接受器一起例如配置在基座板上。在穿梭运输工具438或真空接受器结合到处理接受器上时,该处于两活门之间的空间经本身的泵或经计量阀也可能经所存在的往复式泵抽空。
如果设置传感器以测定在真空接受器中配置的操作器和/或与其结合的基板相对于处理接受器中电极或对电极的相对位置是有利的。再经控制器可控制基板装入和卸出处理接受器的准确结合。
图11以俯视形式示出了用于处理平面基板的另一种反应器截面图,其具有配置有第一处理腔530的第一真空室520,具有用于产生处理待处理表面的等离子体的第一电极501和第一对电极502,其中该第一电极501和第一对电极502形成该处理腔530的两对置的壁。
此外还配置用于改变电极间相对间距的装置,该第一个较大的间距是在基板装入或卸出处理腔530时设定,该第二个较小的间距在对至少一块基板实施处理时设定。该用于改变电极间相对间距的装置包括偏心轮512,通过该偏心轮512,旋转驱动器508可使对电极502平行位移。此外还配置有盘形弹簧506,其可使对电极502摆动,该摆动通过偏心轮驱动器512限制。此外还配置有与对电极相关的用于接纳基板的装置,其类似于已描述过的装置,但在图11中未示出。
该反应器500还包括配置有第二处理腔的第二真空室,其中配置有用于产生处理待处理表面的等离子体的第二电极和第二对电极,其各形成该第二处理腔的两对置壁。该具有第二处理腔的第二真空室以类似于具有第一处理腔的第一真空构成,并配置在第一电极的背面,即在第一电极的与第一对电极对置的一侧。优选该第二真空室相对第一真空室呈镜像构成。该第二真空室还包括用于改变电极和对电极之间的间距的装置。此外,该反应器500还包括射频输入系统510、外壳条板511、陶瓷止挡513、外壳门514以及密封圈516和真空波纹管517。
附图中的标号表
1    反应器
3    基板
5    第一电极
7    第二电极
9    处理腔
11   真空室
13   外壳
15   密封件
17   真空泵
18   真空导管
19   涂料源
21   表面
23    通道
25    气体分配器
27    封闭装置
29    泵抽通道
31    抽空通道
33    外壳后壁
34    介电材料
35    槽
37    密封环
38    密封环
39    双向箭头
41    滑动支杆
43    支承板
45    外壳壁
47    双箭头
49    口
100   对电极
105   基板
110   支架
111   支座件
112   插片
113   支杆
114   止挡
115   支承部件
116   支承件
117   插片
118   支杆
119   止挡
120   外壳壁
130   护套
200   抓臂
205   框架
206    臂架
207    臂架
211    支架
212    支座
213    支座
220    基板
225    箭头
230    箭头
300    操作组件
305    框架
306    臂架
307    臂架
320    基板
325    加热部件
330    箭头
340    轮子
350    通道
355    通道
400    作业线
405    作业线
410    反应器
415    分离的反应器
416    导轨
420    孔道
430    机械手
430’  机械手
435    导向轨
436    活门
439    牵引链
440    活门
450    加热组件
455    加热组件
460    箭头
470    箭头
480    箭头
490    基板
500    反应器
501    电极
502    对电极
503    介电材料
504    基板
505    引入和排出导管
506    盘形弹簧
507    扁形带悬挂装置
508    旋转驱动器
509    高频接触带
510    高频输入系统
511    外壳条板
512    偏心轮
513    陶瓷止挡
514    外壳门
515    反应器的另一半
516    密封件
517    真空波纹管

Claims (38)

1.一种用于处理平面基板、特别是用涂层材料涂敷平面基板的反应器,其具有:
-真空室,其中配置有处理腔,该处理腔中设置第一电极和对电极以用于产生处理待处理的表面的等离子体和形成该处理腔的两对置的壁,
-引入装置和排出装置,用于将气态材料特别是涂层材料和/或净化材料引入处理腔和/或从处理腔排出,
-至少一块基板可通过对电极被接纳在该对电极朝向所述第一电极的正面上,
-该真空室的加料和排料口优选具有封密装置,
其特征在于,设置用于改变电极间相对间距的装置,其中第一个较大的间距是在该至少一块基板装入或卸出处理腔时设定,第二个较小的间距在对该至少一块基板实施处理时设定,
和/或与该对电极相关联的用于接受基板的装置,该装置如此构成,即该至少一块基板至少在进行处理时特别是涂层时,优选也在装入或卸出处理腔时,以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置,该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
2.权利要求1的反应器,其特征在于,所述电极中的至少一个具有用于涂料和/或净化材料的气体分配器。
3.权利要求1或2的反应器,其特征在于,在真空室中设置有第一电极的固定结构,该电极配置在固定结构的凹槽中,在进行处理时该对电极覆盖凹槽,在对电极的边缘区和所述凹槽边缘区之间形成缝隙,该缝隙的大小要使在处理腔中产生的等离子体保持或可保持在处理腔的内部,优选在处理腔之外的区域真空室与真空泵可相连或与真空泵相连。
4.权利要求1或2的反应器,其特征在于,在真空室中设置有第一电极的固定结构,该电极配置在固定结构的第一凹槽中,并在该固定结构中设置有第二凹槽,该第二凹槽形成有与处理腔相关联的泵抽通道,该通道与真空泵可相连或与真空泵相连,该处理腔通过至少一个抽空通道与泵抽通道相连,并且至少在进行处理时该对电极覆盖该第一和第二凹槽。
5.前述权利要求之一的反应器,其特征在于,该真空室对应有用于向处理腔装入和卸出至少一块基板的操作装置。
6.权利要求5的反应器,其特征在于,该操作装置如此构成,即该至少一块基板至少在装入和卸出该处理腔时,以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置,该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
7.前述权利要求之一的反应器,其特征在于,用于接受基板的装置设计成具有运载工具框架。
8.前述权利要求之一的反应器,其特征在于,用于接受基板的装置设计成以无框式接受一块或多块基板。
9.前述权利要求之一的反应器,其特征在于,用于接受基板的装置做成用于改变基板和对电极前表面之间的间距,特别是在装入或卸出处理腔时该基板离该对电极的所述表面的间距大于进行处理时的间距。
10.前述权利要求之一的反应器,其特征在于,用于接受基板的装置至少在上边缘区具有至少一个适于一块或多块基板的上保持部件,至少在下边缘区具有至少一个适于一块或多块基板的下保持部件。
11.前述权利要求之一的反应器,其特征在于,该上保持部件做成适于一块或多块基板的上边缘区的支架。
12.前述权利要求之一的反应器,其特征在于,该下保持部件做成适于一块或多块基板的下边缘的支承部件。
13.前述权利要求之一的反应器,其特征在于,该上和/或下保持部件做成可相对于对电极的所述表面作线性的和/或可旋转的运动。
14.前述权利要求之一的反应器,其特征在于,该保持部件做成用于改变基板和对电极的所述表面之间的间距。
15.权利要求7-14至少之一的反应器,其特征在于,该用于固定的装置具有金属部件,该部件配置在处理腔且相对于对电极或与处理腔中的等离子体相接触的部件呈电浮置状态或电绝缘。
16.权利要求11-15之一的反应器,其特征在于,该上和/或下保持部件各穿过所述对电极衬套而延伸进该对电极背面的真空室区域中,并可与配置在真空室中的部件共同产生该上和/或下保持部件的线性移动和/或旋转运动。
17.一种用于平面基板的操作装置,其具有至少一个适于一块或多块基板的抓臂组件,其特征在于,该抓臂组件如此构成,即该基板可平行于其表面移动,并且至少在装入和卸出处理腔时该基板以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置,该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
18.权利要求17的操作装置,其特征在于,在该抓臂组件有对应的通道,并且该抓臂组件可平行于该基板的表面移进和移出该通道。
19.权利要求17或18的操作装置,其特征在于,该抓臂组件具有用于保持基板的运载工具框架。
20.权利要求17或18之一的操作装置,其特征在于,该抓臂组件做成适于基板的无框固定,基板以其下边缘之一竖直配置。
21.权利要求20的操作装置,其特征在于,该抓臂组件做成具有上臂架和下臂架的框架,该上臂架具有至少一个用于基板的上保持部件和该下臂架具有至少一个用于基板的下保持部件。
22.权利要求21的操作装置,其特征在于,该上保持部件做成适于一块或多块基板的上边缘区的支架和/或该下保持部件做成适于一块或多块基板的下边缘的支承部件。
23.权利要求21或22的操作装置,其特征在于,借助于升降装置竖直设置框架,用于在框架和一个保持装置之间进行基板移交时接纳或将一块或多块基板安放到该保持装置的至少一个下保持部件上。
24.权利要求17-23之一的操作装置,其特征在于,该操作装置具有辊、轮等以确保其可移动性。
25.权利要求17-24之一的操作装置,其特征在于,设置有加热部件用来加热在操作装置上的或在操作装置中的基板。
26.权利要求17-25之一的操作装置,其特征在于,该操作装置具有适于一块或多块基板的第一抓臂组件且优选带相对应的通道,并具有第二抓臂组件且优选带相对应的通道。
27.权利要求1-25之一的操作装置,其特征在于,该操作装置具有第一、第二、第三或第四臂组件,并且各带相对应的通道。
28.一种用于处理平面基板的装置,其具有沿纵向延伸的输送腔、至少一个用于处理平面基板的与输送腔对应的或可相连的处理接受器和用于输送基板的可沿纵向移动的输送机械手,其特征在于,该处理接受器和/或输送机械手如此构成,即该基板至少在设定的时间间隔中,优选在处理接受器中进行该基板处理时以其待处理的表面相对垂直线成0°-90°之间的某一角度α配置,该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
29.权利要求28的装置,其特征在于,该输送机械手做成具有真空接受器和在其中配置的适于平面基板的操作装置的穿梭运输工具。
30.权利要求29的装置,其特征在于,该真空接受器可与处理接受器以真空工程方式相连。
31.权利要求30的装置,其特征在于,设置有传感器以测定在真空接受器中配置的操作器和/或与其对应的基板相对于给定的表面,特别是电极或对电极的相对位置。
32.权利要求28-31之一的装置,其特征在于,该处理接受器是权利要求1-16的反应器。
33.权利要求28-32之一的装置,其特征在于,该处理接受器做成可在输送腔上结合和脱开的组件。
34.权利要求1-33之一的装置,其特征在于,该处理接受器构成可在穿梭运输工具上结合和脱开的组件。
35.权利要求32-34之一的装置,其特征在于,设置有导轨,该处理接受器可在导轨上移动。
36.上述权利要求之一的装置,其特征在于,该输送腔做成可抽真空和/或可充填惰性气体或纯粹为大气的输送孔道。
37.权利要求28-36之一的装置,其特征在于,该输送腔具有导轨,输送机械手可在该导轨上移动。
38.一种用于处理平面基板、特别是在一个反应器中用涂层材料涂敷平面基板的方法,所述反应器具有:
-真空室,其中配置有处理腔,该处理腔中设置第一电极和对电极以用于产生处理待处理的表面的等离子体和形成该处理腔的两对置的壁,
-引入装置和排出装置,用于将气态材料特别是涂层材料或净化材料引入处理腔或从处理腔排出,
-其中至少一块基板可通过所述对电极被接纳在对电极朝向所述第一电极的正面上,
-该真空室的加料和排料口优选具有密封装置,
其特征在于,电极之间的相对间距是可调的,其中第一个较大的间距是在该至少一块基板装入处理腔或从处理腔卸出时设定,第二个较小的间距在对该至少一块基板实施处理时设定,
和/或该至少一块基板至少在进行处理时特别是涂层时,优选也在装入处理腔或从处理腔卸出时,以其待处理表面朝下而相对垂直线成0°-90°之间的某一角度α配置,该角α的值优选为1°、3°、5°、7°、9°、11°、13°、15°、17°、20°、25°、30°、40°、45°。
CN200880024180XA 2007-05-09 2008-04-28 平面基板的处理装置 Expired - Fee Related CN101743610B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102007022252 2007-05-09
DE102007022252.3 2007-05-09
DE102007022431.3 2007-05-10
DE102007022431A DE102007022431A1 (de) 2007-05-09 2007-05-10 Behandlungssystem für flache Substrate
PCT/EP2008/003414 WO2009003552A2 (de) 2007-05-09 2008-04-28 Behandlungssystem für flache substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2013101177983A Division CN103295869A (zh) 2007-05-09 2008-04-28 平面基板的处理装置

Publications (2)

Publication Number Publication Date
CN101743610A true CN101743610A (zh) 2010-06-16
CN101743610B CN101743610B (zh) 2013-04-24

Family

ID=39829464

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200880024180XA Expired - Fee Related CN101743610B (zh) 2007-05-09 2008-04-28 平面基板的处理装置
CN2013101177983A Pending CN103295869A (zh) 2007-05-09 2008-04-28 平面基板的处理装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2013101177983A Pending CN103295869A (zh) 2007-05-09 2008-04-28 平面基板的处理装置

Country Status (15)

Country Link
US (1) US20100255196A1 (zh)
EP (1) EP2147452B1 (zh)
JP (1) JP5243525B2 (zh)
KR (1) KR101284961B1 (zh)
CN (2) CN101743610B (zh)
AU (1) AU2008271675A1 (zh)
BR (1) BRPI0811313A2 (zh)
CA (1) CA2688522A1 (zh)
DE (1) DE102007022431A1 (zh)
HK (1) HK1146153A1 (zh)
MA (1) MA31423B1 (zh)
MX (1) MX2009012112A (zh)
TN (1) TN2009000477A1 (zh)
TW (1) TW200902755A (zh)
WO (1) WO2009003552A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103956315A (zh) * 2014-05-22 2014-07-30 中国地质大学(北京) 一种电极间距可调的离子反应腔室及电极间距调整装置
TWI649245B (zh) * 2016-12-09 2019-02-01 財團法人金屬工業研究發展中心 transmission

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102597306A (zh) * 2009-07-26 2012-07-18 莱博德光学有限责任公司 处理室的清洁
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
MX2012010842A (es) * 2010-03-22 2013-04-03 Luxxotica Us Holdings Corp Deposicion auxliada por haz ionico de recubrimientos para lentes oftalmicas.
DE102010027168A1 (de) 2010-07-14 2012-01-19 Leybold Optics Gmbh Verfahren und Vorrichtung zur Plasmabehandlung flacher Substrate
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102888596B (zh) * 2011-07-22 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置及具有该腔室装置的等离子体处理设备
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140033911A (ko) * 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101440233B1 (ko) * 2013-05-27 2014-09-12 권국래 히터블록 위치측정장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015003379A1 (de) 2015-03-17 2016-09-22 Manz Ag Plasmaerzeugungsvorrichtung mit einer Induktionsspule
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108315721B (zh) * 2018-04-24 2020-04-03 武汉华星光电技术有限公司 成膜机台及成膜制程调整基板偏转量的方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102139615B1 (ko) * 2018-07-10 2020-08-12 세메스 주식회사 기판 처리 장치
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN117476791A (zh) * 2018-09-29 2024-01-30 苏州腾晖光伏技术有限公司 一种用于光伏组件的层压辅助装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2653736A1 (de) * 1976-11-26 1978-06-01 Bosch Gmbh Robert Verfahren und vorrichtung zur kontinuierlichen beschichtung von glas- oder keramiksubstraten mittels kathodenzerstaeubung
JPS53124968A (en) * 1977-04-08 1978-10-31 Hitachi Ltd Continuous vapor deposition apparatus
FR2589168B1 (fr) 1985-10-25 1992-07-17 Solems Sa Appareil et son procede d'utilisation pour la formation de films minces assistee par plasma
JPS639117A (ja) * 1986-06-30 1988-01-14 Matsushita Electric Ind Co Ltd 半導体薄膜形成装置
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
JP2580663B2 (ja) * 1987-12-28 1997-02-12 株式会社島津製作所 薄膜形成装置の基板保持機構
JP2602304B2 (ja) * 1988-11-21 1997-04-23 富士電機株式会社 複合酸化物超電導薄膜の製造方法
JPH02197568A (ja) * 1989-01-25 1990-08-06 Ulvac Corp 縦型基板ホルダ
JPH02294018A (ja) * 1989-05-09 1990-12-05 Hitachi Ltd 成膜装置
JPH0385466U (zh) * 1989-12-21 1991-08-29
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JPH06267808A (ja) 1993-03-15 1994-09-22 Hitachi Ltd チャンバ接続用ガイド機構付きマルチチャンバ装置
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
JP3073376B2 (ja) * 1993-11-09 2000-08-07 三菱重工業株式会社 成膜装置の基板搬送装置
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
CN1097316C (zh) * 1995-08-04 2002-12-25 精工爱普生株式会社 薄膜晶体管的制造方法、有源矩阵基板的制造方法以及液晶显示装置
JPH09316642A (ja) * 1996-05-23 1997-12-09 Hitachi Cable Ltd マルチチャンバー型プロセス装置及び光部品の製造方法
JPH10121237A (ja) * 1996-10-11 1998-05-12 Sony Corp スパッタ装置
JPH10147864A (ja) * 1996-11-20 1998-06-02 Nec Corp 薄膜形成方法及びスパッタ装置
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3386986B2 (ja) * 1997-10-16 2003-03-17 シャープ株式会社 プラズマ処理装置
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
NL1009767C2 (nl) * 1998-07-29 2000-02-04 Asm Int Werkwijze en inrichting voor het etsen van een substraat.
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000177842A (ja) * 1998-12-10 2000-06-27 Mitsubishi Heavy Ind Ltd 搬送装置及び真空処理システム
US6355108B1 (en) * 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
JP4394778B2 (ja) * 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3842935B2 (ja) * 1999-10-22 2006-11-08 三菱重工業株式会社 トレイレス斜め基板搬送装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
MY120869A (en) * 2000-01-26 2005-11-30 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and method
TW484187B (en) * 2000-02-14 2002-04-21 Tokyo Electron Ltd Apparatus and method for plasma treatment
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
US20050000450A1 (en) * 2001-10-16 2005-01-06 Iizuka Hachishiro Treatment subject elevating mechanism, and treating device using the same
JP4198443B2 (ja) * 2002-11-08 2008-12-17 東京エレクトロン株式会社 ガス処理装置
US6897128B2 (en) * 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
JP4013745B2 (ja) * 2002-11-20 2007-11-28 松下電器産業株式会社 プラズマ処理方法
US7311784B2 (en) * 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
DE10348281B4 (de) * 2003-10-17 2007-06-06 Applied Materials Gmbh & Co. Kg Vakuum-Behandlungsanlage für ebene rechteckige oder quadratische Substrate
US7678198B2 (en) * 2004-08-12 2010-03-16 Cardinal Cg Company Vertical-offset coater
US20060096857A1 (en) * 2004-11-08 2006-05-11 Ilya Lavitsky Physical vapor deposition chamber having a rotatable substrate pedestal
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
JP4123249B2 (ja) * 2005-06-20 2008-07-23 日新イオン機器株式会社 真空処理装置およびその運転方法
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP4612516B2 (ja) * 2005-09-29 2011-01-12 大日本印刷株式会社 スパッタ装置およびスパッタ装置用キャリア

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103956315A (zh) * 2014-05-22 2014-07-30 中国地质大学(北京) 一种电极间距可调的离子反应腔室及电极间距调整装置
TWI649245B (zh) * 2016-12-09 2019-02-01 財團法人金屬工業研究發展中心 transmission

Also Published As

Publication number Publication date
WO2009003552A3 (de) 2009-05-28
CN103295869A (zh) 2013-09-11
AU2008271675A1 (en) 2009-01-08
KR20100017736A (ko) 2010-02-16
BRPI0811313A2 (pt) 2015-01-27
TN2009000477A1 (en) 2011-03-31
EP2147452A2 (de) 2010-01-27
DE102007022431A1 (de) 2008-11-13
WO2009003552A2 (de) 2009-01-08
CA2688522A1 (en) 2009-01-08
TW200902755A (en) 2009-01-16
HK1146153A1 (en) 2011-05-13
JP2010526446A (ja) 2010-07-29
WO2009003552A9 (de) 2010-04-01
US20100255196A1 (en) 2010-10-07
MX2009012112A (es) 2010-02-18
MA31423B1 (fr) 2010-06-01
JP5243525B2 (ja) 2013-07-24
KR101284961B1 (ko) 2013-07-10
EP2147452B1 (de) 2013-04-17
CN101743610B (zh) 2013-04-24

Similar Documents

Publication Publication Date Title
CN101743610B (zh) 平面基板的处理装置
CN102286728B (zh) 基板处理装置及基板处理方法
FI118343B (fi) Laite ohutkalvojen valmistamiseksi
JP2010526446A5 (zh)
KR101932578B1 (ko) 수직 인라인 화학기상증착 시스템
CN101834119B (zh) 衬底处理装置
EP1794346B1 (en) Apparatus and method for depositing a material on a substrate
KR101784253B1 (ko) 코팅 장치, 그리고 차폐 플레이트를 구비한 코팅 장치를 작동시키기 위한 방법
CN100514550C (zh) 真空处理室的盖体开闭机构以及盖体开闭方法
EP4191688A1 (en) Coating apparatus, method and system, solar cell, module, and power generation system
KR102035833B1 (ko) 처리 모듈
CN102989238A (zh) 排气捕集器
KR20080068589A (ko) 하나의 챔버 및 복수의 튜브를 가지는 고효율 수직로 장치
CN108122809B (zh) 基板处理系统
CN101990585B (zh) 成膜装置
WO2017101971A1 (en) Processing system for two-side processing of a substrate and method of two-side processing of a substrate
US20180226237A1 (en) High Throughput Vacuum Deposition Sources and System
CN102534538A (zh) 一种真空腔室间气氛隔离装置
EP2554954B1 (en) Apparatus for metering granular source material in a thin film vapor deposition apparatus
FI123539B (fi) ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
CN1982212B (zh) 合成碳纳米管的装置和方法
CN101755330A (zh) 具有线性源的群集工具
CN216838176U (zh) 立式镀膜装置
CN105420682B (zh) 一种高吞吐量沉积装置
CN214454332U (zh) 涂料车间的物料传动系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1146153

Country of ref document: HK

C53 Correction of patent of invention or patent application
CB03 Change of inventor or designer information

Inventor after: Geisler Michael

Inventor after: Merz Thomas

Inventor after: Roder Mario

Inventor after: Beckmann Rudolf

Inventor before: Geisler Michael

Inventor before: Merz Thomas

Inventor before: Roder Mario

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR; FROM: GEISLER MICHAEL MERZ THOMAS ROEDER MARIO TO: GEISLER MICHAEL MERZ THOMAS ROEDER MARIO BECKMANN RUDOLF

C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1146153

Country of ref document: HK

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130424

Termination date: 20150428

EXPY Termination of patent right or utility model