CN101685777A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN101685777A
CN101685777A CN200910173269A CN200910173269A CN101685777A CN 101685777 A CN101685777 A CN 101685777A CN 200910173269 A CN200910173269 A CN 200910173269A CN 200910173269 A CN200910173269 A CN 200910173269A CN 101685777 A CN101685777 A CN 101685777A
Authority
CN
China
Prior art keywords
layer
substrate
free radical
semiconductor device
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910173269A
Other languages
English (en)
Inventor
张哲豪
侯承浩
余振华
吴泰伯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101685777A publication Critical patent/CN101685777A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02241III-V semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开一种半导体装置的制造方法。该方法包括提供一基底;以自由基(radical)对基底进行处理,而在其上形成一界面层;以及在界面层上形成一高介电常数材料层。上述自由基是择自于以下群族:含水自由基、氮/氢自由基及硫/氢自由基。本发明的优点包括:(1)基底(通道)/界面层界面钝化;(2)因高介电常数前驱物而改变界面层表面(较接的润湿界面);(3)在高介电常数材料沉积之后,界面层/高介电常数材料的界面钝化;(4)界面处的大气污染源少;(5)抑制热引扩散;(6)栅极介电层的EOT小于10埃。因此,栅极介电层的EOT符合先进的技术要求(例如,45nm以下)。

Description

半导体装置的制造方法
技术领域
本发明涉及一种半导体工艺,特别涉及一种用于金属栅极的高介电常数栅极介电层的制造方法。
背景技术
半导体集成电路(integrated circuit,IC)工业已经历快速的成长。在IC材料与设计的技术进展已造就各个IC世代,每一世代的电路都比前世代来得更小更复杂。然而,这些进展却增加IC制造及加工的复杂度,而根据这些进展,IC制造及加工需要类似的演进。在IC进展课题中,功能密度(即,单位芯片面积的内连装置数量)普遍增加,而几何尺寸(即,工艺所能形成的最小部件(或线))则下降。上述尺寸微缩工艺因生产效率的增加及成本的降低而有所助益。而降低尺寸比例产生相对较高的功率消耗(powerdissipation)值,其可通过使用低功耗装置而获得解决,例如互补式金属氧化物半导体(complementary metal-oxide-semiconductor,CMOS)装置。
在尺寸比例微缩发展期间,使用各种不同的材料作为CMOS装置的栅极电极及栅极介电层。而这些装置的制造希望以金属材料作为栅极电极,且以高介电常数(high-k)材料作为栅极介电层。通常在高介电常数材料层与硅基底之间会形成一界面(interfacial)层,例如氧化层,以促进高介电常数材料层的形成并改善界面处的电特性。然而,当形成界面层时会引起一些问题,例如后续工艺期间对于高介电常数材料沉积的润湿(wetting)特性不佳及发生氧化物再成长(re-growth)。
因此,有必要寻求一种新的改善方法,用以在基底上形成界面层及高介电常数材料层。
发明内容
本发明一实施例提供一种半导体装置的制造方法,包括:提供一基底;以自由基对基底进行处理,而在其上形成一界面层,其中自由基是择自于以下群族:含水自由基、氮/氢自由基及硫/氢自由基;以及在界面层上形成一高介电常数材料层。
本发明另一实施例提供一种半导体装置的制造方法,包括:提供一基底;对基底进行一第一处理,以在基底上形成一第一介电层,第一处理包括第一自由基;对第一介电层进行一第二处理,以在基底上形成一第二介电层,第二处理包括不同于第一自由基的第二自由基,其中每一第一及第二自由基包括含水自由基或氮/氢自由基;以及在第一及第二介电层上形成一高介电常数材料层。
本发明又另一实施例提供一种半导体装置的制造方法,包括:提供一基底;对基底进行至少一处理,以在基底上形成一界面层,处理包括等离子体工艺及紫外线工艺的其中一个,且该处理是使用自由基,其择自于以下群族:含水自由基、氮/氢自由基及硫/氢自由基;以及在界面层上形成一高介电常数材料层。
本发明的优点包括:(1)基底(通道)/界面层界面钝化;(2)因高介电常数前驱物而改变界面层表面(较接的润湿界面);(3)在高介电常数材料沉积之后,界面层/高介电常数材料的界面钝化;(4)界面处的大气污染源少;(5)抑制热引扩散;(6)栅极介电层的EOT小于10埃。因此,栅极介电层的EOT符合先尽的技术要求(例如,45nm以下)。
附图说明
图1是显示出在半导体基底上形成界面层及高介电常数材料层的方法示意图。
图2是显示出在半导体基底上形成界面层及高介电常数材料层的另一方法示意图。
图3是显示出在半导体基底上形成界面层及高介电常数材料层的另一方法示意图。
图4是显示出根据本发明各个实施例的在半导体基底上形成界面层及高介电常数材料层的方法流程图。
图5A~图5C是显示出根据图4方法来形成界面层及高介电常数材料层的剖面示意图。
图6A~图6C是显示出另一方法来形成界面层及高介电常数材料层的剖面示意图。
图7A~图7C是显示出又另一方法来形成界面层及高介电常数材料层的剖面示意图。
图8是显示出根据本发明各个实施例的在半导体基底上形成界面层及高介电常数材料层的另一方法流程图。
图9A~图9D是显示出根据图8方法来形成界面层及高介电常数材料层的剖面示意图。
图10A~图10D是显示出根据本发明各个实施例的以双重处理工艺形成界面层,且在半导体基底上沉积高介电常数材料层。
并且,上述附图中的附图标记说明如下:
100、200、300、500、600、700、900、1000~基底;
102、202、302、502、602、702~界面层;
104、206、306、506、606、706、912、1012~高介电常数材料层;
110、210、310、510、610、710、914、1014~原子层沉积(ALD)~工艺;
112~缺陷;
204、504、904~氢氧(-OH)官能基;
212~过量氢氧官能基;
214、312~电子陷阱;
304~上表面;
400、800~方法;
410、420、430、810、820、830、840~区块;
503~等离子体工艺;
603、703、903、908、1003、1008~处理;
604、909~官能基;
704~烷(-SHx)官能基;
902~第一介电层;
906~第二介电层;
1002、1002a、1006~介电层;
1004~氨(-NHx)官能基;
1009~(-OH)及/或(-NHx)官能基;
AMC~大气污染源。
具体实施方式
可了解的是以下的公开内容提供许多不同的实施例或范例,用以实施各个实施例的不同特征。而以下所公开的内容是叙述各个构件及其排列方式的特定范例,以求简化本发明的说明。当然,这些特定的范例并非用以限定本发明。再者,本说明书以下的公开内容叙述了将一第一特征形成于一第二特征之上或上方,其表示包含了所形成的上述第一特征与上述第二特征是直接接触的实施例,亦包含了尚可将额外的特征形成于第一特征与第二特征之间而使第一特征与第二特征并未直接接触的实施例。为了达到简化及清晰的目的,不同特征可能以不同尺寸比例显示。
请参照图1,其显示出在一半导体基底上形成一界面层及一高介电常数材料层的示意图。半导体基底100包括一硅基底。基底100可包括不同的掺杂型态,取决于公知设计需求。基底100亦包括其他单质(elementary)半导体,例如锗及钻石。另外,基底100可包括一化合物半导体及/或一合金半导体。再者,基底100可选择性地包括一外延层(epi layer),其可具有应变以提高效能,且可包括一绝缘层上覆硅(silicon-on-insulator,SOI)的结构。
一界面层102形成于基底100上。界面层102可包括氧化硅(SiO2),其以公知热氧化成长一SiO2层(例如,热氧化物)至所需厚度而成。然而,热氧化物的上表面对于后续高介电常数材料层沉积工艺具有不佳的润湿特性。通过原子层沉积(atomic layer deposition,ALD)工艺110或所谓的原子层化学气相沉积(atomic layer chemical vapor deposition,ALCVD)工艺(以A+B表示之),于界面层102上形成一高介电常数材料层104,其由ALD工艺中二个半反应组合所构成。上述半反应其中一个包括提供一金属前驱物(A),例如四-(乙基甲基胺基酸)-铪(Tetrakis(ethylmethylamino)hafnium)(即,Hf[NCH3C2H5]4,TEMAH),以化学吸附基底表面。另一半反应包括提供一第二前驱物(B),例如氧物质(O species),以化学吸附基底表面。二个半反应之间,使用不具活性的惰性气体,例如Ar或N2,来清除物理吸附于基底表面的过量前驱物A及B。通常ALD工艺由前驱物A(B)脉冲、惰性气体清洁、前驱物B(A)脉冲、惰性气体清洁及重复此顺序步骤所组成。因此,ALD工艺110进行一连串步骤而形成高介电常数材料多层膜。一初始(例如,第一)高介电常数材料层104是通过ALD而形成于界面层102的上表面。重复ALD工艺110,以在后续形成每一高介电常数材料层104直到获得所需的厚度为止。可观察到ALD工艺的初始层具有较长的酝酿期(incubation-cycle),其原因在于界面层102上表面的润湿性不佳。因此,后续的高介电常数材料层形成岛状加层状(island-to-layer)结构(例如,粗糙成长)。因此,高介电常数材料层104与界面层102之间的界面含有缺陷112,其严重影响装置效能,例如造成栅极漏电流。
请参照图2,其显示出在一半导体基底上形成一界面层及一高介电常数材料层的示意图。半导体基底200包括一硅基底。一界面层202形成于基底200上。界面层202可包括氧化硅(SiO2),其以公知湿化学氧化工艺而形成。相较于热氧化成长,化学氧化物的厚度易于控制在低于1nm。再者,化学氧化物的表面含有氢氧(-OH)官能基204,其对于后续高介电常数材料层沉积工艺提供良好的润湿特性。因此,通过ALD工艺所形成的高介电常数材料层的初始(第一)层具有较短的酝酿期。
通过原子层沉积工艺210于界面层202上形成一高介电常数材料层206。ALD工艺210进行一连串步骤而形成高介电常数材料多层膜。举例来说,高介电常数材料层206包括氧化铪(HfO2)。一初始(例如,第一)高介电常数材料层是通过ALD而形成于界面层202的上表面。重复ALD工艺210(例如,A+B),以在后续形成每一高介电常数材料层206直到获得所需的厚度为止。然而,可观察到化学氧化物在块体界面层202内所产生的过量氢氧官能基212,及在其与高介电常数材料层206的界面处由大气污染源(atmosphere contamination)AMC所引起的电子陷阱214。此将于后续工艺期间引起氧化物再成长,使界面层202的厚度不当的增加。
请参照图3,其显示出在一半导体基底上形成一界面层及一高介电常数材料层的示意图。半导体基底300包括一硅基底。一界面层302形成于基底300上。界面层302可包括氧化硅(SiO2),其以公知伴随湿式处理的热氧化工艺而形成。亦即,氧化物以图1所述的热成长而形成,而氧化层的上表面304进行一湿式处理以对于后续高介电常数材料层沉积提供良好的润湿特性。因此,在块体界面层302内具有较少的氢氧(-OH)基,且对于通过ALD工艺所形成的高介电常数材料层的初始(第一)层具有较短的酝酿期。
通过原子层沉积工艺310于界面层302上形成一高介电常数材料层306。ALD工艺310进行一连串步骤而形成高介电常数材料多层膜。举例来说,高介电常数材料层306包括氧化铪(HfO2)。一初始(例如,第一)高介电常数材料层是通过ALD而形成于界面层302的上表面。重复ALD工艺310(例如,A+B),以在后续形成每一高介电常数材料层306直到获得所需的厚度为止。然而,可观察到在界面层302与高介电常数材料层306的界面处出现电子陷阱314及大气污染源的问题,因而在界面处引起氧化物再成长。再者,可观察到当界面层的等效氧化层厚度(equivalent oxide thickness,EOT)小于7埃时,热氧化物较图2的化学氧化物难以控制。因此,对于达到先进技术的栅极堆叠设计需求(例如,EOT小于8埃)而言会是一种挑战。
请参照图4,其显示出在一基底上形成一界面层及一高介电常数材料层的方法400。上述方法400为以单一处理工艺在上表面形成界面层。请参照图5A至图5B,其显示出根据图4方法400在基底上形成界面层及高介电常数材料层的剖面示意图。上述方法400始于区块410。提供一基底500。请参照图5A,基底500可包括一硅(Si)基底。基底500可包括不同掺杂型态(例如,N型阱区或P型阱区),取决于公知设计需求。基底500亦包括其他单质半导体,例如锗及钻石。另外,基底500可包括一化合物半导体及/或一合金半导体。再者,基底500可选择性地包括一外延层(epi layer),其可具有应变以提高效能,且可包括一绝缘层上覆硅(silicon-on-insulator,SOI)的结构。再者,基底500可包括Ge、Ga、As、In、Sb、Al、其组合或是其他适用于半导体装置的基底。
方法400持续进行到区块420,在基底500上形成一界面层502。请参照图5B,以等离子体工艺503所产生的自由基对硅基底500的氢(H)端进行处理,以形成界面层502。自由基可包括含水自由基。含水自由基可由含O及H原子的等离子体所产生。基底500可置入一工艺反应室,以提供等离子体工艺一适当环境。在本实施例中,等离子体工艺503可为H2O/Ar等离子体(用于产生含水自由基),以形成氧化硅(SiO2)作为界面层502。
等离子体工艺503在工艺反应室内可具有下列的工艺条件。等离子体工艺503的温度可低于或等于500℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于200W、H2O的分压低于或等于0.1Torr(以Ar气体稀释)、Ar的流量在1000至200sccm的范围及处理时间低于或等于1分钟。气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期(exposure period)及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室(pre-camber)内且将远距等离子体供至主工艺反应室内。
须注意的是等离子体工艺503(例如,总压力及H2O的压力)在低温(≤500℃)较易促进界面层502的厚度控制及生成较致密及无缺陷的块体界面层502。界面层502的等效氧化层厚度(EOT)可小于或等于7埃
Figure A20091017326900111
就其而言,可抑制热引扩散所造成的氧化物再成长。再者,等离子体工艺503同时改变了界面层502的上表面,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿特性(例如,氢氧(-OH)官能基504)。另外,由SiO2所构成的界面层初始形成(以其他技术)的实际厚度小于或等于5埃
Figure A20091017326900112
且可对SiO2界面层/Si基底进行上述自由基表面处理,以改善界面层的表面条件,而形成较佳的高介电常数材料层,将于以下说明。
上述方法400持续进行到区块430,在界面层502上形成高介电常数材料层506。请参照图5C,高介电常数材料层506包括氧化铪(HfO2)。高介电常数材料层506是通过ALD工艺510而形成于界面层502上。ALD工艺510进行一连串步骤而形成高介电常数材料多层膜,如之前所述。每一膜层是通过在界面层502的上表面提供阳离子前驱物(A)(例如,Hf物质,如TEMAH)而形成,并接着提供阴离子前驱物(B)(例如,O物质,如D2O),以与阳离子前驱物反应而形成一层高介电常数材料层506。重复ALD工艺510(例如,前驱物A+前驱物B),以在后续形成每一高介电常数材料层506直到获得所需的厚度为止。可以理解的是也可使用其他前驱物来形成高介电常数材料层506。
另外,高介电常数材料层506可包括其他介电常数材料,诸如氮氧化铪(HfON)、铪硅酸盐(HfSiO)、氮氧化铪硅(HfSiON)、氧化铪钽(HfTaO)、氮氧化铪钽(HfTaON)、氧化铪钛(HfTiO)、氮氧化铪钛(HfTiON)、氧化铪锆(HfZrO)、氮氧化铪锆(HfZrON)、氧化铪铝(HfAlO)、氮氧化铪铝(HfAlON)、氧化铪镧(HfLaO)、氮氧化铪镧(HfLaON)及其组合。另外,高介电常数材料层可通过公知金属有机化学气相沉积(metal-organic chemical vapor deposition,MOCVD)或其他适当的CVD工艺而形成。须注意的是可以原位(in-situ)方式(例如,在ALD工艺反应室内)进行界面层及高介电常数材料层的制作。换句话说,界面层502及高介电常数材料层506的制作从基底处理至高介电常数材料沉积都无须暴露于大气中。因此,较少的大气污染源位于基底500(通道)/界面层502的界面,以及界面层502/高介电常数材料层506的界面。
可以理解的是上述方法400可继续进行半导体工艺,以形成各种不同的微电子装置,例如集成电路的晶体管、电阻、电容等等。举例来说,界面层502及高介电常数材料层506可用于形成于基底500内不同的nMOSFET及pMOSFET装置的栅极介电层。
请参照图6A~图6C,其显示出另一方法以在基底上形成界面层及高介电常数材料层的剖面示意图。请参照图6A,基底600可包括一硅(Si)基底。基底600可包括不同掺杂型态(例如,N型阱区或P型阱区),取决于公知设计需求。基底600亦包括其他单质半导体,例如锗及钻石。另外,基底600可包括一化合物半导体及/或一合金半导体。再者,基底600可选择性地包括一外延层(epi layer),其可具有应变以提高效能,且可包括一绝缘层上覆硅(SOI)的结构。再者,基底600可包括Ge、Ga、As、In、Sb、Al、其组合或是其他适用于半导体装置的基底。
请参照图6B,以等离子体工艺或紫外线(UV)工艺所产生的自由基对硅基底600进行处理603,以形成界面层602。上述处理603可以原位的方式或非原位(ex situ)的方式(例如,暴露于大气中)来进行。自由基可包括含水自由基及/或氮/氢自由基。自由基可选择取决于所需的界面层602型态。基底600可置入一工艺反应室,以提供等离子体工艺或紫外线(UV)工艺一适当环境。含水自由基可由等离子体或UV在含O及H原子的环境所产生。举例来说,含水自由基可由使用一气体所产生,例如H2O、H2O2、H2、O2、N2、Ar、He或其组合。氮/氢自由基可由等离子体或UV在含N及H原子的环境所产生。举例来说,氮/氢自由基可由使用一气体所产生,例如NH3、N2H2、N2H4、N2、H2、NO、N2O、Ar、He或其组合。界面层602可包括氧化硅(SiO2),其通过等离子体工艺或UV工艺及下列的工艺条件而形成。另外,界面层可包括氮化硅(SiNx)或氮氧化硅(SiOxNy)。
在一实施例中,等离子体工艺可具有下列的工艺条件。等离子体工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于200W、H2O的分压低于或等于0.1Torr(以Ar气体稀释)以产生含水自由基、Ar的流量在1000至200sccm的范围及处理时间低于或等于1分钟。气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室内且将远距等离子体供至主工艺反应室内。
在另一实施例中,UV工艺在工艺反应室内可具有下列的工艺条件。UV工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、波长(UV源)小于或等于200nm、H2O的分压低于或等于0.1Torr(以Ar气体稀释)以产生含水自由基、NH3的流量低于或等于500sccm以产生氮/氢自由基、Ar的流量在1000至200sccm的范围及“启用”UV的时间低于或等于1分钟。气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及UV产生自由基的全部时间来控制上述处理程序。
须注意的是等离子体工艺及UV工艺两者(例如,总压力及H2O的压力)在低温(≤500℃)较易促进界面层602的厚度控制及生成较致密及无缺陷的块体界面层602。界面层602的等效氧化层厚度(EOT)可小于或等于7埃
Figure A20091017326900131
就其而言,可抑制热引扩散所造成的氧化物再成长。再者,等离子体工艺及UV工艺两者同时改变了界面层602的上表面,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿特性(例如,-OH或-NHx官能基604)。另外,由SiON所构成的界面层初始形成(以其他技术)的实际厚度小于或等于5埃
Figure A20091017326900132
且可对SiON界面层/Si基底进行上述自由基表面处理,以改善界面层的表面条件,而形成较佳的高介电常数材料层,将于以下说明。
请参照图6C,高介电常数材料层606包括氧化铪(HfO2)。高介电常数材料层606是通过ALD工艺610而形成于界面层602上。ALD工艺610进行一连串步骤而形成高介电常数材料多层膜。每一膜层是通过在界面层602的上表面提供阳离子前驱物(A)(例如,Hf物质,如TEMAH)而形成,并接着提供阴离子前驱物(B)(例如,O物质,如D2O),以与阳离子前驱物反应而形成一层高介电常数材料层606。重复ALD工艺610(例如,前驱物A+前驱物B),以在后续形成每一高介电常数材料层606直到获得所需的厚度为止。可以理解的是也可使用其他前驱物来形成高介电常数材料层606。
另外,高介电常数材料层606可包括其他介电常数材料,诸如氮氧化铪(HfON)、铪硅酸盐(HfSiO)、氮氧化铪硅(HfSiON)、氧化铪钽(HfTaO)、氮氧化铪钽(HfTaON)、氧化铪钛(HfTiO)、氮氧化铪钛(HfTiON)、氧化铪锆(HfZrO)、氮氧化铪锆(HfZrON)、氧化铪铝(HfAlO)、氮氧化铪铝(HfAlON)、氧化铪镧(HfLaO)、氮氧化铪镧(HfLaON)及其组合。另外,高介电常数材料层可通过公知金属有机化学气相沉积(MOCVD)或其他适当的CVD工艺而形成。
请参照图7A~图7C,其显示出另一方法以在基底上形成界面层及高介电常数材料层的剖面示意图。请参照图7A,基底700可包括一GaAs基底。基底700可包括不同掺杂型态(例如,N型阱区或P型阱区),取决于公知设计需求。基底700亦包括其他单质半导体,例如锗及钻石。另外,基底700可包括一化合物半导体及/或一合金半导体。再者,基底700可选择性地包括一外延层(epi layer),其可具有应变以提高效能,且可包括一绝缘层上覆硅(SOI)的结构。再者,基底700可包括Ge、Ga、As、In、Sb、Al、其组合或是其他适用于半导体装置的基底。
请参照图7B,以等离子体工艺或紫外线(UV)工艺所产生的自由基对GaAs基底700进行处理703,以形成界面层702。上述处理703可以原位的方式(例如,从基底处理至高介电常数材料沉积期间未暴露于大气中)或非原位(ex situ)的方式(例如,暴露于大气中)来进行。自由基可包括含硫/氢自由基。基底700可置入一工艺反应室,以提供等离子体工艺或UV工艺一适当环境。硫/氢自由基可由使用一气体所产生,例如H2S、(NH4)2S、NH3、Ar、He及其组合。在一些实施例中,可使用H2S/Ar气体混合物。在其他实施例中,可使用(NH4)2S/Ar气体混合物。在某些其他实施例中,可使用NH3/H2S/Ar气体混合物。在另一些其他实施例中,可使用H2S/He气体混合物。界面层702可包括GaSx及/或AsSx层,其通过等离子体工艺或UV工艺及下列的工艺条件而形成。
在一实施例中,等离子体工艺在工艺反应室内可具有下列的工艺条件。等离子体工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于200W、H2S的分压低于或等于0.1Torr(以惰性气体稀释)、NH3的流量低于或等于500sccm(以惰性气体稀释)、Ar的流量在1000至200sccm的范围及处理时间低于或等于1分钟。气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室内且将远距等离子体供至主工艺反应室内。再者,可以He取代Ar或混入He,以控制自由基浓度及动量(momentum)。
在另一实施例中,UV工艺在工艺反应室内可具有下列的工艺条件。UV工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、波长(UV源)小于或等于200nm、H2S的分压低于或等于0.1Torr(以Ar气体稀释)以产生含水自由基、NH3的流量低于或等于500sccm(以惰性气体稀释)、Ar的流量在1000至200sccm的范围及“启用”UV的时间低于或等于1分钟。气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及UV产生自由基的全部时间来控制上述处理程序。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
须注意的是等离子体工艺及UV工艺两者(例如,总压力及H2O的压力)在低温(≤500℃)较易促进界面层702的厚度控制及生成较致密及无缺陷的块体界面层702。界面层702的等效氧化层厚度(EOT)可小于或等于7埃
Figure A20091017326900151
就其而言,可抑制热引扩散所造成的氧化物再成长。再者,等离子体工艺及UV工艺两者同时改变了界面层702的上表面,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿特性(例如,烷(-SHx)官能基704)。
请参照图7C,高介电常数材料层706包括氧化铪(HfO2)。高介电常数材料层706是通过ALD工艺710而形成于界面层702上。ALD工艺710进行一连串步骤而形成高介电常数材料多层膜。每一膜层是通过在界面层702的上表面提供阳离子前驱物(A)(例如,Hf物质,如TEMAH)而形成,并接着提供阴离子前驱物(B)(例如,O物质,如D2O),以与阳离子前驱物反应而形成一层高介电常数材料层706。重复ALD工艺710(例如,前驱物A+前驱物B),以在后续形成每一高介电常数材料层706直到获得所需的厚度为止。可以理解的是也可使用其他前驱物来形成高介电常数材料层706。
另外,高介电常数材料层706可包括其他介电常数材料,诸如氮氧化铪(HfON)、铪硅酸盐(HfSiO)、氮氧化铪硅(HfSiON)、氧化铪钽(HfTaO)、氮氧化铪钽(HfTaON)、氧化铪钛(HfTiO)、氮氧化铪钛(HfTiON)、氧化铪锆(HfZrO)、氮氧化铪锆(HfZrON)、氧化铪铝(HfAlO)、氮氧化铪铝(HfAlON)、氧化铪镧(HfLaO)、氮氧化铪镧(HfLaON)及其组合。另外,高介电常数材料层可通过公知金属有机化学气相沉积(MOCVD)或其他适当的CVD工艺而形成。
请参照图8,其显示出在一基底上形成一界面层及一高介电常数材料层的方法800。上述方法800为以双重处理工艺在上表面形成界面层。请参照图9A~图9D,其显示出根据图8方法800在基底上形成界面层及高介电常数材料层的剖面示意图。上述方法800始于区块810。提供一基底900。请参照图9A,基底900可包括一硅(Si)基底。基底900可包括不同掺杂型态(例如,N型阱区或P型阱区),取决于公知设计需求。基底900亦包括其他单质半导体,例如锗及钻石。另外,基底900可包括一化合物半导体及/或一合金半导体。再者,基底900可选择性地包括一外延层(epi layer),其可具有应变以提高效能,且可包括一绝缘层上覆硅(SOI)的结构。再者,基底900可包括Ge、Ga、As、In、Sb、Al、其组合或是其他适用于半导体装置的基底。
方法800持续进行到区块820,在基底900上形成一第一介电层902。请参照图9B,以等离子体工艺或UV工艺所产生的自由基对硅基底900进行处理903(第一处理),以形成第一介电层902。上述处理903可以原位的方式(例如,从基底处理至高介电常数材料沉积期间未暴露于大气中)或非原位(ex situ)的方式(例如,暴露于大气中)来进行。自由基可包括含水自由基。含水自由基可由等离子体或UV在含O及H原子的环境所产生。举例来说,含水自由基可由使用一气体所产生,例如H2O、H2O2、H2、O2、N2、Ar、He或其组合。基底900可置入一工艺反应室,以提供等离子体工艺或UV工艺一适当环境。第一介电层902可包括氧化硅(SiOx),其通过等离子体工艺或UV工艺及下列的工艺条件而形成。
在一实施例中,等离子体工艺在工艺反应室内使用一混合气体时,例如H2O/Ar,可具有下列的工艺条件。等离子体工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于200W、H2O的分压低于或等于0.1Torr(以惰性气体稀释)、Ar的流量在1000至200sccm的范围及处理时间低于或等于1分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室内且将远距等离子体供至主工艺反应室内。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
在另一实施例中,UV工艺在工艺反应室内使用一混合气体时,例如H2O/Ar,可具有下列的工艺条件。UV工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、波长(UV源)小于或等于200nm、H2O的分压低于或等于0.1Torr(以惰性气体稀释)、Ar的流量在1000至200sccm的范围及“启用”UV的时间低于或等于1分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及UV产生自由基的全部时间来控制上述处理程序。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。须注意的是第一处理903之后第一介电层902的上表面具有氢氧(-OH)官能基904。
上述方法800持续进行到区块830,在第一介电层902上形成第二介电层906。请参照图9C,以等离子体工艺或UV工艺所产生的自由基对第一介电层902进行处理908(第二处理),以形成第二介电层906。上述处理908可以原位的方式(例如,从基底处理至高介电常数材料沉积期间未暴露于大气中)或非原位(ex situ)的方式(例如,暴露于大气中)来进行。自由基可包括氮/氢自由基。氮/氢自由基可由等离子体或UV在含N及H原子的环境所产生。举例来说,氮/氢自由基可由使用一气体所产生,例如NH3、N2H2、N2H4、N2、H2、NO、N2O、Ar、He或其组合。基底900可置入一工艺反应室,以提供等离子体工艺或UV工艺一适当环境。在本实施例中,第二介电层906可包括氮氧化硅(SiOxNy)或氮化硅(SiNx),其通过等离子体工艺或UV工艺及下列的工艺条件而形成。
在一实施例中,等离子体工艺在工艺反应室内使用一混合气体时,例如NH3/Ar,可具有下列的工艺条件。等离子体工艺的温度可低于或等于600℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于3000W、NH3的流量低于或等于500sccm、Ar的流量在1000至200sccm的范围及处理时间低于或等于5分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室内且将远距等离子体供至主工艺反应室内。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
在另一实施例中,UV工艺在工艺反应室内使用一混合气体时,例如NH3/Ar,可具有下列的工艺条件。UV工艺的温度可低于或等于600℃、总压力在0.005至10Torr的范围、波长(UV源)小于或等于200nm、NH3的流量低于或等于500sccm、Ar的流量在1000至200sccm的范围及“启用”UV的时间低于或等于5分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及UV产生自由基的全部时间来控制上述处理程序。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。须注意的是第二处理908之后第二介电层906的上表面具有氨(-NHx)及/或氢氧(-OH)官能基909。
须注意的是不同的气体组合可用于第一及第二处理903及908。在一实施例中,上述处理包括一气体混合物,例如H2O/Ar、H2O/O2/Ar、H2O2/Ar或H2/O2/Ar,通过调整H及O自由基的活性及浓度以形成SiOxHy。上述处理包括一气体混合物,例如H2O/N2/Ar、H2O2/N2/Ar或H2/O2//N2,通过调整H、O及N自由基(介电层内N掺杂≤3%)的活性及浓度以形成SiOxNyHz。在其他实施例中,上述处理包括一气体混合物,例如NH3/Ar、N2H2/Ar、N2H4/Ar、N2/H2/Ar或NH3/H2/Ar,通过调整H、O及N自由基(介电层内N掺杂>3%)的活性及浓度以形成SiOxNyHz。上述处理包括一气体混合物,例如NO/H2/Ar、N2O/H2/Ar或NH3/NO/Ar,通过调整H、O及N自由基的活性及浓度以形成SiOxNyHz。再者,第一及第二处理903及908可为第一等离子体+第二等离子体、第一UV+第二等离子体、第一等离子体+第二UV及第一UV+第二UV。
须注意的是等离子体工艺及UV工艺两者(例如,总压力及H2O的压力)在低温(≤500℃)较易促进第一介电层902的厚度控制(等效氧化层厚度(EOT)≤7埃
Figure A20091017326900191
)及生成较致密及无缺陷的块体界面层。就其而言,可抑制热引扩散所造成的氧化物再成长。再者,等离子体工艺及UV工艺两者同时在低温(≤600℃)改变了界面层的上表面,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿特性(例如,-NHx或-OH官能基909)。就其而言,第二介电层906的实际厚度小于或等于3埃
Figure A20091017326900192
因此第一及第二介电层902及906总EOT小于或等于7埃
Figure A20091017326900193
方法800持续进行到区块840,在界面层(第一及第二介电层902及906)上形成高介电常数材料层912。第二处理908之后,第二介电层906的上表面具有-OH及/或-NHx官能基909,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿界面。请参照图9D,高介电常数材料层912包括氧化铪(HfO2)。高介电常数材料层912是通过ALD工艺914而形成于界面层902及906上。ALD工艺914进行一连串步骤而形成高介电常数材料多层膜,如之前所述。每一膜层是通过在界面层902及906的上表面提供阳离子前驱物(A)(例如,Hf物质,如TEMAH)而形成,并接着提供阴离子前驱物(B)(例如,O物质,如D2O),以与阳离子前驱物反应而形成一层高介电常数材料层912。重复ALD工艺914(例如,前驱物A+前驱物B),以在后续形成每一高介电常数材料层912直到获得所需的厚度为止。可以理解的是也可使用其他前驱物来形成高介电常数材料层912。
另外,高介电常数材料层912可包括其他介电常数材料,诸如氮氧化铪(HfON)、铪硅酸盐(HfSiO)、氮氧化铪硅(HfSiON)、氧化铪钽(HfTaO)、氮氧化铪钽(HfTaON)、氧化铪钛(HfTiO)、氮氧化铪钛(HfTiON)、氧化铪锆(HfZrO)、氮氧化铪锆(HfZrON)、氧化铪铝(HfAlO)、氮氧化铪铝(HfAlON)、氧化铪镧(HfLaO)、氮氧化铪镧(HfLaON)及其组合。另外,高介电常数材料层可通过公知金属有机化学气相沉积(MOCVD)或其他适当的CVD工艺而形成。
可以理解的是上述方法400可继续进行半导体工艺,以形成各种不同的微电子装置,例如集成电路的晶体管、电阻、电容等等。举例来说,界面层502及高介电常数材料层506可用于形成于基底500内不同的nMOSFET及pMOSFET装置的栅极介电层。
请参照图10A~图10D,其显示出根据图8方法800的另一实施例而在基底上形成界面层及高介电常数材料层的剖面示意图。图10A~图10D的实施例同样在一基底上进行双重处理工艺以及沉积高介电常数材料层。因此,除了以下所述的差异之外,图10A~图10D的半导体装置相似于图9A~图9D的半导体装置。请参照图10A,一基底1000可包括一硅(Si)基底。基底1000可包括不同掺杂型态(例如,N型阱区或P型阱区),取决于公知设计需求。基底1000亦包括其他单质半导体,例如锗及钻石。另外,基底1000可包括一化合物半导体及/或一合金半导体。再者,基底1000可选择性地包括一外延层(epi layer),其可具有应变以提高效能,且可包括一绝缘层上覆硅(SOI)的结构。再者,基底1000可包括Ge、Ga、As、In、Sb、Al、其组合或是其他适用于半导体装置的基底。
请参照图10B,一介电层1002是通过等离子体工艺或UV工艺所产生的自由基对硅基底1000进行处理1003(第一处理)而形成。上述处理1003可以原位的方式(例如,从基底处理至高介电常数材料沉积期间未暴露于大气中)或非原位(ex situ)的方式(例如,暴露于大气中)来进行。在本实施例中,自由基可包括氮/氢自由基。氮/氢自由基可由等离子体或UV在含N及H原子的环境所产生。举例来说,氮/氢自由基可由使用一气体所产生,例如NH3、N2H2、N2H4、N2、H2、NO、N2O、Ar、He或其组合。基底1000可置入一工艺反应室,以提供等离子体工艺或UV工艺一适当环境。在本实施例中,介电层1002可通过等离子体工艺或UV工艺及下列的工艺条件而形成。
在一实施例中,等离子体工艺在工艺反应室内使用一混合气体时,例如NH3/Ar,可具有下列的工艺条件。等离子体工艺的温度可低于或等于600℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于3000W、NH3的流量低于或等于500sccm、Ar的流量在1000至200sccm的范围及处理时间低于或等于5分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室内且将远距等离子体供至主工艺反应室内。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
在另一实施例中,UV工艺在工艺反应室内使用一混合气体时,例如NH3/Ar,可具有下列的工艺条件。UV工艺的温度可低于或等于600℃、总压力在0.005至10Torr的范围、波长(UV源)小于或等于200nm、NH3的流量低于或等于500sccm、Ar的流量在1000至200sccm的范围及“启用”UV的时间低于或等于5分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及UV产生自由基的全部时间来控制上述处理程序。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
在本实施例中,介电层1002可包括一氮化硅(SiNx),其上表面具有氨(-NHx)官能基1004。在一些实施例中,介电层1002可包括一氮氧化硅(SiOxNy),其上表面具有氨(-NHx)官能基。介电层1002一开始形成部分的界面层。
请参照图10C,对分别含有SiNx及Si再氧化的介电层1002进行处理1008,以形成介电层1006。再氧化工艺可在氧化环境下进行热退火。在本实施例中,通过等离子体工艺或UV工艺所产生的自由基对介电层1002的上表面及其与硅基底1000的界面进行处理1008。因此,介电层1006形成于硅基底1000上,而一介电层1002a形成于介电层1006上。上述处理1008可以原位的方式(例如,未暴露于大气中)或非原位(ex situ)的方式(例如,从基底处理至高介电常数材料沉积期间暴露于大气中)来进行。在本实施例中,自由基可包括含水自由基。含水自由基可由等离子体或UV在含O及H原子的环境所产生。举例来说,含水自由基可由使用一气体所产生,例如H2O、H2O2、H2、O2、N2、Ar、He或其组合。基底1000可置入一工艺反应室,以提供等离子体工艺或UV工艺一适当环境。介电层1006可通过下列的工艺条件而形成。
在一实施例中,等离子体工艺在工艺反应室内使用一混合气体时,例如H2O/Ar,可具有下列的工艺条件。等离子体工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、等离子体功率(RF功率)低于或等于200W、H2O的分压低于或等于0.1Torr(以惰性气体稀释)、Ar的流量在1000至200sccm的范围及处理时间低于或等于1分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及等离子体产生自由基的全部时间来控制上述处理程序。等离子体可产生于主工艺反应室内或是远距产生于预备室内且将远距等离子体供至主工艺反应室内。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
在另一实施例中,UV工艺在工艺反应室内使用一混合气体时,例如H2O/Ar,可具有下列的工艺条件。UV工艺的温度可低于或等于500℃、总压力在0.005至10Torr的范围、波长(UV源)小于或等于200nm、H2O的分压低于或等于0.1Torr(以惰性气体稀释)、Ar的流量在1000至200sccm的范围及“启用”UV的时间低于或等于1分钟。
气体流量参数可用于稳定压力及反应气体浓度。另外,可通过控制暴露期及UV产生自由基的全部时间来控制上述处理程序。再者,可以He取代Ar或混入He,以控制自由基浓度及动量。
在本实施例中,经过等离子体或UC工艺之后,介电层1006可包括氧化硅(SiOx),而介电层1002a可包括氮氧化硅(SiOxNy)。在其他实施例中,介电层1006可包括氧化硅氮氧化硅(SiOxNy)。介电层1002a及1006构成界面层。
须注意的是不同的气体组合可用于第一及第二处理1003及1008。在一实施例中,上述处理包括一气体混合物,例如H2O/Ar、H2O/O2/Ar、H2O2/Ar或H2/O2/Ar,通过调整H及O自由基的活性及浓度以形成SiOxHy。上述处理包括一气体混合物,例如H2O/N2/Ar、H2O2/N2/Ar或H2/O2//N2,通过调整H、O及N自由基(介电层内N掺杂≤3%)的活性及浓度以形成SiOxNyHz。在其他实施例中,上述处理包括一气体混合物,例如NH3/Ar、N2H2/Ar、N2H4/Ar、N2/H2/Ar或NH3/H2/Ar,通过调整H、O及N自由基(介电层内N掺杂>3%)的活性及浓度以形成SiOxNyHz。上述处理包括一气体混合物,例如NO/H2/Ar、N2O/H2/Ar或NH3/NO/Ar,通过调整H、O及N自由基的活性及浓度以形成SiOxNyHz。再者,第一及第二处理1003及1008可为第一等离子体+第二等离子体、第一UV+第二等离子体、第一等离子体+第二UV及第一UV+第二UV。
须注意的是等离子体工艺及UV工艺两者(例如,总压力及H2O的压力)在低温(≤500℃)较易促进介电层1006的厚度控制(等效氧化层厚度(EOT)≤7埃
Figure A20091017326900231
)及生成较致密及无缺陷的块体界面层。就其而言,可抑制热引扩散所造成的氧化物再成长。再者,等离子体工艺及UV工艺两者同时在低温(≤600℃)改变了界面层的上表面,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿特性(例如,(-OH)或(-NHx)官能基1009)。就其而言,介电层1002a的实际厚度小于或等于3埃
Figure A20091017326900232
因此介电层1002a及1006总EOT小于或等于7埃
Figure A20091017326900233
第二处理1008之后,介电层1002a的上表面具有(-OH)及/或(-NHx)官能基1009,以对后续高介电常数材料层的沉积(ALD或CVD)提供较佳的润湿界面。请参照图10D,高介电常数材料层1012包括氧化铪(HfO2)。高介电常数材料层1012是通过ALD工艺1014而形成于界面层(介电层1002a及1006)上。ALD工艺1014进行一连串步骤而形成高介电常数材料多层膜,如之前图9所述。每一膜层是通过在界面层(介电层1002a及1006)的上表面提供阳离子前驱物(A)(例如,Hf物质,如TEMAH)而形成,并接着提供阴离子前驱物(B)(例如,O物质,如D2O),以与阳离子前驱物反应而形成一层高介电常数材料层1012。重复ALD工艺1014(例如,前驱物A+前驱物B),以在后续形成每一高介电常数材料层1012直到获得所需的厚度为止。可以理解的是也可使用其他前驱物来形成高介电常数材料层1012。
另外,高介电常数材料层1012可包括其他介电常数材料,诸如氮氧化铪(HfON)、铪硅酸盐(HfSiO)、氮氧化铪硅(HfSiON)、氧化铪钽(HfTaO)、氮氧化铪钽(HfTaON)、氧化铪钛(HfTiO)、氮氧化铪钛(HfTiON)、氧化铪锆(HfZrO)、氮氧化铪锆(HfZrON)、氧化铪铝(HfAlO)、氮氧化铪铝(HfAlON)、氧化铪镧(HfLaO)、氮氧化铪镧(HfLaON)及其组合。另外,高介电常数材料层可通过公知金属有机化学气相沉积(MOCVD)或其他适当的CVD工艺而形成。
可以理解的是上述基底900及1000可继续进行半导体工艺,以形成各种不同的微电子装置,例如集成电路的晶体管、电阻、电容等等。举例来说,界面层(介电层902及906及介电层1002a及1006)及高介电常数材料层912及1012可用于不同的nFET及pFET装置的栅极介电层。举例来说,可在高介电常数材料层上形成一金属层。金属层包括N功函数(work function)金属(N-金属)或P功函数(P-金属)。金属层可通过各种沉积技术而形成,例如物理气相沉积(physical vapor deposition,PVD)或溅镀、CVD、ALD、电镀或其他适当的技术。一多晶硅(poly)层可通过CVD或其他适当的技术而形成于金属层上。一硬式掩模(hard mask)层可行成于多晶硅层上。上述各个层可图案化而形成公知栅极结构。
本发明不同实施例具有不同的优点。举例来说,以上叙述的方法在低温下提供一致密且表面改变的界面层。因此,一些优点包括:(1)基底(通道)/界面层界面钝化;(2)因高介电常数前驱物而改变界面层表面(较接的润湿界面);(3)在高介电常数材料沉积之后,界面层/高介电常数材料的界面钝化;(4)界面处的大气污染源少;(5)抑制热引扩散;(6)栅极介电层的EOT小于10埃。因此,栅极介电层的EOT符合先尽的技术要求(例如,45nm以下)。因此,上述方法相容于现行CMOS工艺技术,而可轻易整合至现行的工艺设备及装置技术。可以理解的是不同实施例提供不同的优点,而对于所有实施例而言,没有特定的优点是不可或缺的。
以上叙述许多实施例的特征,使本领域普通技术人员能够清楚理解以下的说明。本领域普通技术人员能够理解其可利用本发明公开内容为基础以设计或更动其他工艺及结构而完成相同于上述实施例的目的及/或达到相同于上述实施例的优点。本领域普通技术人员亦能够理解不脱离本发明的精神和范围的等效构造可在不脱离本发明的精神和范围内作任意的更动、替代与润饰。举例来说,上述实施例的界面层及高介电常数材料层可用于前栅极(gatefirst)工艺、后栅极(gate last)工艺以及包括前栅极工艺及后栅极工艺的混合工艺,以形成具有高介电常数栅极介电层及金属栅极配置的装置。

Claims (15)

1.一种半导体装置的制造方法,包括:
提供一基底;
以自由基对该基底进行处理,而在其上形成一界面层,其中该自由基是择自于以下群族:含水自由基、氮/氢自由基及硫/氢自由基;以及
在该界面层上形成一高介电常数材料层。
2.如权利要求1所述的半导体装置的制造方法,其中形成该界面层包括通过一等离子体工艺产生该自由基,其中该等离子体工艺条件包括温度低于500℃、总压力在0.005至10Torr的范围、等离子体功率低于200W及时间低于1分钟。
3.如权利要求1所述的半导体装置的制造方法,其中形成该界面层包括通过一紫外线工艺产生该自由基,其中紫外线工艺条件包括温度低于500℃、总压力在0.005至10Torr的范围、波长低于200nm及时间低于1分钟。
4.如权利要求1所述的半导体装置的制造方法,其中该自由基由一气体所产生,其择自于以下群族:H2O、H2O2、H2、O2、N2、H2S、(NH4)2S、NH3、N2H2、N2H4、NO、N2O、Ar、He及其组合。
5.如权利要求4所述的半导体装置的制造方法,其中该含水自由基由一H2O/Ar混合气体所产生,且H2O的分压低于0.1Torr。
6.如权利要求4所述的半导体装置的制造方法,其中该氮/氢自由基由一NH3/Ar混合气体所产生,且NH3的流量低于500sccm。
7.如权利要求4所述的半导体装置的制造方法,其中该硫/氢自由基由一H2S/He混合气体所产生,且H2S的分压低于0.1Torr。
8.一种半导体装置的制造方法,包括:
提供一基底;
对该基底进行一第一处理,以在该基底上形成一第一介电层,该第一处理包括第一自由基;
对该第一介电层进行一第二处理,以在该基底上形成一第二介电层,该第二处理包括不同于该第一自由基的第二自由基,其中每一第一及第二自由基包括含水自由基及氮/氢自由基的其中一个;以及
在该第一及第二介电层上形成一高介电常数材料层。
9.如权利要求8所述的半导体装置的制造方法,其中该第一及该第二自由基的其中一个由一气体所产生,其择自于以下群族:NH3、N2H2、N2H4、N2、NO、N2O、H2O、H2O2、H2、O2、Ar、He及其组合。
10.如权利要求8所述的半导体装置的制造方法,其中每一第一及第二处理包括等离子体工艺及紫外线工艺的其中一个。
11.如权利要求10所述的半导体装置的制造方法,其中该等离子体工艺条件包括以下其中一个:
使用一H2O/Ar混合气体,且H2O的分压低于0.1Torr、Ar的流量在1000至200sccm的范围、温度低于500℃、总压力在0.005至10Torr的范围、等离子体功率低于200W及时间低于1分钟;以及
使用一NH3/Ar混合气体,且NH3的流量低于500sccm、Ar的流量在1000至200sccm的范围、温度低于600℃、总压力在0.005至10Torr的范围、等离子体功率低于3kW及时间低于5分钟。
12.如权利要求10所述的半导体装置的制造方法,其中该紫外线工艺条件包括以下其中一个:
使用一H2O/Ar混合气体,且H2O的分压低于0.1Torr、Ar的流量在1000至200sccm的范围、温度低于500℃、总压力在0.005至10Torr的范围、波长低于200nm及时间低于1分钟;以及
使用一NH3/Ar混合气体,且NH3的流量低于500sccm、Ar的流量在1000至200sccm的范围、温度低于600℃、总压力在0.005至10Torr的范围、波长低于200nm及时间低于5分钟。
13.一种半导体装置的制造方法,包括:
提供一基底;
对该基底进行至少一处理,以在该基底上形成一界面层,该处理包括等离子体工艺及紫外线工艺的其中一个,且该处理是使用自由基,其择自于以下群族:含水自由基、氮/氢自由基及硫/氢自由基;以及
在该界面层上形成一高介电常数材料层。
14.如权利要求13所述的半导体装置的制造方法,其中形成该界面层包括:
对该基底进行一第一处理,以在该基底上形成一第一介电层,该第一处理包括第一自由基;
对该第一介电层进行一第二处理,以在该基底上形成一第二介电层,该第二处理包括不同于该第一自由基的第二自由基。
15.如权利要求13所述的半导体装置的制造方法,其中形成该界面层包括:
在硅基底上形成一SiO2层及一SiON层的其中一个;以及
对该SiO2层/硅基底及该SiON层/硅基底的其中一个进行至少一处理;
其中该SiO2层及该SiON层的其中一个的初始实际厚度不超过5埃。
CN200910173269A 2008-09-22 2009-09-22 半导体装置的制造方法 Pending CN101685777A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9913708P 2008-09-22 2008-09-22
US61/099,137 2008-09-22
US12/550,767 US9711373B2 (en) 2008-09-22 2009-08-31 Method of fabricating a gate dielectric for high-k metal gate devices
US12/550,767 2009-08-31

Publications (1)

Publication Number Publication Date
CN101685777A true CN101685777A (zh) 2010-03-31

Family

ID=42038108

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910173269A Pending CN101685777A (zh) 2008-09-22 2009-09-22 半导体装置的制造方法

Country Status (3)

Country Link
US (1) US9711373B2 (zh)
CN (1) CN101685777A (zh)
TW (1) TWI397124B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206276A (zh) * 2014-12-30 2016-12-07 台湾积体电路制造股份有限公司 用于锗基半导体结构的表面钝化
CN106611697A (zh) * 2015-10-26 2017-05-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8268683B2 (en) * 2009-06-12 2012-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing interfacial layer thickness for high-K and metal gate stack
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8633549B2 (en) 2011-10-06 2014-01-21 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8809152B2 (en) 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5761724B2 (ja) * 2012-01-24 2015-08-12 文彦 廣瀬 薄膜形成方法および装置
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103594365B (zh) * 2012-08-14 2016-06-29 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9012300B2 (en) 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9224826B2 (en) 2014-02-12 2015-12-29 International Business Machines Corporation Multiple thickness gate dielectrics for replacement gate field effect transistors
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015134398A1 (en) * 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US20150255267A1 (en) * 2014-03-09 2015-09-10 Tokyo Electron Limited Atomic Layer Deposition of Aluminum-doped High-k Films
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10134585B2 (en) * 2014-08-19 2018-11-20 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102365687B1 (ko) 2015-04-21 2022-02-21 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10143993B2 (en) * 2015-08-18 2018-12-04 Lam Research Corporation Radical generator and method for generating ammonia radicals
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI635539B (zh) 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210154622A (ko) 2020-06-12 2021-12-21 삼성전자주식회사 3차원 반도체 장치 및 반도체 장치의 제조방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5616947A (en) * 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5451542A (en) * 1994-06-21 1995-09-19 Sandia Corporation Surface passivation process of compound semiconductor material using UV photosulfidation
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
JP4731694B2 (ja) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7092977B2 (en) * 2001-08-31 2006-08-15 Arkivio, Inc. Techniques for storing data based upon storage policies
US7251661B1 (en) * 2002-03-29 2007-07-31 Ncr Corp. Movable objects in a database
US7305430B2 (en) * 2002-08-01 2007-12-04 International Business Machines Corporation Reducing data storage requirements on mail servers
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7534729B2 (en) * 2003-02-28 2009-05-19 Board Of Regents, The University Of Texas System Modification of semiconductor surfaces in a liquid
US20050048218A1 (en) * 2003-08-29 2005-03-03 Weidman Larry G. Process for coating substrates with polymeric compositions
US6974779B2 (en) * 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
US20060099782A1 (en) * 2004-10-15 2006-05-11 Massachusetts Institute Of Technology Method for forming an interface between germanium and other materials
JP4185057B2 (ja) * 2005-01-28 2008-11-19 富士通株式会社 半導体装置の製造方法
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060228898A1 (en) 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US7521376B2 (en) * 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
US7485503B2 (en) * 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
US20080048216A1 (en) * 2006-05-30 2008-02-28 Ye Peide D Apparatus and method of forming metal oxide semiconductor field-effect transistor with atomic layer deposited gate dielectric
US7673099B1 (en) * 2006-06-30 2010-03-02 Emc Corporation Affinity caching
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
TW200822980A (en) 2006-11-16 2008-06-01 Atomic Energy Council Atmosphere plasma cleaning and treating device
US7702664B2 (en) * 2006-12-26 2010-04-20 Lenovo (Singapore) Pte. Ltd. Apparatus, system, and method for autonomic large file marking
US20080254605A1 (en) * 2007-04-16 2008-10-16 Interuniversitair Microelektronica Centrum (Imec) Method of reducing the interfacial oxide thickness
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7662693B2 (en) * 2007-09-26 2010-02-16 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US7996371B1 (en) * 2008-06-10 2011-08-09 Netapp, Inc. Combining context-aware and context-independent data deduplication for optimal space savings
US9384962B2 (en) * 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206276A (zh) * 2014-12-30 2016-12-07 台湾积体电路制造股份有限公司 用于锗基半导体结构的表面钝化
CN106206276B (zh) * 2014-12-30 2020-04-07 台湾积体电路制造股份有限公司 用于锗基半导体结构的表面钝化
CN106611697A (zh) * 2015-10-26 2017-05-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106611697B (zh) * 2015-10-26 2019-11-05 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
TWI397124B (zh) 2013-05-21
US20100075507A1 (en) 2010-03-25
TW201013784A (en) 2010-04-01
US9711373B2 (en) 2017-07-18

Similar Documents

Publication Publication Date Title
CN101685777A (zh) 半导体装置的制造方法
TWI757322B (zh) 用於鈍化半導體表面之方法及相關系統
US8507991B2 (en) Semiconductor device and method of manufacturing the same
CN101752236B (zh) 一种调控GaAs半导体与栅介质间能带补偿的原子层沉积Al2O3/HfO2方法
CN103069552B (zh) 包括具有在其侧壁上增强的氮浓度的SiON栅电介质的MOS晶体管
KR101375800B1 (ko) 게이트 산화물 누설 전류가 감소된 대체 금속 게이트 트랜지스터
US9478637B2 (en) Scaling EOT by eliminating interfacial layers from high-K/metal gates of MOS devices
US7939396B2 (en) Base oxide engineering for high-K gate stacks
CN102129979A (zh) 半导体装置及其制造方法
JPWO2011101931A1 (ja) 半導体装置及びその製造方法
US20140099785A1 (en) Sacrificial Low Work Function Cap Layer
CN102044442B (zh) 一种改善高介电常数栅介质界面特性的方法
CN102299077B (zh) 一种半导体器件及其制造方法
CN104103509A (zh) 界面层的形成方法及金属栅极晶体管的形成方法
US8658490B2 (en) Passivating point defects in high-K gate dielectric layers during gate stack formation
JP5050351B2 (ja) 半導体装置の製造方法
CN110993603A (zh) 半导体结构及其形成方法
CN102064103A (zh) 高k栅介质层的制备方法
CN106206721B (zh) Nmos晶体管及其制作方法
KR20220070518A (ko) 게이트 올 어라운드 i/o 엔지니어링
US20120306028A1 (en) Semiconductor process and structure thereof
Yamamoto et al. Electrical and physical characterization of remote plasma oxidized HfO/sub 2/gate dielectrics
WO2014069032A1 (ja) 電界効果型半導体装置及びその製造方法
Kar et al. Physics and Technology of High-k Materials 9
CN103943475A (zh) 一种提高栅氧化物介电常数的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20100331