TWI397124B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI397124B
TWI397124B TW098131886A TW98131886A TWI397124B TW I397124 B TWI397124 B TW I397124B TW 098131886 A TW098131886 A TW 098131886A TW 98131886 A TW98131886 A TW 98131886A TW I397124 B TWI397124 B TW I397124B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric constant
substrate
constant material
high dielectric
Prior art date
Application number
TW098131886A
Other languages
English (en)
Other versions
TW201013784A (en
Inventor
Che Hao Chang
Cheng Hao Hou
Chen Hua Yu
Tai Bor Wu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201013784A publication Critical patent/TW201013784A/zh
Application granted granted Critical
Publication of TWI397124B publication Critical patent/TWI397124B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02241III-V semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體裝置的製造方法
本發明係有關於一種半導體製程,特別是有關於一種用於金屬閘極的高介電常數閘極介電層的製造方法。
半導體積體電路(integrated circuit,IC)工業已經歷快速的成長。在IC材料與設計的技術進展已造就各個IC世代,每一世代的電路都比前世代來得更小更複雜。然而,這些進展卻增加IC製造及加工的複雜度,而因應這些進展,IC製造及加工需要類似的演進。在IC進展課題中,功能密度(即,單位晶片面積的內連裝置數量)普遍增加,而幾何尺寸(即,製程所能形成的最小部件(或線))則下降。上述尺寸微縮製程因生產效率的增加及成本的降低而有所助益。而降低尺寸比例產生相對較高的功率消耗(power dissipation)值,其可藉由使用低功耗裝置而獲得解決,例如互補式金氧半(complementary metal-oxide-semiconductor,CMOS)裝置。
在尺寸比例微縮發展期間,使用各種不同的材料作為CMOS裝置的閘極電極及閘極介電層。而這些裝置的製造希望以金屬材料作為閘極電極,且以高介電常數(high-k)材料作為閘極介電層。通常在高介電常數材料層與矽基底之間會形成一界面(interfacial)層,例如氧化層,以促進高介電常數材料層的形成並改善界面處的電特性。然而,當形成界面層時會引起一些問題,例如後續製程期間對於高介電常數材料沉積的潤濕(wetting)特性不佳及發生氧化物再成長(re-growth)。
因此,有必要尋求一種新的改善方法,用以在基底上形成界面層及高介電常數材料層。
本發明一實施例提供一種半導體裝置的製造方法,包括:提供一基底;以自由基對基底進行處理,而在其上形成一界面層,其中自由基係擇自於以下群族:含水自由基、氮/氫自由基及硫/氫自由基;以及在界面層上形成一高介電常數材料層。
本發明另一實施例提供一種半導體裝置的製造方法,包括:提供一基底;對基底進行一第一處理,以在基底上形成一第一介電層,第一處理包括第一自由基;對第一介電層進行一第二處理,以在基底上形成一第二介電層,第二處理包括不同於第一自由基的第二自由基,其中每一第一及第二自由基包括含水自由基或氮/氫自由基;以及在第一及第二介電層上形成一高介電常數材料層。
本發明又另一實施例提供一種半導體裝置的製造方法,包括:提供一基底;對基底進行至少一處理,以在基底上形成一界面層,處理包括電漿製程及紫外線製程的其中一個,且該處理係使用自由基,其擇自於以下群族:含水自由基、氮/氫自由基及硫/氫自由基;以及在界面層上形成一高介電常數材料層。
可瞭解的是以下的揭露內容提供許多不同的實施例或範例,用以實施各個實施例的不同特徵。而以下所揭露的內容是敘述各個構件及其排列方式的特定範例,以求簡化本發明的說明。當然,這些特定的範例並非用以限定本發明。再者,本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,其表示包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將額外的特徵形成於第一特徵與第二特徵之間而使第一特徵與第二特徵並未直接接觸的實施例。為了達到簡化及清晰的目的,不同特徵可能以不同尺寸比例繪示。
請參照第1圖,其繪示出在一半導體基底上形成一界面層及一高介電常數材料層的示意圖。半導體基底100包括一矽基底。基底100可包括不同的摻雜型態,取決於習知設計需求。基底100亦包括其他單質(elementary)半導體,例如鍺及鑽石。另外,基底100可包括一化合物半導體及/或一合金半導體。再者,基底100可選擇性地包括一磊晶層(epi layer),其可具有應變以提高效能,且可包括一絕緣層上覆矽(silicon-on-insulator,SOI)的結構。
一界面層102形成於基底100上。界面層102可包括氧化矽(SiO2 ),其以習知熱氧化成長一SiO2 層(例如,熱氧化物)至所需厚度而成。然而,熱氧化物的上表面對於後續高介電常數材料層沉積製程具有不佳的潤濕特性。藉由原子層沉積(atomic layer deposition,ALD)製程110或所謂的原子層化學氣相沉積(atomic layer chemical vapor deposition,ALCVD)製程(以A+B表示之),於界面層102上形成一高介電常數材料層104,其由ALD製程中二個半反應組合所構成。上述半反應其中一個包括提供一金屬前驅物(A),例如四-(乙基甲基胺基酸)-鉿(Tetrakis(ethylmethylamino)hafnium)(即,Hf[NCH3 C2 H5 ]4 ,TEMAH),以化學吸附基底表面。另一半反應包括提供一第二前驅物(B),例如氧物質(O species),以化學吸附基底表面。二個半反應之間,使用不具活性的惰性氣體,例如Ar或N2 ,來清除物理吸附於基底表面的過量前驅物A及B。通常ALD製程由前驅物A(B)脈衝、惰性氣體清潔、前驅物B(A)脈衝、惰性氣體清潔及重複此順序步驟所組成。因此,ALD製程110進行一連串步驟而形成高介電常數材料多層膜。一初始(例如,第一)高介電常數材料層104係藉由ALD而形成於界面層102的上表面。重複ALD製程110,以在後續形成每一高介電常數材料層104直到獲得所需的厚度為止。可觀察到ALD製程的初始層具有較長的醞釀期(incubation-cycle),其原因在於界面層102上表面的潤濕性不佳。因此,後續的高介電常數材料層形成島狀加層狀(island-to-layer)結構(例如,粗糙成長)。因此,高介電常數材料層104與界面層102之間的界面含有缺陷112,其嚴重影響裝置效能,例如造成閘極漏電流。
請參照第2圖,其繪示出在一半導體基底上形成一界面層及一高介電常數材料層的示意圖。半導體基底200包括一矽基底。一界面層202形成於基底200上。界面層202可包括氧化矽(SiO2 ),其以習知濕化學氧化製程而形成。相較於熱氧化成長,化學氧化物的厚度易於控制在低於1nm。再者,化學氧化物的表面含有氫氧(-OH)官能基204,其對於後續高介電常數材料層沉積製程提供良好的潤濕特性。因此,藉由ALD製程所形成的高介電常數材料層的初始(第一)層具有較短的醞釀期。
藉由原子層沉積製程210於界面層202上形成一高介電常數材料層206。ALD製程210進行一連串步驟而形成高介電常數材料多層膜。舉例來說,高介電常數材料層206包括氧化鉿(HfO2 )。一初始(例如,第一)高介電常數材料層係藉由ALD而形成於界面層202的上表面。重複ALD製程210(例如,A+B),以在後續形成每一高介電常數材料層206直到獲得所需的厚度為止。然而,可觀察到化學氧化物在塊體界面層202內所產生的過量氫氧官能基212,及在其與高介電常數材料層206的界面處由大氣污染源(atmosphere contamination)AMC所引起的電子陷阱214。此將於後續製程期間引起氧化物再成長,使界面層202的厚度不當的增加。
請參照第3圖,其繪示出在一半導體基底上形成一界面層及一高介電常數材料層的示意圖。半導體基底300包括一矽基底。一界面層302形成於基底300上。界面層302可包括氧化矽(SiO2 ),其以習知伴隨濕式處理的熱氧化製程而形成。亦即,氧化物以第1圖所述的熱成長而形成,而氧化層的上表面304進行一濕式處理以對於後續高介電常數材料層沉積提供良好的潤濕特性。因此,在塊體界面層302內具有較少的氫氧(-OH)基,且對於藉由ALD製程所形成的高介電常數材料層的初始(第一)層具有較短的醞釀期。
藉由原子層沉積製程310於界面層302上形成一高介電常數材料層306。ALD製程310進行一連串步驟而形成高介電常數材料多層膜。舉例來說,高介電常數材料層306包括氧化鉿(HfO2 )。一初始(例如,第一)高介電常數材料層係藉由ALD而形成於界面層302的上表面。重複ALD製程310(例如,A+B),以在後續形成每一高介電常數材料層306直到獲得所需的厚度為止。然而,可觀察到在界面層302與高介電常數材料層306的界面處出現電子陷阱314及大氣污染源的問題,因而在界面處引起氧化物再成長。再者,可觀察到當界面層的等效氧化層厚度(equivalent oxide thickness,EOT)小於7埃()時,熱氧化物較第2圖的化學氧化物難以控制。因此,對於達到先進技術的閘極堆疊設計需求(例如,EOT小於8埃)而言會是一種挑戰。
請參照第4圖,其繪示出在一基底上形成一界面層及一高介電常數材料層的方法400。上述方法400為以單一處理製程在上表面形成界面層。請參照第5A至5B圖,其繪示出根據第4圖方法400在基底上形成界面層及高介電常數材料層的剖面示意圖。上述方法400始於區塊410。提供一基底500。請參照第5A圖,基底500可包括一矽(Si)基底。基底500可包括不同摻雜型態(例如,N型井區或P型井區),取決於習知設計需求。基底500亦包括其他單質半導體,例如鍺及鑽石。另外,基底500可包括一化合物半導體及/或一合金半導體。再者,基底500可選擇性地包括一磊晶層(epi layer),其可具有應變以提高效能,且可包括一絕緣層上覆矽(silicon-on-insulator,SOI)的結構。再者,基底500可包括Ge、Ga、As、In、Sb、Al、其組合或是其他適用於半導體裝置的基底。
方法400持續進行到區塊420,在基底500上形成一界面層502。請參照第5B圖,以電漿製程503所產生的自由基對矽基底500的氫(H)端進行處理,以形成界面層502。自由基可包括含水自由基。含水自由基可由含O及H原子的電漿所產生。基底500可置入一製程反應室,以提供電漿製程一適當環境。在本實施例中,電漿製程503可為H2 O/Ar電漿(用於產生含水自由基),以形成氧化矽(SiO2 )作為界面層502。
電漿製程503在製程反應室內可具有下列的製程條件。電漿製程503的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於200W、H2 O的分壓低於或等於0.1Torr(以Ar氣體稀釋)、Ar的流量在1000至200sccm的範圍及處理時間低於或等於1分鐘。氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期(exposure period)及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室(pre-camber)內且將遠距電漿供至主製程反應室內。
須注意的是電漿製程503(例如,總壓力及H2 O的壓力)在低溫()較易促進界面層502的厚度控制及生成較緻密及無缺陷的塊體界面層502。界面層502的等效氧化層厚度(EOT)可小於或等於7埃()。就其而言,可抑制熱引擴散所造成的氧化物再成長。再者,電漿製程503同時改變了界面層502的上表面,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕特性(例如,氫氧(-OH)官能基504)。另外,由SiO2 所構成的界面層初始形成(以其他技術)的實際厚度小於或等於5埃(),且可對SiO2 界面層/Si基底進行上述自由基表面處理,以改善界面層的表面條件,而形成較佳的高介電常數材料層,將於以下說明。
上述方法400持續進行到區塊430,在界面層502上形成高介電常數材料層506。請參照第5C圖,高介電常數材料層506包括氧化鉿(HfO2 )。高介電常數材料層506係藉由ALD製程510而形成於界面層502上。ALD製程510進行一連串步驟而形成高介電常數材料多層膜,如之前所述。每一膜層係藉由在界面層502的上表面提供陽離子前驅物(A)(例如,Hf物質,如TEMAH)而形成,並接著提供陰離子前驅物(B)(例如,O物質,如D2 O),以與陽離子前驅物反應而形成一層高介電常數材料層506。重複ALD製程510(例如,前驅物A+前驅物B),以在後續形成每一高介電常數材料層506直到獲得所需的厚度為止。可以理解的是也可使用其他前驅物來形成高介電常數材料層506。
另外,高介電常數材料層506可包括其他介電常數材料,諸如氮氧化鉿(HfON)、鉿矽酸鹽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氮氧化鉿鉭(HfTaON)、氧化鉿鈦(HfTiO)、氮氧化鉿鈦(HfTiON)、氧化鉿鋯(HfZrO)、氮氧化鉿鋯(HfZrON)、氧化鉿鋁(HfAlO)、氮氧化鉿鋁(HfAlON)、氧化鉿鑭(HfLaO)、氮氧化鉿鑭(HfLaON)及其組合。另外,高介電常數材料層可藉由習知金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MOCVD)或其他適當的CVD製程而形成。須注意的是可以原位(in-situ)方式(例如,在ALD製程反應室內)進行界面層及高介電常數材料層的製作。換句話說,界面層502及高介電常數材料層506的製作從基底處理至高介電常數材料沉積都無須暴露於大氣中。因此,較少的大氣污染源位於基底500(通道)/界面層502的界面,以及界面層502/高介電常數材料層506的界面。
可以理解的是上述方法400可繼續進行半導體製程,以形成各種不同的微電子裝置,例如積體電路的電晶體、電阻、電容等等。舉例來說,界面層502及高介電常數材料層506可用於形成於基底500內不同的nMOSFET及pMOSFET裝置的閘極介電層。
請參照第6A至6C圖,其繪示出另一方法以在基底上形成界面層及高介電常數材料層的剖面示意圖。請參照第6A圖,基底600可包括一矽(Si)基底。基底600可包括不同摻雜型態(例如,N型井區或P型井區),取決於習知設計需求。基底600亦包括其他單質半導體,例如鍺及鑽石。另外,基底600可包括一化合物半導體及/或一合金半導體。再者,基底600可選擇性地包括一磊晶層(epi layer),其可具有應變以提高效能,且可包括一絕緣層上覆矽(SOI)的結構。再者,基底600可包括Ge、Ga、As、In、Sb、Al、其組合或是其他適用於半導體裝置的基底。
請參照第6B圖,以電漿製程或紫外線(UV)製程所產生的自由基對矽基底600進行處理603,以形成界面層602。上述處理603可以原位的方式或非原位(ex situ)的方式(例如,暴露於大氣中)來進行。自由基可包括含水自由基及/或氮/氫自由基。自由基可選擇取決於所需的界面層602型態。基底600可置入一製程反應室,以提供電漿製程或紫外線(UV)製程一適當環境。含水自由基可由電漿或UV在含O及H原子的環境所產生。舉例來說,含水自由基可由使用一氣體所產生,例如H2 O、H2 O2 、H2 、O2 、N2 、Ar、He或其組合。氮/氫自由基可由電漿或UV在含N及H原子的環境所產生。舉例來說,氮/氫自由基可由使用一氣體所產生,例如NH3 、N2 H2 、N2 H4 、N2 、H2 、NO、N2 O、Ar、He或其組合。界面層602可包括氧化矽(SiO2 ),其藉由電漿製程或UV製程及下列的製程條件而形成。另外,界面層可包括氮化矽(SiNx )或氮氧化矽(SiOx Ny )。
在一實施例中,電漿製程可具有下列的製程條件。電漿製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於200W、H2 O的分壓低於或等於0.1Torr(以Ar氣體稀釋)以產生含水自由基、Ar的流量在1000至200sccm的範圍及處理時間低於或等於1分鐘。氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室內且將遠距電漿供至主製程反應室內。
在另一實施例中,UV製程在製程反應室內可具有下列的製程條件。UV製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、波長(UV源)小於或等於200nm、H2 O的分壓低於或等於0.1Torr(以Ar氣體稀釋)以產生含水自由基、NH3 的流量低於或等於500sccm以產生氮/氫自由基、Ar的流量在1000至200sccm的範圍及”啟用”UV的時間低於或等於1分鐘。氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及UV產生自由基的全部時間來控制上述處理程序。
須注意的是電漿製程及UV製程兩者(例如,總壓力及H2 O的壓力)在低溫()較易促進界面層602的厚度控制及生成較緻密及無缺陷的塊體界面層602。界面層602的等效氧化層厚度(EOT)可小於或等於7埃()。就其而言,可抑制熱引擴散所造成的氧化物再成長。再者,電漿製程及UV製程兩者同時改變了界面層602的上表面,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕特性(例如,-OH或-NHx 官能基604)。另外,由SiON所構成的界面層初始形成(以其他技術)的實際厚度小於或等於5埃(),且可對SiON界面層/Si基底進行上述自由基表面處理,以改善界面層的表面條件,而形成較佳的高介電常數材料層,將於以下說明。
請參照第6C圖,高介電常數材料層606包括氧化鉿(HfO2 )。高介電常數材料層606係藉由ALD製程610而形成於界面層602上。ALD製程610進行一連串步驟而形成高介電常數材料多層膜。每一膜層係藉由在界面層602的上表面提供陽離子前驅物(A)(例如,Hf物質,如TEMAH)而形成,並接著提供陰離子前驅物(B)(例如,O物質,如D2 O),以與陽離子前驅物反應而形成一層高介電常數材料層606。重複ALD製程610(例如,前驅物A+前驅物B),以在後續形成每一高介電常數材料層606直到獲得所需的厚度為止。可以理解的是也可使用其他前驅物來形成高介電常數材料層606。
另外,高介電常數材料層606可包括其他介電常數材料,諸如氮氧化鉿(HfON)、鉿矽酸鹽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氮氧化鉿鉭(HfTaON)、氧化鉿鈦(HfTiO)、氮氧化鉿鈦(HfTiON)、氧化鉿鋯(HfZrO)、氮氧化鉿鋯(HfZrON)、氧化鉿鋁(HfAlO)、氮氧化鉿鋁(HfAlON)、氧化鉿鑭(HfLaO)、氮氧化鉿鑭(HfLaON)及其組合。另外,高介電常數材料層可藉由習知金屬有機化學氣相沉積(MOCVD)或其他適當的CVD製程而形成。
請參照第7A至7C圖,其繪示出另一方法以在基底上形成界面層及高介電常數材料層的剖面示意圖。請參照第7A圖,基底700可包括一GaAs基底。基底700可包括不同摻雜型態(例如,N型井區或P型井區),取決於習知設計需求。基底700亦包括其他單質半導體,例如鍺及鑽石。另外,基底700可包括一化合物半導體及/或一合金半導體。再者,基底700可選擇性地包括一磊晶層(epi layer),其可具有應變以提高效能,且可包括一絕緣層上覆矽(SOI)的結構。再者,基底700可包括Ge、Ga、As、In、Sb、Al、其組合或是其他適用於半導體裝置的基底。
請參照第7B圖,以電漿製程或紫外線(UV)製程所產生的自由基對GaAs基底700進行處理703,以形成界面層702。上述處理703可以原位的方式(例如,從基底處理至高介電常數材料沉積期間未暴露於大氣中)或非原位(ex situ)的方式(例如,暴露於大氣中)來進行。自由基可包括含硫/氫自由基。基底700可置入一製程反應室,以提供電漿製程或UV製程一適當環境。硫/氫自由基可由使用一氣體所產生,例如H2 S、(NH4 )2 S、NH3 、Ar、He及其組合。在一些實施例中,可使用H2 S/Ar氣體混合物。在其他實施例中,可使用(NH4 )2 S/Ar氣體混合物。在某些其他實施例中,可使用NH3 /H2 S/Ar氣體混合物。在另一些其他實施例中,可使用H2 S/He氣體混合物。界面層702可包括GaSx 及/或AsSx 層,其藉由電漿製程或UV製程及下列的製程條件而形成。
在一實施例中,電漿製程在製程反應室內可具有下列的製程條件。電漿製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於200W、H2 S的分壓低於或等於0.1Torr(以惰性氣體稀釋)、NH3 的流量低於或等於500sccm(以惰性氣體稀釋)、Ar的流量在1000至200sccm的範圍及處理時間低於或等於1分鐘。氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室內且將遠距電漿供至主製程反應室內。再者,可以He取代Ar或混入He,以控制自由基濃度及動量(momentum)。
在另一實施例中,UV製程在製程反應室內可具有下列的製程條件。UV製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、波長(UV源)小於或等於200nm、H2 S的分壓低於或等於0.1Torr(以Ar氣體稀釋)以產生含水自由基、NH3 的流量低於或等於500sccm(以惰性氣體稀釋)、Ar的流量在1000至200sccm的範圍及”啟用”UV的時間低於或等於1分鐘。氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及UV產生自由基的全部時間來控制上述處理程序。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
須注意的是電漿製程及UV製程兩者(例如,總壓力及H2 O的壓力)在低溫()較易促進界面層702的厚度控制及生成較緻密及無缺陷的塊體界面層702。界面層702的等效氧化層厚度(EOT)可小於或等於7埃()。就其而言,可抑制熱引擴散所造成的氧化物再成長。再者,電漿製程及UV製程兩者同時改變了界面層702的上表面,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕特性(例如,烷(-SHx )官能基704)。
請參照第7C圖,高介電常數材料層706包括氧化鉿(HfO2 )。高介電常數材料層706係藉由ALD製程710而形成於界面層702上。ALD製程710進行一連串步驟而形成高介電常數材料多層膜。每一膜層係藉由在界面層702的上表面提供陽離子前驅物(A)(例如,Hf物質,如TEMAH)而形成,並接著提供陰離子前驅物(B)(例如,O物質,如D2 O),以與陽離子前驅物反應而形成一層高介電常數材料層706。重複ALD製程710(例如,前驅物A+前驅物B),以在後續形成每一高介電常數材料層706直到獲得所需的厚度為止。可以理解的是也可使用其他前驅物來形成高介電常數材料層706。
另外,高介電常數材料層706可包括其他介電常數材料,諸如氮氧化鉿(HfON)、鉿矽酸鹽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氮氧化鉿鉭(HfTaON)、氧化鉿鈦(HfTiO)、氮氧化鉿鈦(HfTiON)、氧化鉿鋯(HfZrO)、氮氧化鉿鋯(HfZrON)、氧化鉿鋁(HfAlO)、氮氧化鉿鋁(HfAlON)、氧化鉿鑭(HfLaO)、氮氧化鉿鑭(HfLaON)及其組合。另外,高介電常數材料層可藉由習知金屬有機化學氣相沉積(MOCVD)或其他適當的CVD製程而形成。
請參照第8圖,其繪示出在一基底上形成一界面層及一高介電常數材料層的方法800。上述方法800為以雙重處理製程在上表面形成界面層。請參照第9A至9D圖,其繪示出根據第8圖方法800在基底上形成界面層及高介電常數材料層的剖面示意圖。上述方法800始於區塊810。提供一基底900。請參照第9A圖,基底900可包括一矽(Si)基底。基底900可包括不同摻雜型態(例如,N型井區或P型井區),取決於習知設計需求。基底900亦包括其他單質半導體,例如鍺及鑽石。另外,基底900可包括一化合物半導體及/或一合金半導體。再者,基底900可選擇性地包括一磊晶層(epi layer),其可具有應變以提高效能,且可包括一絕緣層上覆矽(SOI)的結構。再者,基底900可包括Ge、Ga、As、In、Sb、Al、其組合或是其他適用於半導體裝置的基底。
方法800持續進行到區塊820,在基底900上形成一第一介電層902。請參照第9B圖,以電漿製程或UV製程所產生的自由基對矽基底900進行處理903(第一處理),以形成第一介電層902。上述處理903可以原位的方式(例如,從基底處理至高介電常數材料沉積期間未暴露於大氣中)或非原位(ex situ)的方式(例如,暴露於大氣中)來進行。自由基可包括含水自由基。含水自由基可由電漿或UV在含O及H原子的環境所產生。舉例來說,含水自由基可由使用一氣體所產生,例如H2 O、H2 O2 、H2 、O2 、N2 、Ar、He或其組合。基底900可置入一製程反應室,以提供電漿製程或UV製程一適當環境。第一介電層902可包括氧化矽(SiOx ),其藉由電漿製程或UV製程及下列的製程條件而形成。
在一實施例中,電漿製程在製程反應室內使用一混合氣體時,例如H2 O/Ar,可具有下列的製程條件。電漿製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於200W、H2 O的分壓低於或等於0.1Torr(以惰性氣體稀釋)、Ar的流量在1000至200sccm的範圍及處理時間低於或等於1分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室內且將遠距電漿供至主製程反應室內。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
在另一實施例中,UV製程在製程反應室內使用一混合氣體時,例如H2 O/Ar,可具有下列的製程條件。UV製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、波長(UV源)小於或等於200nm、H2 O的分壓低於或等於0.1Torr(以惰性氣體稀釋)、Ar的流量在1000至200sccm的範圍及”啟用”UV的時間低於或等於1分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及UV產生自由基的全部時間來控制上述處理程序。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。須注意的是第一處理903之後第一介電層902的上表面具有氫氧(-OH)官能基904。
上述方法800持續進行到區塊830,在第一介電層902上形成第二介電層906。請參照第9C圖,以電漿製程或UV製程所產生的自由基對第一介電層902進行處理908(第二處理),以形成第二介電層906。上述處理908可以原位的方式(例如,從基底處理至高介電常數材料沉積期間未暴露於大氣中)或非原位(ex situ)的方式(例如,暴露於大氣中)來進行。自由基可包括氮/氫自由基。氮/氫自由基可由電漿或UV在含N及H原子的環境所產生。舉例來說,氮/氫自由基可由使用一氣體所產生,例如NH3 、N2 H2 、N2 H4 、N2 、H2 、NO、N2 O、Ar、He或其組合。基底900可置入一製程反應室,以提供電漿製程或UV製程一適當環境。在本實施例中,第二介電層906可包括氮氧化矽(SiOx Ny )或氮化矽(SiNx ),其藉由電漿製程或UV製程及下列的製程條件而形成。
在一實施例中,電漿製程在製程反應室內使用一混合氣體時,例如NH3 /Ar,可具有下列的製程條件。電漿製程的溫度可低於或等於600℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於3000W、NH3 的流量低於或等於500sccm、Ar的流量在1000至200sccm的範圍及處理時間低於或等於5分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室內且將遠距電漿供至主製程反應室內。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
在另一實施例中,UV製程在製程反應室內使用一混合氣體時,例如NH3 /Ar,可具有下列的製程條件。UV製程的溫度可低於或等於600℃、總壓力在0.005至10Torr的範圍、波長(UV源)小於或等於200nm、NH3 的流量低於或等於500sccm、Ar的流量在1000至200sccm的範圍及”啟用”UV的時間低於或等於5分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及UV產生自由基的全部時間來控制上述處理程序。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。須注意的是第二處理908之後第二介電層906的上表面具有氨(-NHx )及/或氫氧(-OH)官能基909。
須注意的是不同的氣體組合可用於第一及第二處理903及908。在一實施例中,上述處理包括一氣體混合物,例如H2 O/Ar、H2 O/O2 /Ar、H2 O2 /Ar或H2 /O2 /Ar,藉由調整H及O自由基的活性及濃度以形成SiOx Hy 。上述處理包括一氣體混合物,例如H2 O/N2 /Ar、H2 O2 /N2 /Ar或H2 /O2 //N2 ,藉由調整H、O及N自由基(介電層內N摻雜)的活性及濃度以形成SiOx Ny Hz 。在其他實施例中,上述處理包括一氣體混合物,例如NH3 /Ar、N2 H2 /Ar、N2 H4 /Ar、N2 /H2 /Ar或NH3 /H2 /Ar,藉由調整H、O及N自由基(介電層內N摻雜>3%)的活性及濃度以形成SiOx Ny Hz 。上述處理包括一氣體混合物,例如NO/H2 /Ar、N2 O/H2 /Ar或NH3 /NO/Ar,藉由調整H、O及N自由基的活性及濃度以形成SiOx Ny Hz 。再者,第一及第二處理903及908可為第一電漿+第二電漿、第一UV+第二電漿、第一電漿+第二UV及第一UV+第二UV。
須注意的是電漿製程及UV製程兩者(例如,總壓力及H2 O的壓力)在低溫()較易促進第一介電層902的厚度控制(等效氧化層厚度(EOT)埃())及生成較緻密及無缺陷的塊體界面層。就其而言,可抑制熱引擴散所造成的氧化物再成長。再者,電漿製程及UV製程兩者同時在低溫()改變了界面層的上表面,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕特性(例如,-NHx 或-OH官能基909)。就其而言,第二介電層906的實際厚度小於或等於3埃(),因此第一及第二介電層902及906總EOT小於或等於7埃()。
方法800持續進行到區塊840,在界面層(第一及第二介電層902及906)上形成高介電常數材料層912。第二處理908之後,第二介電層906的上表面具有-OH及/或-NHx 官能基909,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕界面。請參照第9D圖,高介電常數材料層912包括氧化鉿(HfO2 )。高介電常數材料層912係藉由ALD製程914而形成於界面層902及906上。ALD製程914進行一連串步驟而形成高介電常數材料多層膜,如之前所述。每一膜層係藉由在界面層902及906的上表面提供陽離子前驅物(A)(例如,Hf物質,如TEMAH)而形成,並接著提供陰離子前驅物(B)(例如,O物質,如D2 O),以與陽離子前驅物反應而形成一層高介電常數材料層912。重複ALD製程914(例如,前驅物A+前驅物B),以在後續形成每一高介電常數材料層912直到獲得所需的厚度為止。可以理解的是也可使用其他前驅物來形成高介電常數材料層912。
另外,高介電常數材料層912可包括其他介電常數材料,諸如氮氧化鉿(HfON)、鉿矽酸鹽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氮氧化鉿鉭(HfTaON)、氧化鉿鈦(HfTiO)、氮氧化鉿鈦(HfTiON)、氧化鉿鋯(HfZrO)、氮氧化鉿鋯(HfZrON)、氧化鉿鋁(HfAlO)、氮氧化鉿鋁(HfAlON)、氧化鉿鑭(HfLaO)、氮氧化鉿鑭(HfLaON)及其組合。另外,高介電常數材料層可藉由習知金屬有機化學氣相沉積(MOCVD)或其他適當的CVD製程而形成。
可以理解的是上述方法400可繼續進行半導體製程,以形成各種不同的微電子裝置,例如積體電路的電晶體、電阻、電容等等。舉例來說,界面層502及高介電常數材料層506可用於形成於基底500內不同的nMOSFET及pMOSFET裝置的閘極介電層。
請參照第10A至10D圖,其繪示出根據第8圖方法800的另一實施例而在基底上形成界面層及高介電常數材料層的剖面示意圖。第10圖的實施例同樣在一基底上進行雙重處理製程以及沉積高介電常數材料層。因此,除了以下所述的差異之外,第10圖的半導體裝置相似於第9圖的半導體裝置。請參照第10A圖,一基底1000可包括一矽(Si)基底。基底1000可包括不同摻雜型態(例如,N型井區或P型井區),取決於習知設計需求。基底1000亦包括其他單質半導體,例如鍺及鑽石。另外,基底1000可包括一化合物半導體及/或一合金半導體。再者,基底1000可選擇性地包括一磊晶層(epi layer),其可具有應變以提高效能,且可包括一絕緣層上覆矽(SOI)的結構。再者,基底1000可包括Ge、Ga、As、In、Sb、Al、其組合或是其他適用於半導體裝置的基底。
請參照第10B圖,一介電層1002係藉由電漿製程或UV製程所產生的自由基對矽基底1000進行處理1003(第一處理)而形成。上述處理1003可以原位的方式(例如,從基底處理至高介電常數材料沉積期間未暴露於大氣中)或非原位(ex situ)的方式(例如,暴露於大氣中)來進行。在本實施例中,自由基可包括氮/氫自由基。氮/氫自由基可由電漿或UV在含N及H原子的環境所產生。舉例來說,氮/氫自由基可由使用一氣體所產生,例如NH3 、N2 H2 、N2 H4 、N2 、H2 、NO、N2 O、Ar、He或其組合。基底1000可置入一製程反應室,以提供電漿製程或UV製程一適當環境。在本實施例中,第介電層1002可藉由電漿製程或UV製程及下列的製程條件而形成。
在一實施例中,電漿製程在製程反應室內使用一混合氣體時,例如NH3 /Ar,可具有下列的製程條件。電漿製程的溫度可低於或等於600℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於3000W、NH3 的流量低於或等於500sccm、Ar的流量在1000至200sccm的範圍及處理時間低於或等於5分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室內且將遠距電漿供至主製程反應室內。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
在另一實施例中,UV製程在製程反應室內使用一混合氣體時,例如NH3 /Ar,可具有下列的製程條件。UV製程的溫度可低於或等於600℃、總壓力在0.005至10Torr的範圍、波長(UV源)小於或等於200nm、NH3 的流量低於或等於500sccm、Ar的流量在1000至200sccm的範圍及”啟用”UV的時間低於或等於5分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及UV產生自由基的全部時間來控制上述處理程序。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
在本實施例中,介電層1002可包括一氮化矽(SiNx ),其上表面具有氨(-NHx )官能基1004。在一些實施例中,介電層1002可包括一氮氧化矽(SiOx Ny ),其上表面具有氨(-NHx )官能基。介電層1002一開始形成部分的界面層。
請參照第10C圖,對分別含有SiNx 及Si再氧化的介電層1002進行處理1008,以形成介電層1006。再氧化製程可在氧化環境下進行熱退火。在本實施例中,藉由電漿製程或UV製程所產生的自由基對介電層1002的上表面及其與矽基底1000的界面進行處理1008。因此,介電層1006形成於矽基底1000上,而一介電層1002a形成於介電層1006上。上述處理1008可以原位的方式(例如,未暴露於大氣中)或非原位(ex situ)的方式(例如,從基底處理至高介電常數材料沉積期間暴露於大氣中)來進行。在本實施例中,自由基可包括含水自由基。含水自由基可由電漿或UV在含O及H原子的環境所產生。舉例來說,含水自由基可由使用一氣體所產生,例如H2 O、H2 O2 、H2 、O2 、N2 、Ar、He或其組合。基底1000可置入一製程反應室,以提供電漿製程或UV製程一適當環境。介電層1006可藉由下列的製程條件而形成。
在一實施例中,電漿製程在製程反應室內使用一混合氣體時,例如H2 O/Ar,可具有下列的製程條件。電漿製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、電漿功率(RF功率)低於或等於200W、H2 O的分壓低於或等於0.1Torr(以惰性氣體稀釋)、Ar的流量在1000至200sccm的範圍及處理時間低於或等於1分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及電漿產生自由基的全部時間來控制上述處理程序。電漿可產生於主製程反應室內或是遠距產生於預備室內且將遠距電漿供至主製程反應室內。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
在另一實施例中,UV製程在製程反應室內使用一混合氣體時,例如H2 O/Ar,可具有下列的製程條件。UV製程的溫度可低於或等於500℃、總壓力在0.005至10Torr的範圍、波長(UV源)小於或等於200nm、H2 O的分壓低於或等於0.1Torr(以惰性氣體稀釋)、Ar的流量在1000至200sccm的範圍及”啟用”UV的時間低於或等於1分鐘。
氣體流量參數可用於穩定壓力及反應氣體濃度。另外,可藉由控制暴露期及UV產生自由基的全部時間來控制上述處理程序。再者,可以He取代Ar或混入He,以控制自由基濃度及動量。
在本實施例中,經過電漿或UC製程之後,介電層1006可包括氧化矽(SiOx ),而介電層1002a可包括氮氧化矽(SiOx Ny )。在其他實施例中,介電層1006可包括氧化矽氮氧化矽(SiOx Ny )。介電層1002a及1006係構成界面層。
須注意的是不同的氣體組合可用於第一及第二處理1003及1008。在一實施例中,上述處理包括一氣體混合物,例如H2 O/Ar、H2 O/O2 /Ar、H2 O2 /Ar或H2 /O2 /Ar,藉由調整H及O自由基的活性及濃度以形成SiOx Hy 。上述處理包括一氣體混合物,例如H2 O/N2 /Ar、H2 O2 /N2 /Ar或H2 /O2 //N2 ,藉由調整H、O及N自由基(介電層內N摻雜)的活性及濃度以形成SiOx Ny Hz 。在其他實施例中,上述處理包括一氣體混合物,例如NH3 /Ar、N2 H2 /Ar、N2 H4 /Ar、N2 /H2 /Ar或NH3 /H2 /Ar,藉由調整H、O及N自由基(介電層內N摻雜>3%)的活性及濃度以形成SiOx Ny Hz 。上述處理包括一氣體混合物,例如NO/H2 /Ar、N2 O/H2 /Ar或NH3 /NO/Ar,藉由調整H、O及N自由基的活性及濃度以形成SiOx Ny Hz 。再者,第一及第二處理1003及1008可為第一電漿+第二電漿、第一UV+第二電漿、第一電漿+第二UV及第一UV+第二UV。
須注意的是電漿製程及UV製程兩者(例如,總壓力及H2 O的壓力)在低溫()較易促進介電層1006的厚度控制(等效氧化層厚度(EOT)埃())及生成較緻密及無缺陷的塊體界面層。就其而言,可抑制熱引擴散所造成的氧化物再成長。再者,電漿製程及UV製程兩者同時在低溫()改變了界面層的上表面,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕特性(例如,(-OH)或(-NHx )官能基1009)。就其而言,介電層1002a的實際厚度小於或等於3埃(),因此介電層1002a及1006總EOT小於或等於7埃()。
第二處理1008之後,介電層1002a的上表面具有(-OH)及/或(-NHx )官能基1009,以對後續高介電常數材料層的沉積(ALD或CVD)提供較佳的潤濕界面。請參照第10D圖,高介電常數材料層1012包括氧化鉿(HfO2 )。高介電常數材料層1012係藉由ALD製程1014而形成於界面層(介電層1002a及1006)上。ALD製程1014進行一連串步驟而形成高介電常數材料多層膜,如之前第9圖所述。每一膜層係藉由在界面層(介電層1002a及1006)的上表面提供陽離子前驅物(A)(例如,Hf物質,如TEMAH)而形成,並接著提供陰離子前驅物(B)(例如,O物質,如D2 O),以與陽離子前驅物反應而形成一層高介電常數材料層1012。重複ALD製程1014(例如,前驅物A+前驅物B),以在後續形成每一高介電常數材料層1012直到獲得所需的厚度為止。可以理解的是也可使用其他前驅物來形成高介電常數材料層1012。
另外,高介電常數材料層1012可包括其他介電常數材料,諸如氮氧化鉿(HfON)、鉿矽酸鹽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氮氧化鉿鉭(HfTaON)、氧化鉿鈦(HfTiO)、氮氧化鉿鈦(HfTiON)、氧化鉿鋯(HfZrO)、氮氧化鉿鋯(HfZrON)、氧化鉿鋁(HfAlO)、氮氧化鉿鋁(HfAlON)、氧化鉿鑭(HfLaO)、氮氧化鉿鑭(HfLaON)及其組合。另外,高介電常數材料層可藉由習知金屬有機化學氣相沉積(MOCVD)或其他適當的CVD製程而形成。
可以理解的是上述基底900及1000可繼續進行半導體製程,以形成各種不同的微電子裝置,例如積體電路的電晶體、電阻、電容等等。舉例來說,界面層(介電層902及906及介電層1002a及1006)及高介電常數材料層912及1012可用於不同的nFET及pFET裝置的閘極介電層。舉例來說,可在高介電常數材料層上形成一金屬層。金屬層包括N功函數(work function)金屬(N-金屬)或P功函數(P-金屬)。金屬層可藉由各種沉積技術而形成,例如物理氣相沉積(physical vapor deposition,PVD)或濺鍍、CVD、ALD、電鍍或其他適當的技術。一多晶矽(poly)層可藉由CVD或其他適當的技術而形成於金屬層上。一硬式罩幕(hard mask)層可行成於多晶矽層上。上述各個層可圖案化而形成習知閘極結構。
本發明不同實施例具有不同的優點。舉例來說,以上敘述的方法在低溫下提供一緻密且表面改變的界面層。因此,一些優點包括:(1)基底(通道)/界面層界面鈍化;(2)因高介電常數前驅物而改變界面層表面(較接的潤濕界面);(3)在高介電常數材料沉積之後,界面層/高介電常數材料的界面鈍化;(4)界面處的大氣污染源少;(5)抑制熱引擴散;(6)閘極介電層的EOT小於10埃。因此,閘極介電層的EOT符合先盡的技術要求(例如,45nm以下)。因此,上述方法相容於現行CMOS製程技術,而可輕易整合至現行的製程設備及裝置技術。可以理解的是不同實施例提供不同的優點,而對於所有實施例而言,沒有特定的優點是不可或缺的。
以上敘述許多實施例的特徵,使所屬技術領域中具有通常知識者能夠清楚理解以下的說明。所屬技術領域中具有通常知識者能夠理解其可利用本發明揭示內容為基礎以設計或更動其他製程及結構而完成相同於上述實施例的目的及/或達到相同於上述實施例的優點。所屬技術領域中具有通常知識者亦能夠理解不脫離本發明之精神和範圍的等效構造可在不脫離本發明之精神和範圍內作任意之更動、替代與潤飾。舉例來說,上述實施例的界面層及高介電常數材料層可用於前閘極(gate first)製程、後閘極(gate last)製程以及包括前閘極製程及後閘極製程的混合製程,以形成具有高介電常數閘極介電層及金屬閘極配置的裝置。
100、200、300、500、600、700、900、1000...基底
102、202、302、502、602、702...界面層
104、206、306、506、606、706、912、1012...高介電常數材料層
110、210、310、510、610、710、914、1014...原子層沉積(ALD)~製程
112...缺陷
204、504、904...氫氧(-OH)官能基
212...過量氫氧官能基
214、312...電子陷阱
304...上表面
400、800...方法
410、420、430、810、820、830、840...區塊
503...電漿製程
603、703、903、908、1003、1008...處理
604、909...官能基
704...烷(-SHx )官能基
902...第一介電層
906...第二介電層
1002、1002a、1006...介電層
1004...氨(-NHx )官能基
1009...(-OH)及/或(-NHx )官能基
AMC...大氣污染源
第1圖係繪示出在半導體基底上形成界面層及高介電常數材料層的方法示意圖。
第2圖係繪示出在半導體基底上形成界面層及高介電常數材料層的另一方法示意圖。
第3圖係繪示出在半導體基底上形成界面層及高介電常數材料層的另一方法示意圖。
第4圖係繪示出根據本發明各個實施例之在半導體基底上形成界面層及高介電常數材料層的方法流程圖。
第5A至5C圖係繪示出根據第4圖方法來形成界面層及高介電常數材料層的剖面示意圖。
第6A至6C圖係繪示出另一方法來形成界面層及高介電常數材料層的剖面示意圖。
第7A至7C圖係繪示出又另一方法來形成界面層及高介電常數材料層的剖面示意圖。
第8圖係繪示出根據本發明各個實施例之在半導體基底上形成界面層及高介電常數材料層的另一方法流程圖。
第9A至9D圖係繪示出根據第8圖方法來形成界面層及高介電常數材料層的剖面示意圖。
第10A至10D圖係繪示出根據本發明各個實施例之以雙重處理製程形成界面層,且在半導體基底上沉積高介電常數材料層。
400...方法
410、420、430...區塊

Claims (5)

  1. 一種半導體裝置的製造方法,包括:提供一基底;對該基底進行一第一處理,以在該基底上形成一第一介電層,該第一處理包括第一自由基;對該第一介電層進行一第二處理,以在該基底上形成一第二介電層,該第二處理包括不同於該第一自由基的第二自由基,其中每一第一及第二自由基包括含水自由基及含氮及/或氫自由基的其中一個;以及在該第一及第二介電層上形成一高介電常數材料層。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一及該第二自由基的其中一個由一氣體所產生,其擇自於以下群族:NH3 、N2 H2 、N2 H4 、N2 、NO、N2 O、H2 O、H2 O2 、H2 、O2 、Ar、He及其組合。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中每一第一及第二處理包括電漿製程及紫外線製程的其中一個。
  4. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中該電漿製程條件包括以下其中一個:使用一H2 O/Ar混合氣體,且H2 O的分壓低於0.1Torr、Ar的流量在1000至200 sccm的範圍、溫度低於500℃、總壓力在0.005至10 Torr的範圍、電漿功率低於200 W及時間低於1分鐘;以及使用一NH3 /Ar混合氣體,且NH3 的流量低於500 sccm、Ar的流量在1000至200 sccm的範圍、溫度低於600℃、總壓力在0.005至10 Torr的範圍、電漿功率低於3 kW及時間低於5分鐘。
  5. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中該紫外線製程條件包括以下其中一個:使用一H2 O/Ar混合氣體,且H2 O的分壓低於0.1 Torr、Ar的流量在1000至200 sccm的範圍、溫度低於500℃、總壓力在0.005至10 Torr的範圍、波長低於200nm及時間低於1分鐘;以及使用一NH3 /Ar混合氣體,且NH3 的流量低於500 sccm、Ar的流量在1000至200 sccm的範圍、溫度低於600℃、總壓力在0.005至10 Torr的範圍、波長低於200nm及時間低於5分鐘。
TW098131886A 2008-09-22 2009-09-22 半導體裝置的製造方法 TWI397124B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9913708P 2008-09-22 2008-09-22
US12/550,767 US9711373B2 (en) 2008-09-22 2009-08-31 Method of fabricating a gate dielectric for high-k metal gate devices

Publications (2)

Publication Number Publication Date
TW201013784A TW201013784A (en) 2010-04-01
TWI397124B true TWI397124B (zh) 2013-05-21

Family

ID=42038108

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098131886A TWI397124B (zh) 2008-09-22 2009-09-22 半導體裝置的製造方法

Country Status (3)

Country Link
US (1) US9711373B2 (zh)
CN (1) CN101685777A (zh)
TW (1) TWI397124B (zh)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8268683B2 (en) * 2009-06-12 2012-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing interfacial layer thickness for high-K and metal gate stack
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8633549B2 (en) 2011-10-06 2014-01-21 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8809152B2 (en) 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5761724B2 (ja) * 2012-01-24 2015-08-12 文彦 廣瀬 薄膜形成方法および装置
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103594365B (zh) * 2012-08-14 2016-06-29 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9012300B2 (en) 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9224826B2 (en) 2014-02-12 2015-12-29 International Business Machines Corporation Multiple thickness gate dielectrics for replacement gate field effect transistors
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US20150255267A1 (en) * 2014-03-09 2015-09-10 Tokyo Electron Limited Atomic Layer Deposition of Aluminum-doped High-k Films
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10134585B2 (en) * 2014-08-19 2018-11-20 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9647090B2 (en) * 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102365687B1 (ko) 2015-04-21 2022-02-21 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10143993B2 (en) * 2015-08-18 2018-12-04 Lam Research Corporation Radical generator and method for generating ammonia radicals
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106611697B (zh) * 2015-10-26 2019-11-05 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
TWI635539B (zh) 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210154622A (ko) 2020-06-12 2021-12-21 삼성전자주식회사 3차원 반도체 장치 및 반도체 장치의 제조방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048218A1 (en) * 2003-08-29 2005-03-03 Weidman Larry G. Process for coating substrates with polymeric compositions
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
TW200822980A (en) * 2006-11-16 2008-06-01 Atomic Energy Council Atmosphere plasma cleaning and treating device

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5616947A (en) * 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5451542A (en) * 1994-06-21 1995-09-19 Sandia Corporation Surface passivation process of compound semiconductor material using UV photosulfidation
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
JP4731694B2 (ja) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7092977B2 (en) * 2001-08-31 2006-08-15 Arkivio, Inc. Techniques for storing data based upon storage policies
US7251661B1 (en) * 2002-03-29 2007-07-31 Ncr Corp. Movable objects in a database
US7305430B2 (en) * 2002-08-01 2007-12-04 International Business Machines Corporation Reducing data storage requirements on mail servers
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7534729B2 (en) * 2003-02-28 2009-05-19 Board Of Regents, The University Of Texas System Modification of semiconductor surfaces in a liquid
US6974779B2 (en) * 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
US20060099782A1 (en) * 2004-10-15 2006-05-11 Massachusetts Institute Of Technology Method for forming an interface between germanium and other materials
JP4185057B2 (ja) * 2005-01-28 2008-11-19 富士通株式会社 半導体装置の製造方法
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US7521376B2 (en) * 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
US7485503B2 (en) * 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
US20080048216A1 (en) * 2006-05-30 2008-02-28 Ye Peide D Apparatus and method of forming metal oxide semiconductor field-effect transistor with atomic layer deposited gate dielectric
US7673099B1 (en) * 2006-06-30 2010-03-02 Emc Corporation Affinity caching
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7702664B2 (en) * 2006-12-26 2010-04-20 Lenovo (Singapore) Pte. Ltd. Apparatus, system, and method for autonomic large file marking
US20080254605A1 (en) * 2007-04-16 2008-10-16 Interuniversitair Microelektronica Centrum (Imec) Method of reducing the interfacial oxide thickness
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7662693B2 (en) * 2007-09-26 2010-02-16 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US7996371B1 (en) * 2008-06-10 2011-08-09 Netapp, Inc. Combining context-aware and context-independent data deduplication for optimal space savings
US9384962B2 (en) * 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048218A1 (en) * 2003-08-29 2005-03-03 Weidman Larry G. Process for coating substrates with polymeric compositions
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
TW200822980A (en) * 2006-11-16 2008-06-01 Atomic Energy Council Atmosphere plasma cleaning and treating device

Also Published As

Publication number Publication date
US20100075507A1 (en) 2010-03-25
US9711373B2 (en) 2017-07-18
CN101685777A (zh) 2010-03-31
TW201013784A (en) 2010-04-01

Similar Documents

Publication Publication Date Title
TWI397124B (zh) 半導體裝置的製造方法
TWI757322B (zh) 用於鈍化半導體表面之方法及相關系統
Gusev et al. Advanced high-κ dielectric stacks with polySi and metal gates: Recent progress and current challenges
CN106992118B (zh) 半导体器件制造的方法和处理系统
KR101375800B1 (ko) 게이트 산화물 누설 전류가 감소된 대체 금속 게이트 트랜지스터
US9478637B2 (en) Scaling EOT by eliminating interfacial layers from high-K/metal gates of MOS devices
US20080001237A1 (en) Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
JP4681886B2 (ja) 半導体装置
EP1872409A1 (en) Ultra-thin hf-doped silicon oxynitride film for high performance cmos applications and method of manufacture
US20080274626A1 (en) Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
WO2008042528A2 (en) Uv-assisted dielectric formation for devices with strained germanium-containing layers
US7465618B2 (en) Semiconductor device and method for fabricating the same
US7939396B2 (en) Base oxide engineering for high-K gate stacks
US7820538B2 (en) Method of fabricating a MOS device with non-SiO2 gate dielectric
US7060571B1 (en) Semiconductor device with metal gate and high-k tantalum oxide or tantalum oxynitride gate dielectric
TWI473148B (zh) 半導體元件及其製法
US7312139B2 (en) Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
KR101627509B1 (ko) 식각액, 식각액을 사용한 게이트 절연막의 형성 방법 및 식각액을 사용한 반도체 소자의 제조 방법
US8658490B2 (en) Passivating point defects in high-K gate dielectric layers during gate stack formation
Chin et al. Silane–ammonia surface passivation for gallium arsenide surface-channel n-MOSFETs
US9330901B2 (en) Nitrogen-containing oxide film and method of forming the same
US20080128833A1 (en) High-Dielectric-Constant Film, Field-Effect Transistor and Semiconductor Integrated Circuit Device Using the Same, and Method for Producing High-Dielectric-Constant Film
Ando et al. High-k oxides on Si: MOSFET gate dielectrics
JP2005317583A (ja) 半導体装置およびその製造方法
US20140162425A1 (en) Method of forming dielectric films using a plurality of oxidation gases