CN101589455A - 延长作为等离子室材料的氧化钇的寿命 - Google Patents
延长作为等离子室材料的氧化钇的寿命 Download PDFInfo
- Publication number
- CN101589455A CN101589455A CNA2008800021829A CN200880002182A CN101589455A CN 101589455 A CN101589455 A CN 101589455A CN A2008800021829 A CNA2008800021829 A CN A2008800021829A CN 200880002182 A CN200880002182 A CN 200880002182A CN 101589455 A CN101589455 A CN 101589455A
- Authority
- CN
- China
- Prior art keywords
- layer
- plasma
- parts
- yttria
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 title claims abstract description 17
- 239000000463 material Substances 0.000 title abstract description 12
- 238000000034 method Methods 0.000 claims abstract description 63
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 claims abstract description 58
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims abstract description 49
- 238000007789 sealing Methods 0.000 claims abstract description 35
- 230000008569 process Effects 0.000 claims abstract description 34
- 239000000758 substrate Substances 0.000 claims abstract description 30
- 239000002245 particle Substances 0.000 claims abstract description 20
- 238000005245 sintering Methods 0.000 claims abstract description 20
- 238000004140 cleaning Methods 0.000 claims abstract description 13
- 238000012545 processing Methods 0.000 claims abstract description 11
- 239000007921 spray Substances 0.000 claims abstract description 9
- 239000007788 liquid Substances 0.000 claims abstract description 6
- 238000000576 coating method Methods 0.000 claims description 60
- 239000011248 coating agent Substances 0.000 claims description 58
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 27
- 229910052782 aluminium Inorganic materials 0.000 claims description 27
- 239000004411 aluminium Substances 0.000 claims description 26
- 239000003795 chemical substances by application Substances 0.000 claims description 25
- 238000005516 engineering process Methods 0.000 claims description 22
- 239000007789 gas Substances 0.000 claims description 19
- 238000005507 spraying Methods 0.000 claims description 18
- 239000004065 semiconductor Substances 0.000 claims description 9
- 230000003647 oxidation Effects 0.000 claims description 8
- 238000007254 oxidation reaction Methods 0.000 claims description 8
- 238000003475 lamination Methods 0.000 claims description 7
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 238000009832 plasma treatment Methods 0.000 claims description 5
- 239000006104 solid solution Substances 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 4
- 238000010438 heat treatment Methods 0.000 claims description 4
- 239000001257 hydrogen Substances 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- -1 asccharin Chemical compound 0.000 claims description 3
- 230000005540 biological transmission Effects 0.000 claims description 3
- 230000008878 coupling Effects 0.000 claims description 3
- 238000010168 coupling process Methods 0.000 claims description 3
- 238000005859 coupling reaction Methods 0.000 claims description 3
- 238000009826 distribution Methods 0.000 claims description 3
- 229910052727 yttrium Inorganic materials 0.000 claims description 3
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims description 3
- LTHJXDSHSVNJKG-UHFFFAOYSA-N 2-[2-[2-[2-(2-methylprop-2-enoyloxy)ethoxy]ethoxy]ethoxy]ethyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OCCOCCOCCOCCOC(=O)C(C)=C LTHJXDSHSVNJKG-UHFFFAOYSA-N 0.000 claims description 2
- FRIBMENBGGCKPD-UHFFFAOYSA-N 3-(2,3-dimethoxyphenyl)prop-2-enal Chemical compound COC1=CC=CC(C=CC=O)=C1OC FRIBMENBGGCKPD-UHFFFAOYSA-N 0.000 claims description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 2
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 claims description 2
- CERQOIWHTDAKMF-UHFFFAOYSA-N Methacrylic acid Chemical compound CC(=C)C(O)=O CERQOIWHTDAKMF-UHFFFAOYSA-N 0.000 claims description 2
- 238000007731 hot pressing Methods 0.000 claims 1
- 238000003672 processing method Methods 0.000 claims 1
- 239000000565 sealant Substances 0.000 abstract description 37
- 239000000919 ceramic Substances 0.000 abstract description 14
- 239000010410 layer Substances 0.000 abstract 12
- 230000001680 brushing effect Effects 0.000 abstract 1
- 239000002355 dual-layer Substances 0.000 abstract 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 30
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 15
- 239000001301 oxygen Substances 0.000 description 15
- 229910052760 oxygen Inorganic materials 0.000 description 15
- 238000005530 etching Methods 0.000 description 13
- 239000000243 solution Substances 0.000 description 12
- 238000012360 testing method Methods 0.000 description 12
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 238000001020 plasma etching Methods 0.000 description 6
- 230000008859 change Effects 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- 238000005259 measurement Methods 0.000 description 5
- 238000007747 plating Methods 0.000 description 5
- 239000011148 porous material Substances 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 4
- 239000010407 anodic oxide Substances 0.000 description 4
- 238000002242 deionisation method Methods 0.000 description 4
- 230000003628 erosive effect Effects 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 238000005452 bending Methods 0.000 description 3
- 239000011230 binding agent Substances 0.000 description 3
- 238000005422 blasting Methods 0.000 description 3
- 229910010293 ceramic material Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000010276 construction Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000001035 drying Methods 0.000 description 3
- 238000001914 filtration Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 238000011010 flushing procedure Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000001354 calcination Methods 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 230000008602 contraction Effects 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000005260 corrosion Methods 0.000 description 2
- 230000007797 corrosion Effects 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 238000007689 inspection Methods 0.000 description 2
- 239000011777 magnesium Substances 0.000 description 2
- 230000000149 penetrating effect Effects 0.000 description 2
- 239000000843 powder Substances 0.000 description 2
- 238000003908 quality control method Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000011734 sodium Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 239000004677 Nylon Substances 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 238000005299 abrasion Methods 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical group [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000002223 garnet Substances 0.000 description 1
- 229910000204 garnet group Inorganic materials 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- QOSATHPSBFQAML-UHFFFAOYSA-N hydrogen peroxide;hydrate Chemical compound O.OO QOSATHPSBFQAML-UHFFFAOYSA-N 0.000 description 1
- 230000036039 immunity Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000011835 investigation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 238000001819 mass spectrum Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- CPTIBDHUFVHUJK-NZYDNVMFSA-N mitopodozide Chemical compound C1([C@@H]2C3=CC=4OCOC=4C=C3[C@H](O)[C@@H](CO)[C@@H]2C(=O)NNCC)=CC(OC)=C(OC)C(OC)=C1 CPTIBDHUFVHUJK-NZYDNVMFSA-N 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 229920001778 nylon Polymers 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000007750 plasma spraying Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000007115 recruitment Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- 229920001187 thermosetting polymer Polymers 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J9/00—Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
- H01J9/24—Manufacture or joining of vessels, leading-in conductors or bases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32467—Material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49718—Repairing
- Y10T29/49721—Repairing with disassembling
- Y10T29/4973—Replacing of defective part
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Drying Of Semiconductors (AREA)
- Coating By Spraying Or Casting (AREA)
- Compositions Of Oxide Ceramics (AREA)
- Other Surface Treatments For Metallic Materials (AREA)
- Plasma Technology (AREA)
Abstract
提供两种延长作为等离子室材料的氧化钇的寿命的方法。一种方法包括共同烧结双层生坯制造等离子处理室的三层部件,其中。一层包括陶瓷颗粒,第二层包括氧化钇颗粒。该两层在烧结工艺期间密切接触。在优选的实施方式中,该三层部件包括外部氧化钇层,YAG中间层和第二外部氧化铝层。可选地,这些圆盘在烧结工艺期间压在一起。所产生的三层部件孔隙度非常低。优选地,该外部氧化钇层、该YAG中间层和该第二外部氧化铝层任一个的孔隙度小于3%。第二种方法包括通过施加室温粘度小于50cP的液体厌氧密封剂而密封氧化钇等离子喷镀涂覆部件,通过将该密封剂刷涂在该部件所有氧化钇表面上,湿法清洁该部件,在N2环境中至少150℃下固化该湿法清洁的部件超过2小时;以及通过重复施加该第一涂层所使用的步骤将第二密封剂涂层施加于该固化的基片。
Description
背景技术
[0001]在半导体材料处理领域,存在各种不同的用于半导体处理应用的设备,这些应用包括等离子体的使用,如等离子蚀刻、等离子增强化学气相沉积和抗蚀剂剥除。这些工艺所需要的设备包括用于等离子室内并且需在那个环境中运行的部件。等离子室内的环境可能包括暴露于等离子、暴露于蚀刻剂气体以及热循环。由于在这样的处理室中工艺气体和等离子的腐蚀特性,以及最小化室中处理的基片的颗粒和/或金属污染的要求,所以需要这种设备的等离子暴露部件能够耐受这种气体和等离子的侵蚀和腐蚀。在一些工艺室环境中,例如,含卤素高密度等离子蚀刻室环境,环境是高度腐蚀性的,导致多个不同室部件受到侵蚀,包括室壁、衬垫、工艺工具套件和介电窗。而对于高密度含氟等离子蚀刻环境特别是这样,该环境常常连同介电蚀刻工艺一起使用。
发明内容
[0002]提供一种制造等离子处理室三层部件的方法。该方法包括共同烧结双层生坯,其中一层包括氧化铝颗粒,第二层包括氧化钇颗粒。这两层在烧结工艺期间密切接触。在一个优选实施例中,该三层部件包括外部氧化钇层、中间YAG层和第二外部氧化铝层。优选地,该两层在烧结工艺期间压在一起。
[0003]所产生的三层部件孔隙度非常低。优选地,该外部氧化钇层、该YAG中间层和该第二外部氧化铝层任一个的孔隙度小于3%。在实施例中,该部件是介电窗、室壁、室衬垫、基片支撑件、隔板、气体分配板、等离子限制环、喷嘴、紧固件、加热元件、等离子聚焦环或卡盘。
[0004]还提供一种密封等离子处理室的氧化钇等离子喷镀涂覆部件的方法。该方法包括通过将该密封剂刷涂在该部件所有氧化钇表面上,施加室温粘度小于50cP的液体厌氧密封剂至该部件,湿法清洁该部件,在N2环境中至少150℃下固化该湿法清洁的部件超过2小时;以及通过重复施加第一涂层所使用的步骤将第二密封剂涂层施加于该固化的基片。
附图说明
[0005]图1示出Versys 2300TM的示意图,其是一个示范性的等离子反应器,包括具有热喷镀涂层(如氧化钇涂层)的部件。
[0006]图2a示出烧结之前氧化铝和氧化钇组成的复合物,以及图2b示出烧结之后的该复合物。
[0007]图3示出共同烧结的TCP窗的示意性构造。
[0008]图4示出电气测试设备的示意性构造,其包括将氧化钇表面暴露于稀HCl溶液。
[0009]图5示出多个涂覆氧化钇的铝样片的测得电阻与暴露面积的乘积与HCl蚀刻时间的函数的图表。
具体实施方式
[0010]用于半导体基片(如硅晶片)的等离子处理设备包括等离子蚀刻室,其用于半导体器件制造工艺中以蚀刻如半导体、金属和电介质这样的材料。例如,导体蚀刻室可用来蚀刻如铝或硅材料。导体蚀刻工艺中所使用的代表性气体包括CF4和SF6,这些气体对等离子室部件具有腐蚀作用。由于等离子蚀刻设备上氧化钇(Y2O3)的高级属性和出色性能,所以氧化钇广泛地用作半导体蚀刻设备的表面涂层。具有氧化钇涂层的等离子室部件表现出经过证明的在Cl基和F基高密度等离子下提高的等离子抗性。对于金属蚀刻应用,据报道涂覆氧化钇的表面在等离子环境中表现出的耐久性是单独的高纯度陶瓷氧化铝的十倍。在聚乙烯蚀刻应用中,氧化钇可用作非消耗室材料。使用氧化钇还避免形成AlF3,其对于导电蚀刻应用是潜在的颗粒源。
[0011]用作室材料的氧化钇可作为等离子喷镀涂层应用于阳极氧化的铝或者高纯度氧化铝部件,如室衬垫和介电窗。一个示范性的包括具有如氧化钇涂层的热喷镀涂层的部件的等离子反应器为Versys 2300TM蚀刻器,其可从Lam Research Corporation(Fremont,CA)获得,并且在图1中示意性示出。该反应器包括反应器室100,其包括基片支撑件101,该支撑件包括静电卡盘102,该卡盘向基片(如半导体晶片)(未示)提供夹紧力。该基片支撑件101通常由阳极氧化的铝组成,还可用来施加RF偏置至该基片。该基片还可使用热传递气体(如氦气)进行背部冷却。处理气体通过位于该室100顶部并且与气体输入105连接的气体喷射器104引入该室100。该气体喷射器104通常由石英或陶瓷材料(如氧化铝)组成。如所示,感应线圈106可由合适的RF源(未示)供电以提供高密度等离子。该感应线圈106通过介电窗107将RF能量耦合进室100内部。该窗107通常由介电材料(如石英或氧化铝)组成。该窗107示为安装在环形构件108,其通常由阳极氧化的铝组成。该环形构件108将窗107与该室100顶部隔开。通常由阳极氧化的铝组成的隔板屏(bafflescreen)109围绕该基片支撑件101。该室100还可包括合适的真空泵装置(未示),用以将该室内部保持在所需压力。
[0012]图1中,所选取的反应器部件(如该环形构件108、介电窗107、基片支撑件101、室衬垫109、气体喷射器104和该静电卡盘102)的内部表面示为涂覆有热喷涂涂层,如氧化钇涂层110。如图1所示,所选取的该室100的内表面和该室衬垫109下方的基片支撑件101的内部表面也提供有热喷镀涂层(如氧化钇涂层110)。任何或者所有这些表面,以及任何别的反应器内部表面可提供有热喷镀涂层,像氧化钇涂层。
[0013]然而,尽管热喷镀的氧化钇涂层具有低孔隙度,通常大约5%,并且可保护该部件的下层材料不受等离子影响,但是该涂层缺少足够的完整性以阻止某些反应物的穿透。这是因为所有热喷镀涂层的共同特征是它们的透镜状及层状细粒结构,这是由小液滴快速固化、高速撞击冷表面而扁平化所导致的。尽管这样产生基本上牢固的覆盖,其中发生机械连结和扩散粘合,但是还会在该氧化钇涂层中产生小的空隙、细孔、微结构以及不完全粘合区域。差的氧化钇涂层,例如,会具有15%的开口容积。这意味着其有可能允许化学制剂穿透到该阳极氧化的铝基片。
[0014]已经发现等离子室中,等离子喷镀涂覆的氧化钇在使用后从阳极氧化的和陶瓷的表面脱落。这些失效降低氧化钇作为高级室材料的寿命并且造成成本增加。不希望受到理论限制,调查导致氧化钇涂层失效的四种可能的根源。应当理解这些模型仅仅是为了说明目的以及也会存在别的模型:
[0015]1.对于那些用于铝蚀刻室的部件,或具有阳极氧化的层的部件,在使用过的蚀刻部件上可以发现的副产物会与空气中的水分子反应形成酸。例如,AlCl3,一种金属蚀刻副产物,可与H2O反应形成氢氯酸,HCl。可能的反应如下:
2AlCl3+3H2O=2Al(OH)3+6HCl (1)
因为氧化钇可具有大约5%体积的孔隙度,所以所形成的HCl会通过这些细孔穿透该氧化钇层,以在阳极氧化的基片或陶瓷基片的例子中分别到达该氧化钇/阳极氧化层分界面。HCl将在该分界面攻击氧化钇,导致粘合强度降低。
[0016]在阳极氧化的铝基片的例子中,HCl还通过该阳极氧化的层中的裂缝到达铝,导致产生氢气(H2)的化学反应,这是潜在的该氧化钇涂层剥离的原因。可能的反应如下:
6HCl+2Al=2AlCl3+3H2 (2)
另外,所产生的氯化铝会再次与水分子反应形成额外的氢氯酸,而再次开始这个过程。当在该阳极氧化的铝层下方产生足够的氢气,就形成气体空腔。当建立起足够的压力,会对其上方的层造成极大的损害。就是说,形成气泡,其最终导致该阳极氧化的铝和氧化钇层剥落或脱落。防止在氧化钇表面上形成HCl的步骤已经在共有美国申请(主体为“Extending Storage Time Of Removed PlasmaChamber Components Prior To Cleaning Thereof)No.11/239,396中描述,递交于9/30/05,此处完整引入作为参考。
[0017]2.在涂覆氧化钇部分的精确湿法清洁之后,如果该氧化钇涂层没有完全烘烤,会导致不完全的水分子去除。在这种情况中,在随后的金属蚀刻工艺期间,Cl2会与残余的水分子反应形成HCl。这个反应在该涂层下方产生热量和HCl,并且会产生许多细小的气泡,以及该氧化钇涂层表面的剥离。
[0018]3.等离子喷镀涂覆导致与目标表面的物理粘合。喷砂工艺用来粗糙化目标阳极氧化的或陶瓷表面,其增强该氧化钇涂层和该基片之间的粘合强度。该喷砂工艺中由于不均匀的质量控制导致的变化,以及该目标基片在等离子喷镀涂覆之前的表面清洁也会影响粘合强度。所以,部件制造的质量控制会影响氧化钇等离子喷镀涂层的寿命。
[0019]4.在湿法清洁期间,使用高超声波功率密度和高压水冲洗会提高脱落。湿法清洁之后,干燥部件表面的技术,如高压空气流或大量的酸擦拭也可能导致该氧化钇涂层脱落。
[0020]不考虑其机理,在存在蚀刻副产物的情况下,已经发现了表面层的脱落。考虑到上面描述的与在等离子处理室中使用涂覆氧化钇部件有关的缺点,进行进一步的研究以开发出包括不同的、更适合材料的部件。作为这些研究的结果,发现可使用包括可选制造技术的部件而没有上面提到的缺点。按照优选实施例,包括共同烧结的氧化铝和氧化钇的部件提供良好的、抑制该氧化钇保护层脱落的保护。
[0021]就其本身来说,氧化钇具有较低机械强度。例如,氧化钇的弯曲强度为140MPa,断裂韧度为1.2MPa m1/2,这两个值都认为是不足以制造介电窗。尽管某些烧结的高纯度氧化钇已经作为实心材料制造进室部件,如边缘环、聚焦环和衬垫,但是这样的部件并不如所需的那样结实而且很昂贵。有利地是,高纯度氧化钇薄层可提供由上面描述的由氧化钇提供的优点。氧化钇层可应用于高纯度氧化铝窗,其相比氧化钇具有更佳的机械属性。例如,氧化铝的弯曲强度为350MPa,断裂韧度为4.5MPa m1/2,名义因数为对应的氧化钇的值的2.5和3.75倍。按照优选实施例,使用高纯度(99.7%)氧化铝作为用于RF耦合的介电窗的基材满足了对于该介电窗具有足够机械属性的要求。
[0022]下面的详细示例描述共同烧结的工艺,应用于介电窗部件(如107)的制造。它是说明性而非排斥性:
示例1:按照一个优选实施例制造陶瓷窗包括下面步骤:
[0023]氧化铝粉末与聚合物粘结剂机加工混合在一起形成生坯。氧化钇粉末与粘结剂类似地混合以形成第二生坯。每个坯都形成为具有平滑表面的圆盘形,并且直径至少是所需要的最终直径。然后将这些圆盘形成大约33mm厚的高纯度氧化铝和大约5mm厚的高纯度氧化钇双层结构。将该双层结构压在一起,作为为生坯机加工做准备的形成步骤的一部分。可以沿该圆盘的中轴线均衡地或者单向地压该结构。在这个示例中,该陶瓷窗的总厚度为大约1.5英寸,是所需要的介电窗的目标厚度。
[0024]然后,使用干燥工艺(如加热板)在大约100-200℃干燥该双层结构,仍然是生坯。在这个位置,去除压力,可将该生坯机加工为所需要的形状。然后,在大气环境中,将该生坯在窑中煅烧。或者,煅烧气体(如H2或N2)可用来取代空气。因为陶瓷材料相对较低的耐热冲击性,所以使用较缓的温度坡度。可使用至少大约1整天(24小时)的温度上升时间以达到大约1600℃的烧结温度。该烧结温度保持至少大约5天(120小时),然后开始进行缓慢的温度下降。该温度下降时间优选地与该温度上升时间相匹配,至少大约1天(24小时)。
[0025]可选地,该均衡或单向压力还可在烧结工艺期间施加以促进更恒定的处理结果。在这种情况下,烧结工艺有时也称为“HIP”工艺,热均衡压力的缩写。
[0026]煅烧之后,可将该生坯研磨和/或抛光,以达到所需的表面光洁度。另外,执行检查,进行裂缝、表面磨损或表面粗糙度的检查。在检查之后,将该生坯放进等级100的清洁室中,其中清洁掉金属污染物。清洁过程可以概括为下面的次序:
1.去离子(DI)水冲洗5分钟
2.利用清洁室擦拭来擦拭部件
3.利用过滤后的清洁干燥空气(CDA)吹干部件
4.利用异丙醇擦拭20-30分钟
5.将部件在丙酮中浸泡10分钟,接着利用去离子水擦拭和冲洗
6.利用过滤后的CDA吹干部件
7.在室温下将部件放在氨(29%)、过氧化氢(30%)和水按体积比(1∶1∶2至1∶1∶5)组成的溶液中30分钟。
8.去离子冲洗5分钟
9.将部件放在硝酸、HF、水按体积比(1∶1∶10)的溶液中
10.擦拭表面2分钟
11.去离子水冲洗5-10分钟
12.在室温下去离子超声波持续1小时
13.使用过滤后的CDA吹干
14.在烤箱中在120℃烘烤2小时
在烤箱烘烤之后,使用检查工具来检查该生坯的表面颗粒,使用如来自Pentagon Technologies,Hayward的QIII+surface ParticleDetector,CA。颗粒检查使用的门限设定值为3微米,最终的读数优选地为零,或者三个颗粒或更少。那么该生坯就可用作室部件,并且仍在该等级100清洁室中封装。
[0027]在该烧结工艺期间,该双层结构将形成三层结构,包括氧化钇和氧化铝的固溶体(solid solution)。这个固溶体优选地是钇铝石榴石(YAG)形态,化学式为Y3Al5O12,一种石榴石族晶体化合物。YAG形成为中间层将产生由高纯度氧化铝、YAG(铝和氧化钇固溶体)和高纯度氧化钇层组成的三层结构。在一个示范性实施例中,开始厚度为5mm的氧化钇和开始厚度为33mm的氧化铝产生最终厚度大约3mm的氧化钇层、最终厚度大约4mm的YAG层和最终厚度大约31mm的氧化铝层。也就是,在煅烧期间,消耗大约2mm的氧化钇和氧化铝来形成大约4mm厚的YAG层。
[0028]该烧结工艺的层厚度结果一个示范性的表现形式可参见图2a和2b。图2a示出该双层氧化钇氧化铝结构,包括氧化钇层21(其中t1是5mm)和氧化铝层22(其中t2是33mm)。烧结后形成的三层结构如图2b所示,包括氧化钇层23和氧化铝层25。还能看到形成了YAG中间层24。在这个示例中,t3是大约3mm,t4是大约4mm和t5是大约31mm。
[0029]该最终结构优选地基本上不含孔,不管是否使用HIP步骤。因为该双层结构形成为生坯,该烧结工艺对于所有层都产生基本上不含孔的层,即,该氧化铝、该YAG和该氧化钇。任何层的孔隙度优选地远小于热喷镀氧化钇涂层的孔隙度(其可以为大约5%或更多)。优选地,每个层的孔隙度小于大约3%,更优选地小于大约1%。
[0030]由于高纯度氧化铝和氧化钇具有类似的热膨胀系数以及类似的收缩速率,所以该烧结工艺将不会向该结构引入会导致弯曲或其他不希望有的制造缺陷的应力。相比热喷镀氧化钇涂层,该共同烧结的窗可最小化等离子蚀刻制造工艺过程中的污染颗粒,并且提供良好的机械属性,具有较低的孔隙度以及具有提高的耐脱落性。该部件在等离子环境中的寿命可超过热喷镀氧化钇涂覆的陶瓷部件。该烧结工艺过程中自然发生的收缩会降低烧结的复合窗的厚度。
[0031]该部件可以是例如,介电窗,室壁,室衬垫,基片支撑件,气体分配板,等离子限制环,喷嘴,等离子聚焦环,热边缘环或耦合环,每个可用在等离子处理设备中。按照优选实施例制造的介电窗的一个示例在图3中示意性示出。该介电窗包括外部氧化钇层31、中间YAG层32和氧化铝层33。以与图1的窗107相同的方向示出该窗,即就像它在蚀刻室中通常使用的,氧化钇表面31面向下,朝向该室内部。还能看到气体喷射器34。
[0032]按照另一实施例,包括用来密封等离子喷镀或热喷镀氧化钇涂层孔隙度的密封剂的部件还提供优良的、抑制该氧化钇保护层脱落的保护。
[0033]在一个优选实施例中,将液体密封剂施加到氧化钇涂层的表面用来消除通过该氧化钇的允许HCl传输的路径。那么就防止HCl(氯化铝和水之间反应所形成)通过这些细孔穿透该氧化钇层,,而在阳极氧化的基片的情况中达到该氧化钇/阳极氧化层分界面,或在陶瓷基片的情况中到达该氧化钇/陶瓷分界面。在阳极氧化的铝基片的情况中,防止HCl通过阳极氧化层中的微裂缝到达铝。
[0034]一个示范性的密封剂是HL 126TM(Permabond,Somerset,NJ)。HL 126TM密封剂是低粘度厌氧密封剂,包含四甘醇二甲基丙烯酸酯、甲基丙烯酸2-羟基乙酯、糖精、异丙基苯过氧化氢和甲基丙烯酸。它能够穿过非常小的孔并且在真空下固化。未固化粘结剂的属性包括具有25℃下20cP的粘度的液体,可比得上水的粘度。据报道该粘结剂能够填充小到5密耳的间隙。
[0035]该HL 126TM密封剂固化为交联、热固塑料,以及已经发现其在Cl2/BCl3蚀刻气体化学制剂下具有高等离子抗性,甚至在具有低流量钝化气体(如CF4和CHF3)的工艺制法中。该固化的密封剂优选地基本上密封这些细孔,而延长金属蚀刻应用中等离子喷镀涂覆部件的寿命,包括氧化钇喷镀涂覆部件。
[0036]一个开始于施加氧化钇的将密封剂施加到氧化钇涂层的示范性步骤如下:在该氧化钇涂层之前准备该基片的形态和清洁度,并且包括喷砂工艺以粗化该目标表面。该目标表面可以是阳极氧化的材料(像铝)的阳极氧化层,或陶瓷材料的陶瓷表面。然后,利用等离子或热喷镀涂层涂覆该目标表面。涂覆之后,清洁并且烘烤该部件。然后,施加该液体密封剂以密封该氧化钇涂层内的孔隙、细孔、微结构和不完全粘合区域。利用干净的尼龙刷来刷涂该密封剂,所有表面都用这个刷涂动作弄湿。在施加密封剂之后,进行最后的精确湿法清洁。然后,通过加热到所需要的温度来准备烤箱,排出烤箱中的空气并引入N2净化。然后,在烤箱中,在150℃持续2小时来固化该基片。
[0037]固化之后,以与该第一涂层同样的方式,应用第二密封剂涂层,以及在与该第一固化循环相同的条件下执行另一固化循环。最后,最后检查和最后封装该部件。在第二固化循环之后,该等离子喷镀涂覆氧化钇优选地耐受化学侵蚀,特别是HCl侵蚀。该涂层/基片分界面处增强的对HCl侵蚀的免疫优选地导致该氧化钇层的脱落减少。使用两个液体密封剂涂层所能够见到的性能的提升在下面的示例中得到证明:
示例2:
[0038]具有标准氧化钇涂层和不同密封剂经历的氧化铝窗在室温下浸没在5wt%的HCl溶液中超过3小时。浸没之后,通过视觉检查该窗受到腐蚀的痕迹。第一个样品,没有密封剂的窗,在HCl溶液浸没之后检查示出该氧化钇涂层几乎完全溶解。第二个样品,具有按照类似于上面所述类型的步骤施加的单层密封剂的窗,显示出涂层的颜色变成乳白色,说明水的吸收,但是没有显示出脱落迹象。第三个样品,其中按照上述步骤施加双涂层密封剂,没有显示出局部颜色变化也没有脱落或腐蚀。
[0039]优选的密封剂在该等离子系统一般使用过程中保持其作为阻挡层的能力,消除通过该氧化钇、允许腐蚀性制剂传输的路径。在等离子处理中通常使用氧等离子以保持室清洁并提高室性能一致性。暴露于氧等离子会从氧化钇表面去除密封剂。所以,一种密封表面的耐久性测量是在暴露于氧等离子之后的该密封剂的完整性。一种密封剂完整性属性的测量是密封表面的电阻。就其本身来说,优选的密封剂是电绝缘的。例如,HL-126的电阻率报道为1017Ohm-m,类似于熔化的石英。通过测量密封氧化钇表面的电阻,可以确定该密封剂密封属性的测量值。
[0040]为了测试密封剂完整性,将氧化钇涂覆的铝样片暴露于氧等离子环境持续多个不同时间,然后,它们接着进行电阻测试,其包括将该氧化钇表面暴露于稀HCl溶液。该电测试设备在图4中示意性示出。包括铝基41和氧化钇等离子喷镀涂层42的示范性样片保持为该氧化钇涂覆表面43面向上。容器壁44安装在该上部氧化钇涂覆表面43上,配置为稀HCl溶液45可容纳在该容器壁44内。一个引线46导电连接到该样片的铝基41,而另一引线47浸入导电溶液45。使用欧姆表48测量这两个引线之间作为时间函数的电阻。
[0041]预期该氧化钇的电阻是暴露于溶液的氧化钇面积的函数。类似于导线,暴露于溶液的横截面积越大,电阻越低。因此,假定测得电阻是与电阻和电阻率相关的标准方程式函数:
R=ρL/A, (3)
其中R是电阻,ρ是电阻率,L是长度,A是面积。该电测量装置布置为测量电阻,期望其当乘以该暴露面积时(RA)表示该材料属性的乘积,ρL。在这个电测量装置的例子中,该暴露面积是容器壁44内液体面积。因此,希望测得电阻和暴露面积的乘积与HCl蚀刻时间函数的曲线表示电阻率和长度比时间的曲线。
[0042]该多个不同样片每个的这种曲线在图5中示出。该图表示出共八个样片的测量值,其中一个曲线与一个样片相关联。这八个测量值中,第二个51来自没有密封剂的样片,第六个52来自在该氧化钇表面具有密封剂的样片。图5示出的图例表明每个样片在电测试之前受到的暴露于氧等离子的程度。例如,RA比时间数据通过实心菱形53标识的样片在HCl中进行电阻测试之前接受了90分钟的氧等离子暴露。由实心三角形、矩形和圆形(基本上重叠)55标识其数据的样片接受10-20小时氧等离子暴露,以及由十字和X 54标识的样片在HCl中进行电阻测试之前接受40小时的氧等离子暴露。大体上可以看出,在HCl中进行电阻测试之前,暴露于氧等离子越长,产生的样片RA越低。例如,暴露于等离子40小时的样片将到达与暴露90分钟的样片同样的RA乘积所需的时间减少大约1200秒。
[0043]从图5可进一步看出未密封样片51的RA比密封样片的RA开始时更低并且下落更早。暴露于该HCl溶液大约20分钟(1200秒),未密封样片的RA接近零。在全部电测试持续期间但是在涂层电阻降低到零之前的整个过程中,该涂覆样片的RA保持为至少是未涂覆样片RA的10倍。甚至在暴露于氧等离子40小时之后,密封剂的样片表现出的RA大于密封剂的样片51(实心三角形和虚线)的RA的十倍,即使不带密封剂的样片没有暴露于氧等离子。
[0044]不想受到理论限制,该数据暗示密封剂深深地穿透该氧化钇涂层内的开口容积。已知在存在稀HCl的情况下会蚀刻该氧化钇。电阻降到零附近表明该氧化钇被完全蚀刻掉,而留下铝与该导电HCl溶液直接接触。从图5可以看出涂覆和非涂覆样片的RA关于时间的斜率是类似的。因为在图4的电路中,该氧化钇涂层提供了大部分电阻,相似的斜率表明两个膜的蚀刻速率相似。随着该氧化钇厚度降低,RA将正比例地降低,因为它预期等于ρL。假设蚀刻速率能够合理地假设为恒定,这就与显而易见的测量电阻线性降低是一致的。
[0045]电阻曲线还与该密封剂渗入该氧化钇层的深度一致。所观察到的、对应在HCl中电阻测试之前暴露于氧等离子更长的样片的RA的降低暗示继续暴露于氧等离子将持续从该氧化钇开口区域去除密封剂。显然,从该复合氧化钇表面去除密封剂导致暴露更多的下层密封剂。越多密封层暴露于氧等离子,就去除越多密封剂,并且该层的电阻率就越低。此外,密封样片的RA曲线的斜率表现出从头到尾明显地逐渐变化。如果该密封剂没有渗入整个氧化钇层,那么随着由于该密封剂的存在而增加的电阻率在整个氧化钇层被蚀刻掉之前消除,可以预见斜率会有突然的改变。所以,RA曲线的形状暗示该密封剂有效地渗透整个氧化钇层到达该氧化钇/Al分界面。
[0046]进一步预期在一般使用下的密封剂寿命将大于图5中暗示的数据,因为聚合物沉积使用中一般预期在内部室表面上。由于氧等离子也会与这个聚合物沉积反应,存在聚合物沉积的预期效果是减少该密封剂暴露于等离子。
[0047]在一个优选实施例中,使用该密封剂导致极少或者没有工艺转变,即检测不出使用该密封剂的例子与不使用该密封剂的例子的工艺成功指标之间的差别。提供多个示范性工艺指标测试。在一个这样的测试中,在其上表面具有氧化物层的半导体晶片在等离子处理室中蚀刻,用于其中该室中的RF介电窗利用上面所讨论类型的密封剂密封的例子,还用于该窗没有密封的例子。测量这两个例子的电介质蚀刻速率。在该工艺在具有未密封窗的室中进行的情况下,得到最终厚度555的电介质剩余,3σ厚度变化为31.0%,与之相比,该工艺在具有密封窗的室中进行时,得到最终厚度521的电介质剩余,3σ厚度变化为31.8%。在光刻胶蚀刻对比中,该工艺在具有密封窗的室中进行时,灰化后得到最终厚度2049的光刻胶剩余,3σ厚度变化为10.6%,与之相比,在该工艺在具有未密封窗的室中进行的情况下,灰化后得到最终厚度2030的光刻胶剩余,3σ厚度变化为10.9%。这些结果暗示可直接归因于单独作为转变原因的该密封剂的工艺转变没有发生。
[0048]也不认为由于存在该密封剂会向该室内引入杂质。使用电感耦合等离子质量光谱测定法(ICP-MS)执行HL-126中杂质浓度。HL-126中测得的杂质可在下表中找到。
表1:
元素 密封剂(ppm)
铁(Fe) 0.017
钙 0.060
铜(Cu) 0.018
铬(Cr) 0.048
钴(Co) <0.001
镁(Mg) 0.054
钼(Mo) <0.005
镍(Ni) 0.007
钾(K) 0.051
钠(Na) 57.0
锡(Sn) 70.0
钛(Ti) 80.0
锌(Zn) 0.23
预期这个杂质等级不会导致在晶片或基片上出现可检测到的杂质水平,该晶片或基片在包括密封的部件的室中经过等离子工艺,暗示可直接归因于单独作为转变原因的该密封剂的工艺转变不会发生。
[0049]另一工艺成功指标是产生颗粒程度的测量值。在等离子室中使用具有密封剂的部件导致的颗粒引入通过使用设计为对比等离子工艺期间产生的颗粒的工艺制法来检测。该颗粒制法包括使用Cl2、HBr和N2组成的工艺气体,以及卡盘温度为45℃,应用在裸露的硅晶片上持续20秒。由于该工艺增加的颗粒通过测量处理前后晶片上的颗粒数量来确定,使用Tencor SP-I非图案化表面颗粒检测工具(KLA-Tencor,San Jose,CA),检测极限为0.12μm。
[0050]在等离子处理中,为该室中的RF介电窗利用上面所讨论类型的密封剂密封的情况进行该工艺,还为该窗没有密封的情况进行该工艺。对于标准窗的情况,颗粒增加数目测得为35,而对于密封的窗的情况,这个增加数目为48。这个增加量的测量差认为是不显著的,因此提供了支持两种情况之间工艺性能相等的证据。这些结果暗示可直接归因于单独作为转变原因的该密封剂的工艺转变没有发生。
[0051]尽管本发明参照其具体实施例描述,但是对于本领域技术人员来说,显然,在不背离所附权利要求的范围情况下,可进行各种不同的改变和修改。
Claims (19)
1.一种制作等离子处理室的三层部件的方法,包括:
a.通过如下方式形成双层生坯
i.将第一层和第二层压在一起
ii.将压在一起的层机加工到所需的尺寸;
其中该第一层包括氧化铝颗粒和该第二层包括氧化钇颗粒;和
b.共同烧结该双层生坯,其中该第一层和该第二层在该烧结工艺期间密切接触;以便形成三层部件,包括邻近中间层的外部氧化铝层,该中间层包括氧化钇和氧化铝的固溶体并邻近第二外部氧化钇层。
2.根据权利要求1所述的方法,其中该中间层包括YAG,该第一层包括氧化铝陶瓷片和该第二层包括氧化钇陶瓷片。
3.根据权利要求1所述的方法,其中该外部氧化钇层、该中间层和该外部氧化铝层任何一个的孔隙度小于3%。
4.根据权利要求1所述的方法,其中该外部氧化钇层、该中间层和该外部氧化铝层任何一个的孔隙度小于1%。
5.根据权利要求1所述的方法,其中该第一层和第二层在共同烧结工艺期间均衡地热压在一起。
6.根据权利要求1所述的方法,其中该生坯的该第一层和该第二层为圆盘形,具有平滑表面且直径至少是所需的最终直径,其中该生坯基本上由该第一和第二层组成。
7.根据权利要求1所述的方法,其中该三层部件形成介电RF传输窗。
8.根据权利要求7所述的方法,其中该生坯的该第一层的厚度从大约20mm至大约40mm,该生坯的该第二层的厚度从大约1mm至大约9mm。
9.根据权利要求7所述的方法,其中该三层部件的外部氧化钇层的厚度从大约1mm至大约5mm,该三层部件的该中间层厚度从大约2mm至大约6mm,该三层部件的该外部氧化铝层的厚度从大约15mm至大约45mm。
10.根据权利要求1所述的方法,其中该三层部件选自等离子处理设备的室壁、室衬垫、基片支撑件、隔板、气体分配板、等离子限制环、喷嘴、等离子聚焦环、热边缘环、耦合环、卡盘、紧固件和/或加热元件。
11.一种安装等离子处理室的部件的方法,包括从该室内取出部件并且用按照根据权利要求1所述的方法制造的部件替换,其中该部件这样安装,即当该室运行以创建等离子环境时,该第二外部氧化钇层至少一个表面暴露于该等离子环境。
12.根据权利要求11所述的方法,其中该等离子处理室是导体蚀刻室。
13.根据权利要求11所述的方法,其中该三层部件相比于热喷镀氧化钇涂层具有提高的耐脱落性。
14.一种等离子处理半导体基片的方法,其包括在权利要求11的该等离子处理室中处理该基片。
15.一种密封等离子处理室的氧化钇等离子喷镀涂覆部件的方法,该方法包括;
a)施加室温粘度小于50cP的液体厌氧密封剂到该部件的全部氧化钇层表面;
b)湿法清洁该部件;
c)在N2环境中至少150℃下固化该湿法清洁的部件超过2小时;以及,
d)以及通过重复a)到c)的步骤将第二液体厌氧密封剂施加于该固化的基片。
16.根据权利要求13所述的方法,其中该密封剂包含四甘醇二甲基丙烯酸酯、甲基丙烯酸2-羟基乙酯、糖精、异丙基苯过氧化氢和甲基丙烯酸。
17.根据权利要求15所述的方法,其中每个密封的氧化钇等离子喷镀涂覆层的电阻率大于每个未密封层电阻率的十倍。
18.根据权利要求15所述的方法,其中该密封剂有效渗透整个氧化钇层。
19.一种等离子处理方法,包括在等离子处理室中处理半导体基片,该室包括根据权利要求15所述的方法密封的氧化钇等离子喷镀涂覆部件。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/652,048 US8097105B2 (en) | 2007-01-11 | 2007-01-11 | Extending lifetime of yttrium oxide as a plasma chamber material |
US11/652,048 | 2007-01-11 | ||
PCT/US2008/000018 WO2008088670A1 (en) | 2007-01-11 | 2008-01-03 | Extending lifetime of yttrium oxide as a plasma chamber material |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2010105218442A Division CN102005352B (zh) | 2007-01-11 | 2008-01-03 | 密封等离子处理室的氧化钇等离子喷镀涂覆部件的方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101589455A true CN101589455A (zh) | 2009-11-25 |
CN101589455B CN101589455B (zh) | 2012-06-20 |
Family
ID=39617144
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2008800021829A Active CN101589455B (zh) | 2007-01-11 | 2008-01-03 | 延长作为等离子室材料的氧化钇的寿命 |
CN2010105218442A Active CN102005352B (zh) | 2007-01-11 | 2008-01-03 | 密封等离子处理室的氧化钇等离子喷镀涂覆部件的方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2010105218442A Active CN102005352B (zh) | 2007-01-11 | 2008-01-03 | 密封等离子处理室的氧化钇等离子喷镀涂覆部件的方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US8097105B2 (zh) |
JP (1) | JP5363992B2 (zh) |
KR (3) | KR101482504B1 (zh) |
CN (2) | CN101589455B (zh) |
SG (3) | SG10201608716QA (zh) |
TW (2) | TWI414634B (zh) |
WO (1) | WO2008088670A1 (zh) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103794459A (zh) * | 2012-10-29 | 2014-05-14 | 中微半导体设备(上海)有限公司 | 用于等离子处理腔室的气体喷淋头及其涂层形成方法 |
CN105492400A (zh) * | 2012-02-22 | 2016-04-13 | 应用材料公司 | 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法 |
CN105603352A (zh) * | 2016-01-15 | 2016-05-25 | 中国科学院上海硅酸盐研究所 | Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法 |
CN105492400B (zh) * | 2012-02-22 | 2018-02-09 | 应用材料公司 | 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法 |
CN108463345A (zh) * | 2015-11-16 | 2018-08-28 | 阔斯泰公司 | 耐腐蚀组件和制造方法 |
CN109963825A (zh) * | 2016-11-16 | 2019-07-02 | 阔斯泰公司 | 耐腐蚀组件和制造方法 |
CN112655068A (zh) * | 2018-07-13 | 2021-04-13 | Mks仪器有限公司 | 具有带有改进的等离子抗性的介电等离子室的等离子源 |
CN113644110A (zh) * | 2020-05-11 | 2021-11-12 | 北京华碳元芯电子科技有限责任公司 | 晶体管及其制备方法 |
CN114015967A (zh) * | 2021-11-09 | 2022-02-08 | 重庆臻宝实业有限公司 | 一种低孔隙率氧化钇涂层的制备方法 |
WO2022057620A1 (zh) * | 2020-09-15 | 2022-03-24 | Oppo广东移动通信有限公司 | 壳体及其制备方法和电子设备 |
CN116209648A (zh) * | 2020-10-15 | 2023-06-02 | 贺利氏科纳米北美有限责任公司 | 多层烧结陶瓷体及制备方法 |
CN116867752A (zh) * | 2020-12-18 | 2023-10-10 | 贺利氏科纳米北美有限责任公司 | 多层烧结陶瓷体 |
TWI828704B (zh) * | 2018-06-14 | 2024-01-11 | 美商應用材料股份有限公司 | 電漿處理方法與用於電漿處理腔室的腔室部件及其製造方法 |
Families Citing this family (121)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
CN101884161A (zh) * | 2007-12-20 | 2010-11-10 | 圣戈本陶瓷及塑料股份有限公司 | 静电卡盘以及形成方法 |
US20090261065A1 (en) * | 2008-04-18 | 2009-10-22 | Lam Research Corporation | Components for use in a plasma chamber having reduced particle generation and method of making |
FR2944293B1 (fr) * | 2009-04-10 | 2012-05-18 | Saint Gobain Coating Solutions | Procede d'elaboration par projection thermique d'une cible |
TW201100578A (en) * | 2009-06-19 | 2011-01-01 | Saint Gobain Ceramics & Plastics Inc | Sealed plasma coatings |
US20110207332A1 (en) * | 2010-02-25 | 2011-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film coated process kits for semiconductor manufacturing tools |
US8430970B2 (en) * | 2010-08-09 | 2013-04-30 | Lam Research Corporation | Methods for preventing corrosion of plasma-exposed yttria-coated constituents |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120270384A1 (en) * | 2011-04-22 | 2012-10-25 | Applied Materials, Inc. | Apparatus for deposition of materials on a substrate |
US20130102156A1 (en) * | 2011-10-21 | 2013-04-25 | Lam Research Corporation | Components of plasma processing chambers having textured plasma resistant coatings |
TWI470692B (zh) * | 2011-12-28 | 2015-01-21 | Ares Green Technology Corp | 蝕刻機反應腔體之清洗方法 |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140315392A1 (en) * | 2013-04-22 | 2014-10-23 | Lam Research Corporation | Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
CN104347389B (zh) * | 2013-07-23 | 2017-07-21 | 中微半导体设备(上海)有限公司 | 等离子体刻蚀方法 |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) * | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10978334B2 (en) * | 2014-09-02 | 2021-04-13 | Applied Materials, Inc. | Sealing structure for workpiece to substrate bonding in a processing chamber |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
KR20200080342A (ko) | 2015-02-13 | 2020-07-06 | 엔테그리스, 아이엔씨. | 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅 |
KR102376982B1 (ko) * | 2015-04-14 | 2022-03-21 | 삼성전자주식회사 | 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치 |
US9790582B2 (en) * | 2015-04-27 | 2017-10-17 | Lam Research Corporation | Long lifetime thermal spray coating for etching or deposition chamber application |
KR20170015615A (ko) * | 2015-07-29 | 2017-02-09 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) * | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN108779568B (zh) | 2016-03-11 | 2021-06-01 | 应用材料公司 | 在半导体处理设备上以电化学方式形成氧化钇的方法 |
CN108885979B (zh) | 2016-03-11 | 2024-04-09 | 应用材料公司 | 作为铝半导体处理设备的阻挡层的铝电镀和氧化物形成 |
TWI803462B (zh) * | 2016-05-06 | 2023-06-01 | 美商康寧公司 | 物件形成方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
CN107958835A (zh) * | 2016-10-14 | 2018-04-24 | 上海新昇半导体科技有限公司 | 一种半导体晶圆的抛光方法 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10407789B2 (en) | 2016-12-08 | 2019-09-10 | Applied Materials, Inc. | Uniform crack-free aluminum deposition by two step aluminum electroplating process |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US11261533B2 (en) | 2017-02-10 | 2022-03-01 | Applied Materials, Inc. | Aluminum plating at low temperature with high efficiency |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) * | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20220002943A (ko) * | 2019-04-05 | 2022-01-07 | 헤레우스 코나믹 노스 아메리카 엘엘씨 | 에칭 적용을 위한 제어된 다공성 산화이트륨 |
CN114256047B (zh) * | 2020-09-25 | 2023-12-22 | 中微半导体设备(上海)股份有限公司 | 半导体零部件、涂层形成方法和等离子体反应装置 |
EP4263215A1 (en) * | 2020-12-18 | 2023-10-25 | Heraeus Conamic North America LLC | Multilayer sintered ceramic body |
EP4032701A1 (en) * | 2021-01-21 | 2022-07-27 | Heraeus Conamic North America LLC | Multilayer sintered ceramic body |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5314850A (en) * | 1985-10-31 | 1994-05-24 | Kyocera Corporation | Aluminum nitride sintered body and production thereof |
US5792562A (en) | 1995-01-12 | 1998-08-11 | Applied Materials, Inc. | Electrostatic chuck with polymeric impregnation and method of making |
JP3320947B2 (ja) * | 1995-05-26 | 2002-09-03 | 日本碍子株式会社 | 微細貫通孔を有するセラミック部材 |
DE19605858A1 (de) * | 1996-02-16 | 1997-08-21 | Claussen Nils | Verfahren zur Herstellung von Al¶2¶O¶3¶-Aluminid-Composites, deren Ausführung und Verwendung |
US5863376A (en) * | 1996-06-05 | 1999-01-26 | Lam Research Corporation | Temperature controlling method and apparatus for a plasma processing chamber |
US6447937B1 (en) | 1997-02-26 | 2002-09-10 | Kyocera Corporation | Ceramic materials resistant to halogen plasma and components using the same |
JPH10236871A (ja) * | 1997-02-26 | 1998-09-08 | Kyocera Corp | 耐プラズマ部材 |
JPH11111828A (ja) * | 1997-09-30 | 1999-04-23 | Shin Etsu Chem Co Ltd | 静電吸着装置 |
TW465017B (en) | 1999-04-13 | 2001-11-21 | Applied Materials Inc | A corrosion-resistant protective coating for an apparatus and method for processing a substrate |
JP2001028502A (ja) * | 1999-07-15 | 2001-01-30 | Nihon Ceratec Co Ltd | 高周波またはマイクロ波透過窓 |
AU7684900A (en) * | 1999-10-12 | 2001-04-23 | Japan As Represented By Secretary Of Agency Of Industrial Science And Technology, Ministry Of International Trade And Industry | Composite structured material and method for preparation thereof and apparatus for preparation thereof |
JP3510993B2 (ja) | 1999-12-10 | 2004-03-29 | トーカロ株式会社 | プラズマ処理容器内部材およびその製造方法 |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
JP4540221B2 (ja) * | 2000-04-21 | 2010-09-08 | 日本碍子株式会社 | 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材 |
JP2002249864A (ja) * | 2000-04-18 | 2002-09-06 | Ngk Insulators Ltd | 耐ハロゲンガスプラズマ用部材およびその製造方法 |
JP3967093B2 (ja) * | 2000-07-10 | 2007-08-29 | 東芝セラミックス株式会社 | セラミックス部材およびその製造方法 |
US6805952B2 (en) | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
US6620520B2 (en) * | 2000-12-29 | 2003-09-16 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
US6533910B2 (en) * | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US7670688B2 (en) | 2001-06-25 | 2010-03-02 | Applied Materials, Inc. | Erosion-resistant components for plasma process chambers |
US6483690B1 (en) * | 2001-06-28 | 2002-11-19 | Lam Research Corporation | Ceramic electrostatic chuck assembly and method of making |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US6789498B2 (en) | 2002-02-27 | 2004-09-14 | Applied Materials, Inc. | Elements having erosion resistance |
US6754062B2 (en) | 2002-02-27 | 2004-06-22 | Praxair S.T. Technology, Inc. | Hybrid ceramic electrostatic clamp |
US7311797B2 (en) * | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
JP2005026593A (ja) * | 2003-05-08 | 2005-01-27 | Ngk Insulators Ltd | セラミック製品、耐蝕性部材およびセラミック製品の製造方法 |
WO2004114729A1 (ja) * | 2003-06-20 | 2004-12-29 | Ngk Insulators, Ltd. | プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置 |
US20050161061A1 (en) * | 2003-09-17 | 2005-07-28 | Hong Shih | Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system |
CN1288108C (zh) * | 2003-10-24 | 2006-12-06 | 东芝陶瓷股份有限会社 | 耐等离子体构件、其制造方法及形成热喷涂涂层的方法 |
US7220497B2 (en) * | 2003-12-18 | 2007-05-22 | Lam Research Corporation | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
CN1690254B (zh) * | 2004-04-13 | 2013-03-13 | 应用材料有限公司 | 具有含电镀钇涂层的制程腔室构件 |
JP4467453B2 (ja) * | 2004-09-30 | 2010-05-26 | 日本碍子株式会社 | セラミックス部材及びその製造方法 |
US8192888B2 (en) * | 2005-04-19 | 2012-06-05 | Nextech Materials, Ltd. | Two layer electrolyte supported fuel cell stack |
US7976641B1 (en) | 2005-09-30 | 2011-07-12 | Lam Research Corporation | Extending storage time of removed plasma chamber components prior to cleaning thereof |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
-
2007
- 2007-01-11 US US11/652,048 patent/US8097105B2/en active Active
-
2008
- 2008-01-03 JP JP2009545563A patent/JP5363992B2/ja active Active
- 2008-01-03 CN CN2008800021829A patent/CN101589455B/zh active Active
- 2008-01-03 KR KR1020147004279A patent/KR101482504B1/ko active IP Right Grant
- 2008-01-03 SG SG10201608716QA patent/SG10201608716QA/en unknown
- 2008-01-03 KR KR1020147004295A patent/KR101482505B1/ko active IP Right Grant
- 2008-01-03 KR KR1020097016646A patent/KR101432858B1/ko active IP Right Grant
- 2008-01-03 SG SG10201405496YA patent/SG10201405496YA/en unknown
- 2008-01-03 WO PCT/US2008/000018 patent/WO2008088670A1/en active Application Filing
- 2008-01-03 CN CN2010105218442A patent/CN102005352B/zh active Active
- 2008-01-03 SG SG2012000683A patent/SG177952A1/en unknown
- 2008-01-07 TW TW097100617A patent/TWI414634B/zh active
- 2008-01-07 TW TW102135915A patent/TWI542731B/zh active
-
2011
- 2011-12-13 US US13/324,287 patent/US8585844B2/en active Active
Cited By (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105492400B (zh) * | 2012-02-22 | 2018-02-09 | 应用材料公司 | 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法 |
CN105492400A (zh) * | 2012-02-22 | 2016-04-13 | 应用材料公司 | 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法 |
CN103794459B (zh) * | 2012-10-29 | 2016-04-06 | 中微半导体设备(上海)有限公司 | 用于等离子处理腔室的气体喷淋头及其涂层形成方法 |
CN103794459A (zh) * | 2012-10-29 | 2014-05-14 | 中微半导体设备(上海)有限公司 | 用于等离子处理腔室的气体喷淋头及其涂层形成方法 |
CN108463345B (zh) * | 2015-11-16 | 2021-04-09 | 阔斯泰公司 | 耐腐蚀组件和制造方法 |
CN108463345A (zh) * | 2015-11-16 | 2018-08-28 | 阔斯泰公司 | 耐腐蚀组件和制造方法 |
CN105603352A (zh) * | 2016-01-15 | 2016-05-25 | 中国科学院上海硅酸盐研究所 | Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法 |
CN105603352B (zh) * | 2016-01-15 | 2018-07-24 | 中国科学院上海硅酸盐研究所 | Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法 |
CN109963825A (zh) * | 2016-11-16 | 2019-07-02 | 阔斯泰公司 | 耐腐蚀组件和制造方法 |
CN109963825B (zh) * | 2016-11-16 | 2022-08-09 | 阔斯泰公司 | 耐腐蚀组件和制造方法 |
TWI828704B (zh) * | 2018-06-14 | 2024-01-11 | 美商應用材料股份有限公司 | 電漿處理方法與用於電漿處理腔室的腔室部件及其製造方法 |
CN112655068A (zh) * | 2018-07-13 | 2021-04-13 | Mks仪器有限公司 | 具有带有改进的等离子抗性的介电等离子室的等离子源 |
CN112655068B (zh) * | 2018-07-13 | 2024-04-12 | Mks仪器有限公司 | 具有带有改进的等离子抗性的介电等离子室的等离子源 |
CN113644110A (zh) * | 2020-05-11 | 2021-11-12 | 北京华碳元芯电子科技有限责任公司 | 晶体管及其制备方法 |
CN113644110B (zh) * | 2020-05-11 | 2024-07-02 | 北京华碳元芯电子科技有限责任公司 | 晶体管及其制备方法 |
WO2022057620A1 (zh) * | 2020-09-15 | 2022-03-24 | Oppo广东移动通信有限公司 | 壳体及其制备方法和电子设备 |
CN116209648A (zh) * | 2020-10-15 | 2023-06-02 | 贺利氏科纳米北美有限责任公司 | 多层烧结陶瓷体及制备方法 |
CN116867752A (zh) * | 2020-12-18 | 2023-10-10 | 贺利氏科纳米北美有限责任公司 | 多层烧结陶瓷体 |
CN114015967A (zh) * | 2021-11-09 | 2022-02-08 | 重庆臻宝实业有限公司 | 一种低孔隙率氧化钇涂层的制备方法 |
Also Published As
Publication number | Publication date |
---|---|
JP2010515827A (ja) | 2010-05-13 |
CN102005352A (zh) | 2011-04-06 |
KR20140032011A (ko) | 2014-03-13 |
WO2008088670A1 (en) | 2008-07-24 |
CN101589455B (zh) | 2012-06-20 |
KR101482505B1 (ko) | 2015-01-13 |
TWI414634B (zh) | 2013-11-11 |
US20120144640A1 (en) | 2012-06-14 |
KR20140032012A (ko) | 2014-03-13 |
CN102005352B (zh) | 2013-10-02 |
KR101482504B1 (ko) | 2015-01-13 |
SG177952A1 (en) | 2012-02-28 |
US8585844B2 (en) | 2013-11-19 |
TWI542731B (zh) | 2016-07-21 |
SG10201405496YA (en) | 2014-10-30 |
TW200846496A (en) | 2008-12-01 |
JP5363992B2 (ja) | 2013-12-11 |
US20080169588A1 (en) | 2008-07-17 |
SG10201608716QA (en) | 2016-12-29 |
TW201402864A (zh) | 2014-01-16 |
KR101432858B1 (ko) | 2014-08-26 |
KR20090101304A (ko) | 2009-09-24 |
US8097105B2 (en) | 2012-01-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101589455B (zh) | 延长作为等离子室材料的氧化钇的寿命 | |
TWI615506B (zh) | 耐電漿塗膜及其形成方法 | |
KR20180118696A (ko) | 염소 및 불소 플라즈마 내식성을 가진 코팅된 반도체 처리 부재 및 그 복합 산화물 코팅 | |
JP4987911B2 (ja) | プラズマ処理容器内部材 | |
EP1892318B1 (en) | Halogen gas plasma-resistive members, laminates, and corrosion-resistant members | |
KR102059692B1 (ko) | 알루미늄 플라즈마 챔버 부분들의 세정 방법 | |
JP2004349612A (ja) | 静電チャック | |
JP5014656B2 (ja) | プラズマ処理装置用部材およびその製造方法 | |
Esser et al. | Improved Low-Temperature Si Si Hydrophilic Wafer Bonding | |
CN102513314B (zh) | 具有氧化钇包覆层的工件的污染物的处理方法 | |
JP5741921B2 (ja) | 基板処理装置、基板処理装置に用いられる反応管の表面へのコーティング膜の形成方法、および、太陽電池の製造方法 | |
JP4068742B2 (ja) | 耐熱割れ性及び耐食性に優れた半導体製造装置用陽極酸化皮膜被覆部材の製造方法 | |
JP2006044980A (ja) | 窒化アルミニウム焼結体 | |
Shi et al. | Deep wet etching process of Pyrex glass for vacuum packaging | |
JP2005209825A (ja) | 半導体製造装置 | |
US20230064818A1 (en) | Methods for etching glass-based substrates | |
KR102201500B1 (ko) | 세라믹 하우징 및 세라믹 기재의 도금 방법 | |
TW202436702A (zh) | 利用膠體擴增之多孔腔室部件塗層 | |
Watson et al. | Low–Temperature Anodic Bonding Facilitated by Lithium–Exchanged Sodium Borosilicate Glass | |
Waters et al. | Moisture effects on copper thin film adhesion | |
JP2005286106A (ja) | ヒータユニット及びそれを搭載した装置 | |
Nanko et al. | High temperature oxidation of platinum-aluminum alloys | |
TW201238935A (en) | Manufacturing method for copper/ ceramics composite structure |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |