SG10201405496YA - Extending lifetime of yttrium oxide as a plasma chamber material - Google Patents

Extending lifetime of yttrium oxide as a plasma chamber material

Info

Publication number
SG10201405496YA
SG10201405496YA SG10201405496YA SG10201405496YA SG10201405496YA SG 10201405496Y A SG10201405496Y A SG 10201405496YA SG 10201405496Y A SG10201405496Y A SG 10201405496YA SG 10201405496Y A SG10201405496Y A SG 10201405496YA SG 10201405496Y A SG10201405496Y A SG 10201405496YA
Authority
SG
Singapore
Prior art keywords
yttrium oxide
plasma chamber
chamber material
extending lifetime
lifetime
Prior art date
Application number
SG10201405496YA
Inventor
Hong Shih
Duane Outka
Shenjian Liu
John Daugherty
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201405496YA publication Critical patent/SG10201405496YA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/24Manufacture or joining of vessels, leading-in conductors or bases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
SG10201405496YA 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material SG10201405496YA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/652,048 US8097105B2 (en) 2007-01-11 2007-01-11 Extending lifetime of yttrium oxide as a plasma chamber material

Publications (1)

Publication Number Publication Date
SG10201405496YA true SG10201405496YA (en) 2014-10-30

Family

ID=39617144

Family Applications (3)

Application Number Title Priority Date Filing Date
SG10201608716QA SG10201608716QA (en) 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material
SG2012000683A SG177952A1 (en) 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material
SG10201405496YA SG10201405496YA (en) 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material

Family Applications Before (2)

Application Number Title Priority Date Filing Date
SG10201608716QA SG10201608716QA (en) 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material
SG2012000683A SG177952A1 (en) 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material

Country Status (7)

Country Link
US (2) US8097105B2 (en)
JP (1) JP5363992B2 (en)
KR (3) KR101482505B1 (en)
CN (2) CN101589455B (en)
SG (3) SG10201608716QA (en)
TW (2) TWI414634B (en)
WO (1) WO2008088670A1 (en)

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
CN101884161A (en) * 2007-12-20 2010-11-10 圣戈本陶瓷及塑料股份有限公司 Electrostatic chuck and formation method
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
FR2944293B1 (en) * 2009-04-10 2012-05-18 Saint Gobain Coating Solutions THERMAL PROJECTION DEVELOPING METHOD OF A TARGET
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
US8430970B2 (en) 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
TWI470692B (en) * 2011-12-28 2015-01-21 Ares Green Technology Corp Method for cleaning chamber of etching machine
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794459B (en) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 For gas spray and the coating shaping method thereof of plasma treatment chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
CN104347389B (en) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 Method for etching plasma
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10978334B2 (en) * 2014-09-02 2021-04-13 Applied Materials, Inc. Sealing structure for workpiece to substrate bonding in a processing chamber
JP6544902B2 (en) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 Plasma processing system
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
EP3739079A1 (en) * 2015-02-13 2020-11-18 Entegris, Inc. Porous metallic filter
KR102376982B1 (en) * 2015-04-14 2022-03-21 삼성전자주식회사 Remote plasma generator for reducing particles by using ceramic
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
KR20170015615A (en) * 2015-07-29 2017-02-09 삼성전자주식회사 apparatus for processing plasma
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6985267B2 (en) 2015-11-16 2021-12-22 クアーズテック,インコーポレイティド Corrosion resistant components and manufacturing methods
CN105603352B (en) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/ YAG amorphous/eutectic composite ceramic coat and preparation method thereof
KR102210971B1 (en) * 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 Method for forming yttrium oxide on semiconductor processing equipment
WO2017155671A1 (en) 2016-03-11 2017-09-14 Applied Materials, Inc. Aluminum electroplating and oxide formation as barrier layer for aluminum semiconductor process equipment
TWI803462B (en) * 2016-05-06 2023-06-01 美商康寧公司 Object forming methods
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107958835A (en) * 2016-10-14 2018-04-24 上海新昇半导体科技有限公司 A kind of polishing method of semiconductor crystal wafer
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
EP3526177B1 (en) * 2016-11-16 2021-06-09 Coorstek Inc. Corrosion-resistant components and methods of making
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112236839A (en) * 2018-06-14 2021-01-15 应用材料公司 Process kit for process chamber with protective coating
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11019715B2 (en) * 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220204410A1 (en) * 2019-04-05 2022-06-30 Heraeus Conamic North America Llc Controlled porosity yttrium oxide for etch applications
CN113644110A (en) * 2020-05-11 2021-11-12 北京华碳元芯电子科技有限责任公司 Transistor and preparation method thereof
CN114180960B (en) * 2020-09-15 2023-04-11 Oppo广东移动通信有限公司 Shell, preparation method thereof and electronic equipment
CN114256047B (en) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 Semiconductor component, coating forming method and plasma reaction apparatus
EP4228894A1 (en) * 2020-10-15 2023-08-23 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making
CN116867752A (en) * 2020-12-18 2023-10-10 贺利氏科纳米北美有限责任公司 Multilayer sintered ceramic body
US20240101486A1 (en) * 2020-12-18 2024-03-28 Heraeus Conamic North America Llc Multilayer sintered ceramic body
EP4032701A1 (en) * 2021-01-21 2022-07-27 Heraeus Conamic North America LLC Multilayer sintered ceramic body
CN114015967A (en) * 2021-11-09 2022-02-08 重庆臻宝实业有限公司 Preparation method of low-porosity yttrium oxide coating

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314850A (en) * 1985-10-31 1994-05-24 Kyocera Corporation Aluminum nitride sintered body and production thereof
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3320947B2 (en) * 1995-05-26 2002-09-03 日本碍子株式会社 Ceramic member having fine through holes
DE19605858A1 (en) * 1996-02-16 1997-08-21 Claussen Nils Process for the production of Al¶2¶O¶3¶ aluminide composites, their execution and use
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JPH10236871A (en) * 1997-02-26 1998-09-08 Kyocera Corp Plasma resistant member
JPH11111828A (en) * 1997-09-30 1999-04-23 Shin Etsu Chem Co Ltd Electrostatic sucking device
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP2001028502A (en) * 1999-07-15 2001-01-30 Nihon Ceratec Co Ltd High-frequency or microwave transmission window
KR100767395B1 (en) * 1999-10-12 2007-10-17 토토 가부시키가이샤 Composite structured material
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
JP2002249864A (en) * 2000-04-18 2002-09-06 Ngk Insulators Ltd Halogen gas plasma resistant member and production method therefor
JP4540221B2 (en) * 2000-04-21 2010-09-08 日本碍子株式会社 Laminate, corrosion resistant member and halogen gas plasma member
JP3967093B2 (en) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 Ceramic member and manufacturing method thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6789498B2 (en) * 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6754062B2 (en) * 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
JP2005026593A (en) * 2003-05-08 2005-01-27 Ngk Insulators Ltd Ceramic product, corrosion-resistant member, and method of manufacturing ceramic product
EP1638377B1 (en) * 2003-06-20 2013-04-03 NGK Insulators, Ltd. Plasma generating electrode, plasma generation device, and exhaust gas purifying apparatus
US20050161061A1 (en) 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
JP4467453B2 (en) * 2004-09-30 2010-05-26 日本碍子株式会社 Ceramic member and manufacturing method thereof
US8192888B2 (en) * 2005-04-19 2012-06-05 Nextech Materials, Ltd. Two layer electrolyte supported fuel cell stack
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material

Also Published As

Publication number Publication date
TW200846496A (en) 2008-12-01
KR20140032011A (en) 2014-03-13
CN101589455B (en) 2012-06-20
US8097105B2 (en) 2012-01-17
JP5363992B2 (en) 2013-12-11
US8585844B2 (en) 2013-11-19
KR20090101304A (en) 2009-09-24
KR101432858B1 (en) 2014-08-26
TW201402864A (en) 2014-01-16
CN101589455A (en) 2009-11-25
US20120144640A1 (en) 2012-06-14
CN102005352B (en) 2013-10-02
WO2008088670A1 (en) 2008-07-24
CN102005352A (en) 2011-04-06
SG177952A1 (en) 2012-02-28
TWI414634B (en) 2013-11-11
KR20140032012A (en) 2014-03-13
KR101482505B1 (en) 2015-01-13
US20080169588A1 (en) 2008-07-17
JP2010515827A (en) 2010-05-13
SG10201608716QA (en) 2016-12-29
TWI542731B (en) 2016-07-21
KR101482504B1 (en) 2015-01-13

Similar Documents

Publication Publication Date Title
SG10201405496YA (en) Extending lifetime of yttrium oxide as a plasma chamber material
EP2030226A4 (en) Plasma etching chamber
HUS1500020I1 (en) Macrocyclic hepatitis c serine protease inhibitors
IL200450A0 (en) High purity peptides
EP2232958A4 (en) Asymmetrical rf drive for electrode of plasma chamber
SG10201401262UA (en) Multifrequency capacitively coupled plasma etch chamber
EP2031683A4 (en) Electrode material
HK1131771A1 (en) Extending tool of shee-like material
EP2342951A4 (en) Lower electrode assembly of plasma processing chamber
EP2123136A4 (en) Improved plasma source
GB201011327D0 (en) Plasma enhanced compressor
EP2224801A4 (en) Macrocyclic oximyl hepatitis c serine protease inhibitors
TWI350550B (en) Self-passivating plasma resistant material for joining chamber components
IL202441A0 (en) Glass ceramic armor material
EP2159207A4 (en) Semiconductor ceramic material
IL201485A0 (en) New cyclic peptide compounds
ZA201102990B (en) Electrode for a plasma burner
HK1165900A1 (en) Plasma crucible sealing
EP2475891A4 (en) Optimized discharge port for scroll compressor with tip seals
GB0809475D0 (en) Vacuum chamber
GB0610696D0 (en) Improved chamber
GB0904444D0 (en) Chamber 4
AU2008901173A0 (en) A new type of gapless semiconductor material
GB0721452D0 (en) Secound life ceramics
PL382028A1 (en) Cryogenic chamber