TWI542731B - 用作爲電漿室材料之釔氧化物的使用期間之加長 - Google Patents

用作爲電漿室材料之釔氧化物的使用期間之加長 Download PDF

Info

Publication number
TWI542731B
TWI542731B TW102135915A TW102135915A TWI542731B TW I542731 B TWI542731 B TW I542731B TW 102135915 A TW102135915 A TW 102135915A TW 102135915 A TW102135915 A TW 102135915A TW I542731 B TWI542731 B TW I542731B
Authority
TW
Taiwan
Prior art keywords
layer
plasma
processing chamber
cerium oxide
sealant
Prior art date
Application number
TW102135915A
Other languages
English (en)
Other versions
TW201402864A (zh
Inventor
侍宏
奧特卡 道恩
劉身健
達芬提 約翰
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201402864A publication Critical patent/TW201402864A/zh
Application granted granted Critical
Publication of TWI542731B publication Critical patent/TWI542731B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/24Manufacture or joining of vessels, leading-in conductors or bases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

用作為電漿室材料之釔氧化物的使用期間之加長
本發明係有關於半導體製程設備之製造方法,尤有關於半導體製程中電漿處理室內部及其相關元件之製造及塗佈方法。
在半導體材料製程的領域中,存在著各種半導體製程應用的設備,包括關於使用電漿的應用,如電漿蝕刻、電漿加強化學蒸氣沉積法(PECVD,plasma-enhanced chemical vapor deposition)及光阻剝除(resist strip)。這些製程所需之設備類型包括於電漿室內部使用且必須要能在該環境中操作之元件。電漿室內的環境可包括曝露在電漿中、曝露在蝕刻氣體中及熱循環。因在此般處理腔室(processing chamber)中,處理氣體與電漿本質上具有腐蝕性,且希望使腔室內處理之基板的粒子及/或金屬污染最小化,吾人便期望該設備之曝露在電漿中的元件能抵抗這些氣體及電漿的侵蝕性和腐蝕性。在某些處理腔室的環境中,例如含有鹵素之高密度電漿蝕刻室環境,其狀況是非常具侵蝕性的,導致各種腔室元件的侵蝕,包括腔室之壁部、襯套、製程套件及介電窗。尤以高密度含氟電漿蝕刻環境特別明顯,因為該環境常與介電質蝕刻製程一同使用。
本發明提供一電漿處理腔室中三層元件的製造方法。該方法包含共同燒結(co-sintering)一雙層生坏(green body),其中一層包含氧化鋁粒子且第二層包含氧化釔粒子。該二層在燒結過程中緊密接觸。在一較佳實施例 中,三層元件包含一氧化釔外層、一釔鋁石榴石(YAG,yttrium-aluminum garnet)中間層及一氧化鋁第二外層。可選擇地,該二層可於燒結過程中按壓在一起。
所產生之三層元件具有非常低的孔隙度(porosity)。在氧化釔外層、釔鋁石榴石中間層及氧化鋁第二外層之中任一層的孔隙度最好是小於3%。在實施例中,元件為一介電窗(dielectric window)、一腔室壁部(chamber wall)、一腔室襯套(chamber liner)、一基板支座、一擋板(baffle)、一氣體分配板(gas distribution plate)、一電漿圍束環(plasma confinement ring)、一噴嘴、一結件、一加熱元件、一電漿聚焦環(plasma focus ring)或一夾盤(chuck)。
本發明亦提供電漿處理腔室之氧化釔電漿噴塗元件的密封方法。該方法包含:藉由塗刷一室溫黏度為低於50cP的液態厭氧型密封膠(liquid anaerobic sealant)於元件所有氧化釔表面上使該密封膠施加於該元件上;濕式清洗該元件;於N2環境中以至少150℃的溫度硬化該已濕式清洗的元件超過兩個小時;及藉由重複施加第一層塗層用的步驟施加第二層密封膠塗層於已硬化的基板上。
21‧‧‧氧化釔層
22‧‧‧氧化鋁層
23‧‧‧氧化釔層
24‧‧‧YAG中間層
25‧‧‧氧化鋁層
31‧‧‧氧化釔外層
32‧‧‧YAG中間層
33‧‧‧氧化鋁外層
34‧‧‧氣體噴射器
41‧‧‧鋁基材
42‧‧‧氧化釔電漿噴塗層
43‧‧‧氧化釔塗佈表面
44‧‧‧圍束牆
45‧‧‧稀釋HCl溶液
46‧‧‧引線
47‧‧‧引線
48‧‧‧歐姆計
51‧‧‧試料不含密封膠的測量
52‧‧‧試料氧化釔表面上有密封膠的測量
53‧‧‧描點為實心鑽石的測量
54‧‧‧描點為十字與x的測量
55‧‧‧描點為實心三角形、方形與圓形的測量
100‧‧‧反應室
101‧‧‧基板支座
102‧‧‧靜電夾盤
104‧‧‧氣體噴射器
105‧‧‧進氣管
106‧‧‧感應線圈
107‧‧‧介電窗
108‧‧‧環狀構件
109‧‧‧擋板屏幕
110‧‧‧氧化釔塗層
圖1係表示包括具有如氧化釔塗層之熱噴塗元件之一示範性電漿反應器Versys 2300TM的示意圖。
圖2a表示燒結前一氧化鋁與氧化釔的複合材,而圖2b表示燒結後之該複合材。
圖3係表示一共同燒結之變壓耦合式電漿(TCP)介電窗的組態示意圖。
圖4係表示一包括將氧化釔表面曝露於稀釋HCl溶液中的電性測試設備的示意圖。
圖5中的圖表係表示數個塗佈氧化釔之鋁試料之測量電阻與作為HCl蝕刻時間函數之曝露面積的乘積。
如矽晶圓之半導體基板的電漿處理設備包括用於半導體裝置製程 的電漿蝕刻室,用以蝕刻材料如半導體、金屬及介電質。舉例來說,一導體蝕刻室可用來蝕刻如鋁或矽之材料。典型的導體蝕刻製程用氣體包括可能會對電漿室元件造成腐蝕作用的CF4及SF6。因為氧化釔(Y2O3)在電漿蝕刻設備上具有先進的特徵與優越的效能,氧化釔已被大量採用為半導體蝕刻設備的表面塗層。塗佈有氧化釔的電漿室元件已證實於氯為主與氟為主的高密度電漿中具有對電漿改善的阻抗性。對於金屬蝕刻的應用而言,在一電漿環境中,塗佈氧化釔表面的耐久性據說為僅含高純度陶瓷氧化鋁(high purity ceramic alumina)之表面的十倍。在多晶矽蝕刻的應用中,氧化釔可作為非消耗性腔室材料。使用氧化釔並可避免生成導體蝕刻設備中潛在微粒根源的AlF3
腔室材料用之氧化釔可用電漿噴塗施加於陽極處理鋁材(anodized aluminum)或高純度氧化鋁元件,如腔室襯套及介電窗。如圖1所示意,一包括如氧化釔塗層之熱噴塗元件的示範性電漿反應器係Versys 2300TM蝕刻器,可從美國加州佛雷蒙(Fremont,CA)的蘭姆研究公司(Lam Research Corporation)獲得。該反應器包含一反應室100,該反應室包括一具有一靜電夾盤102的基板支座101,其中該靜電夾盤提供一鉗住力量將如半導體晶圓(未圖示)之基板固定於夾盤上。基板支座101通常是用陽極處理鋁材所製成,並可用來對基板施加一射頻偏壓(RF bias)。基板並可藉由例如氦之熱傳遞氣體施以背側冷卻(back-cooled)。處理氣體經由位於反應室100頂端連接到一進氣管105之氣體噴射器104導入反應室100。氣體噴射器104通常由石英或如氧化鋁之陶瓷材料製成。如圖所示,一感應線圈106可由一適當之射頻供應源(未圖示)啟動以供應一高密度電漿。感應線圈106耦合射頻能量而穿過介電窗107進入反應室100的內部。介電窗107通常由一介電質材料如石英或氧化鋁製成。圖中顯示介電窗107裝設於一通常由陽極處理鋁材所製成之環狀構件108上。環狀構件108將介電窗107與反應室100的頂部隔開。一通常由陽極處理鋁材所製成之擋板屏幕(baffle screen)109環繞基板支座101。反應室100亦可包括合適之真空泵設備(未圖示),以維持反應室內部一期望壓力。
在圖1所示,如環狀構件108、介電窗107、基板支座101、擋板屏幕109、氣體噴射器104及靜電夾盤102等反應器元件的選定內部表面上 塗佈一熱噴塗層,如氧化釔塗層110。如圖1所示,反應室100與擋板屏幕109下方之基板支座101之內部表面亦可設有一熱噴塗層,如氧化釔塗層110。所有或任何這些表面,以及其他任何反應器內部表面,皆可設置如氧化釔塗層之熱噴塗層。
然而,雖然氧化釔熱噴塗層具有通常為5%的低孔隙度,且能保護塗層下面的材料免於電漿的影響,該塗層卻可能實質上缺乏防止特定反應物穿透的完整性。這是因為所有熱噴塗層之共同特徵為它們的莢狀(lenticular)或層狀(lamellar)的晶粒結構,該莢狀或層狀的晶粒結構係因為以高速撞擊一冷卻表面而平坦化之球粒迅速固化所產生。雖然此作用產生一實質上強固之覆蓋層並使之產生機械連鎖(mechanical interlocking)及擴散鍵結(diffusion bonding),此作用亦會在氧化釔塗層內部產生孔洞、孔隙、微裂縫(micro-fractures)及不完全鍵結區域。舉例來說,一較差的氧化釔塗層可能會有15%的空隙容積。這意味該塗層可能會讓化學物質貫穿至陽極處理鋁材的基板。
電漿噴塗之氧化釔在電漿室內使用後已觀察皆會從陽極處理與陶瓷表面上剝落。這些失效使氧化釔作為先進腔室材料的壽命減少了,且促使成本上升。為了不受理論所束縛,本發明已檢驗出四種導致氧化釔塗層失效的根本因素。吾人應了解到這些模型係作為解釋用途而已,尚可有額外模型:
1.對於如那些用於鋁蝕刻反應室的的元件,或具有陽極處理層的元件,從已使用過之蝕刻元件上可觀察到的副產物會與大氣中的水分(moisture)反應而形成酸(acid)。例如,一金屬蝕刻副產物AlCl3會與H2O反應而形成鹽酸(HCl,hydrochloric acid)。一可能之反應式是:2AlCl3+3H2O=2Al(OH)3+6HCl (1)因為氧化釔能有佔容積約5%的孔隙度,形成之HCl會經由孔隙貫穿氧化釔層而與陽極處理基板之氧化釔/陽極處理層介面或陶瓷基板之氧化釔/陶瓷介面之其中一者反應。HCl會在介面侵蝕氧化釔,導致鍵結強度減弱。
在針對陽極處理鋁材基板的情況中,HCl亦會經由陽極處理層裡的微裂痕而到達鋁,導致一化學反應產生氫氣(H2),成為氧化釔塗層剝離 (delamination)的潛在致因。一可能之反應式是:6HCl+2Al=2AlCl3+3H2 (2)此外,產生之氯化鋁(aluminum chloride)可能會再與水分反應而形成額外的鹽酸,進而使反應再度開始。當陽極處理鋁層的下方產生足夠的氫氣時,會產生一氣囊(gas pocket)。當壓力升高至足夠大時,將會在其上方之塗層造生實質損壞。亦即,所形成之氣泡(blister)最終將導致陽極處理鋁材與氧化釔層脫落或剝離。防止氧化釔表面上形成HCl的步驟已描述於共同擁有於2005年9月30日提出申請之美國專利申請案第11/239,396號,發明名稱為「移除之電漿室元件在清洗前之儲存時間的延長」(Extending Storage Time of Removed Plasma Chamber Components Prior To Cleaning Thereof),將其完整併入於此作為參考。
2.繼精確濕式清洗塗佈有氧化釔之元件後,若該氧化釔塗層未完全烘烤,會導致水分不完全去除。於此情況下,在後續金屬蝕刻製程中,Cl2會進而與殘留水分反應而形成HCl。此反應於塗層下方產生熱能及HCl,且會產生許多微小氣泡及氧化釔塗層表面的剝離。
3.熱噴塗造成與目標表面的物理鍵結。珠粒噴擊(Bead blasting)用來粗化目標陽極處理表面或陶瓷表面,以增強氧化釔塗層與基板間的鍵結強度。熱噴塗前,因不均衡的品質管制所造成的珠粒噴擊製程變化以及目標基板的表面潔淨程度亦會影響鍵結強度。因此,製造元件的品質管制會影響氧化釔熱噴塗層的壽命。
4.濕式清洗期間中,使用高超音波輸出功率密度(high ultrasonic power density)或高壓水沖洗會助長剝離。濕式清洗後,元件表面的乾燥技術如高壓氣流或廣泛酸擦拭(acid wiping)亦可能導致氧化釔塗層剝落。
不論何種機構,在有蝕刻副產物出現的情況下已觀察有表面塗層的剝離。考慮到前述關於電漿處理腔室中使用塗佈有氧化釔之元件的缺點後,本發明已進一步研究發展含有不同且較適合之材料的元件。研究成果顯示,使用以替代技術製造的元件可免於前述缺點。根據一較佳實施例, 包含共同燒結氧化鋁與氧化釔的元件對氧化釔保護層剝離提供更優的保護。
氧化釔本身具有低機械強度。舉例來說,氧化釔的抗彎強度為140MPa且破裂韌度為1.2MPa.m1/2,兩數值皆被認為不足用來製造介電窗。雖然某些高純度燒結氧化釔已被當作固體材料來製成腔室元件,如邊緣環(edge rings)、聚焦環及襯套,該元件可能不具有希望的強度,而且昂貴。有利的是,一高純度氧化釔薄層能提供前述所描述之氧化釔的優點。一氧化釔層可施加於高純度氧化鋁介電窗上,後者比氧化釔具有更佳的機械性質。例如,氧化鋁的抗彎強度為350MPa且破裂韌度為4.5MPa.m1/2,大約是氧化釔之相對應數值的2.5及3.75倍之多。根據一較佳實施例,在一與射頻耦合用之介電窗中使用高純度(99.7%)氧化鋁作為基材(base material)能符合滿足介電窗機械特性的需求。
以下範例詳述一共同燒結製程,用以施加於如107之介電窗元件的製造中。該範例係舉例性而非排他性的:
範例1:依據一較佳實施例的陶瓷介電窗製程,包含下列步驟:
氧化鋁粉末與高分子黏著劑(polymeric binder)共同經機械混合後形成一生坏。氧化釔粉末與黏著劑同樣地混合後形成第二個生坏。各生坏形成一表面平滑之平坦碟片,其直徑至少如最終預期直徑般長。兩個碟片接著形成為一雙層結構,其中高純度氧化鋁的厚度大約為33mm,高純度氧化釔的厚度大約為5mm。將該雙層結構按壓在一起,作為準備切削生坏前之一部分的形成步驟。該結構可沿著碟片之中心軸等壓式地(isostatically)或單向式地(unidirectionally)按壓在一起。本範例中陶瓷介電窗的整體厚度為大約1.5英吋,係為希望的介電窗目標厚度。
該雙層結構遂於約100至200℃的溫度下以如熱墊板(hot plate)之乾燥製程乾燥,乾燥後仍為生坏。此時,將壓力移除,該生坏可被切削而形成希望之形狀。該生坏接著在大氣環境中於一窯(kiln)內爐內回火。或者,爐內回火氣體如H2或N2可代替大氣。因陶瓷材料之抗熱震性(thermal shock resistance)相對較低,而使用一緩慢的溫度爬升(temperature ramp)。為達到約為1600℃的燒結溫度,可使用至少長達1整日(24小時)的溫度爬升時間 (ramp-up time)。該燒結溫度至少維持約5日(120小時),隨後進行一緩慢的溫度下降(ramp-down)。下降時間與爬升時間相符合較佳,所以需至少一日(24小時)。
可選擇地,於燒結過程中亦可施加等靜壓式或單向式壓力,以促使處理結果更前後一致。在此情況下,該燒結製程有時可稱作「HIP」製程,係高恆溫壓力(High Isothermal Pressure)的縮寫。
爐內回火之後,生坏為了達到希望之表面最終加工,可接著進行機械研磨及/或拋光。另外對生坏進行檢驗,即裂痕、磨蝕或粗糙性的檢查及尺寸大小的檢查。檢驗之後,將生坏帶入一100級無塵室,清潔其金屬污染。清潔步驟概述如下順序:
1.以去離子(DI,de-ionized)水沖洗5分鐘
2.以無塵布擦拭零件
3.以已過濾潔淨乾燥空氣(CDA,clean dry air)吹乾零件
4.以異丙醇擦拭20至30分鐘
5.浸泡零件於丙酮10分鐘,接著擦拭,並以去離子水沖洗
6.以已過濾CDA吹乾零件
7.於室溫將零件於一溶液中置放30分鐘,該溶液包含容積比例為1:1:2至1:1:5的氨水(ammonia)(29%)、過氧化氫(hydrogen peroxide)(30%)及水。
8.以去離子水沖洗5分鐘
9.將零件置於包含容積比例為1:1:10的硝酸、HF及水的溶液中
10.擦拭表面2分鐘
11.以去離子水沖洗5至10分鐘
12.於室溫去離子超音波1小時
13.以已過濾潔淨乾燥空氣吹乾
14.在烘箱中以120℃烘烤2小時 烘箱烘烤後,使用檢驗工具檢驗生坏的表面微粒,該檢驗工具如美國加州海瓦德(Hayward,CA)之Pentagon Technologies所生產的QIII+表面微粒偵檢器(QIII+surface particle detector)。使用一設定為3微米的微粒偵檢臨界值,最 終讀數最好是零計數,或計數為3個微粒或更少。該生坏遂可作為一腔室元件使用,且尚在100級無塵室時便進行封裝。
在燒結製程中時,由雙層結構形成之三層結構包含一氧化釔與氧化鋁的固態溶液。該固態溶液最好是化學式為Y3Al5O12的釔鋁石榴石(YAG)的形態,係為石榴石類的結晶化合物。YAG作為中間層的形成造成三層結構中包含由高純度氧化鋁、YAG(氧化鋁與氧化釔固態溶液)及高純度氧化釔組成的三層。在一示範性實施例中,起始厚度為5mm的氧化釔及起始厚度為33mm的氧化鋁產生一最終厚度約為3mm的氧化釔、一最終厚度約為4mm的YAG層及一最終厚度約為31mm的氧化鋁層。亦即,在爐內回火時,分別消耗了約2mm的氧化釔與氧化鋁釔形成一大約為4mm厚的YAG層。
該燒結製程之各層厚度的結果可見於圖2a及圖2b的一示範性示意圖。圖2a表示雙層氧化釔氧化鋁結構包含:一氧化釔層21,其中t1為5mm;及一氧化鋁層22,其中t2為33mm。圖2b表示燒結後所形成之三層結構,包含氧化釔層23及氧化鋁層25。亦可看出已形成之YAG中間層24。在此範例中,t3約為3mm,t4約為4mm且t5約為31mm。
不管是否使用HIP步驟,最終結構最好是實質上無孔隙的。因為雙層結構係形成作為生坏,燒結製程會在所有層中產生實質上無孔隙層,即氧化鋁、YAG及氧化釔。任何層之孔隙度最好是明顯小於一熱噴敷氧化釔塗層約5%或更少的孔隙度。各層的孔隙度最好是小於約3%,更優的是小於約1%。
因高純度的氧化鋁與氧化釔具有相似的熱膨脹係數以及相似的收縮率,燒結製程不會在結構中導入應力,以免導致翹曲(warping)或其他不期望有之外加物。共同燒結之介電窗可在電漿蝕刻製程時使受微粒的污染最小化,且提供良好之機械特性,亦可具有低孔隙度,以及相較於熱噴敷之氧化釔塗層具有改善的抗剝離性。在電漿環境中,該元件的壽命會超過熱噴塗陶瓷元件的壽命。燒結過程中自然產生之收縮作用會減少燒結之後該複合介電窗的厚度。
舉例來說,元件可以是一介電窗、一腔室壁部、一腔室襯套、一基板支座、一氣體分配板、一電漿圍束環、一噴嘴、一電漿聚焦環、一熱邊緣環或一耦合環,每個元件都可用於電漿處理設備。根據一較佳實施例 所製造之介電窗的範例係示意地表示於圖3。該介電窗包含一氧化釔外層31、一YAG中間層32及一氧化鋁外層33。該介電窗與圖1之介電窗107方位相同,亦即,因為介電窗通常使用於蝕刻反應室內,氧化鋁表面31係朝下,往反應室內部的方向。圖中亦可見到一氣體噴射器34。
根據另一實施例,元件包含一密封電漿噴敷或熱噴敷氧化釔之孔隙的密封膠,亦對氧化釔保護層的剝離提供更優的保護。
在一較佳實施例中,對氧化釔塗層表面施加液態密封膠消除了運送HCl穿過氧化釔的通道。因此,便可防止氯化鋁與水反應所生的HCl經由孔隙而貫穿氧化釔層,到達陽極處理基板的氧化釔/陽極處理層介面或陶瓷基板的氧化釔/陶瓷介面之其中一者。在陽極處理鋁材基板的情況下,則可防止HCl經由該陽極處理層的微裂痕到達鋁。
一示範性密封膠係美國紐約州索美塞特(Somerset,NJ)之Permabond公司的HL126TM。HL126TM密封膠係一包括四伸乙二醇二甲基丙烯酸酯(tetraethylene glycol dimethacrylate)、甲基丙烯酸2-羥乙酯(2-hydroxyethyl methacrylate)、糖精(saccharin)、氫過氧化異丙苯(cumene hydroperoxide)及甲基丙烯酸(methacrylic acid)的低黏度厭氧型密封膠。該密封膠可貫穿非常小之孔洞且能在真空中硬化。與水相較下,未硬化之該黏膠的特性包括黏度於25°C時為20cP的液體。該黏膠據說能填滿小至5mil的縫隙。
HL126TM密封膠硬化成一交聯、熱固性的塑膠,且被發現即使處理配方中包含有低流量之例如CF4及CHF3的鈍化氣體(passivation gasses),該密封膠對Cl2/BCl3蝕刻氣體之化學作用具有高的電漿阻抗性。對於金屬蝕刻的應用而言,已硬化密封膠較佳地實質上密封孔隙以延長包括氧化釔噴塗零件之電漿噴塗零件的壽命。
從施加氧化釔開始而對氧化釔塗層施加密封膠之一示範性步驟如下:基板塗佈氧化釔前之製備好的形態與潔淨程度包含以珠粒噴擊使目標表面粗糙化。該目標表面可以是如鋁之陽極處理材料的陽極處理層,或是陶瓷材料的陶瓷表面。接著該目標表面塗佈一電漿或熱噴塗層。塗佈後,該零件進行清洗與烘乾。接著施加該液態密封膠以密封氧化釔塗層內之空隙、孔洞、微裂縫及未完全鍵結的部位。藉由一乾淨的尼龍漆刷(nylon paint brush)塗刷密封膠,且所有表面藉由該塗刷動作予以濡濕。施加密封膠後, 執行一最終的精密濕式清洗。接著準備一烘箱,方式為將其加熱至預期溫度,並將此烘箱由大氣抽空,且導入N2沖掃氣(purge)。基板接著於150℃的烘箱內硬化2小時。
硬化後,以施加第一層密封膠的方法施加第二層密封膠,且以與第一次硬化循環(cure cycle)的同樣條件施加第二次硬化循環。最後,該零件受到最終檢驗且進行最終包裝。第二次的硬化循環之後,電漿噴塗氧化釔對化學侵蝕較具有阻抗性,特別是對HCl的侵蝕。塗層/基板介面對HCl侵蝕之免疫性的增加能減少氧化釔層的剝離。使用雙層液態密封膠而發現之改善效能可於下例中示範:
範例2:
於室溫中,將具有標準氧化釔塗層而不同密封膠履歷的氧化鋁介電窗沉浸於5wt%的HCl溶液中超過3小時。沉浸後,介電窗以目測檢驗腐蝕侵害的證據。第一個樣品為無密封膠之介電窗,在HCl溶液沉浸後顯示出該氧化釔塗層幾乎完全溶解。第二個樣品為依據類似前述步驟施加一層密封膠的介電窗,顯示出該塗層的顏色變成牛奶白,表示攝取水分,但未有剝離的跡象。第三個樣品依據前述步驟施加雙層的密封膠,顯示出無局部顏色變化及無剝離或腐蝕。
一般在電漿系統的正常使用程序中,一較佳的密封膠能保持其能力來作為阻障,消除讓腐蝕劑運送穿過氧化釔的路徑。而在電漿處理的情況下,常用氧電漿來維持腔室內的潔淨及促進腔室的性能穩定性。曝露在氧電漿中會將密封膠從氧化釔表面上移除。已密封表面之耐久性的一種測量便是密封膠曝露在氧電漿後的完整性。密封膠特性之完整性的一種測量為已密封表面的電阻性。較佳的密封膠本身係導電絕緣的。例如,HL126據稱有1017Ohm-m的電阻率,與熔凝石英(fused quartz)相似。藉由測量已密封氧化釔表面的電阻,可確定該密封特性的測量值。
為了測試密封膠的完整性,將塗佈有氧化釔的鋁試料(coupons)以不同時間長曝露於一氧電漿環境中,隨後接受一電阻測試,包括將氧化釔表面曝露於稀釋HCl溶液中。圖4係表示該電性測試設備的示意圖。一示範性試料包含鋁基材41及氧化釔電漿噴塗層42,維持其氧化釔塗佈表面43 面朝下。一圍束牆(confinement wall)44安裝在氧化釔塗佈表面43之頂部上,設置成可將一稀釋HCl溶液45包含於圍束牆44中。一引線46與試料的鋁基材41導電性連接,另一引線47則沉浸於該導電性溶液45。兩引線間之電阻作為時間的函數,以歐姆計48測量。
氧化釔的電阻預期為作為氧化釔曝露於溶液之面積的函數。如同電線,曝露在溶液中的剖面面積越大,其電阻越低。所測量之電阻便可假設為一有關電阻與電阻率之標準公式的函數:R=ρ L/A (3)其中R為電阻,ρ為電阻率,L為長度,且A為面積。安排電性測試設備以測量電阻,當電阻乘上曝露面積後(RA),能預期代表該材料特性的乘積(ρ L)。在此電性測試設備的情況下,曝露面積係圍束牆44內液體的面積。因此,測量電阻與曝露面積的乘積作為HCl蝕刻時間的函數之描點(plot)可期望表示一電阻率和長度對時間的描點。
圖5表示各不同試料在前述狀況下的描點。此圖顯示總共八個試料的測量,其中每條曲線對應到各個試料。八個測量之中,有兩個試料不含密封膠的測量51,而有六個試料氧化釔表面上有密封膠的測量52。圖5中之插圖說明表示各試料於電性測試前曝露於氧電漿中的程度。舉例來說,以實心鑽石描點RA對時間的測量53,其試料在HCl中測試電阻前於氧電漿中接受90分鐘的曝露。以主要重疊之實心三角形、方形與圓形描點數據的測量55,其試料於氧電漿中接受10至20小時的曝露,而以十字與x描點RA對時間的測量54,其試料在HCl中測試電阻前於氧電漿中接受40小時的曝露。大致上可看出,在HCl中測試電阻前,若試料較長時間地曝露於氧電漿中,會造成該試料較低之RA。例如,曝露於電漿中40小時的試料比曝露90分鐘的試料減少1,200秒的時間達到相同之RA乘積。
從圖5中更可看出,未密封試料的RA(51)起始時較已密封試料的RA低,且較快開始下降。曝露在HCl溶液約20分鐘(1,200秒)後,未密封試料的RA趨近於零。在所有電性測試整個期間中,且在塗層電阻減低為近乎零之前,有塗佈之試料維持比未塗佈試料至少十倍的RA。即使與未曝露在氧電漿中之無密封膠的試料相比,有密封膠的試料在曝露於氧電漿中40小時後的RA仍顯示出比該無密封膠的試料之RA(實心三角形及短劃線)51 大出十倍。
為了不受限於理論,數據顯示密封膠能深入貫穿氧化釔塗層內的空隙容積。吾人已知稀釋之HCl能蝕刻氧化釔。電阻降低至趨近零意味著氧化釔已經完全被蝕刻掉,剩下鋁與導電性HCl溶液直接接觸。從圖5中可看出,有塗佈與未塗佈之試料對於時間之RA的斜率是能比較出的。因氧化釔塗層在圖4的電路中提供主要的電阻,可比較之斜率的證明意味著兩薄膜之能比較的蝕刻速率。隨著氧化釔的厚度減少,RA因其預期與ρ L相等而會成正比地下降。假設蝕刻速率能合理假設成恆速,這與電阻測量為表面上呈線性降低相符。
電阻曲線亦與氧化釔塗層之深入貫穿相符。與HCl中電阻測試前較長時間曝露在氧電漿中之試料所相對應之RA觀察值的降低顯示出持續曝露在氧電漿中會持續把密封膠從氧化釔開放區域移除。明顯地,從複合氧化釔表面移除密封膠造成顯露出更多底下的密封膠。密封層曝露在氧電漿中越久,越多的密封膠被移除,而該層的電阻越低。再者,已密封試料的RA曲線從頭到尾顯示出一表面上平順的斜率。若密封膠未能貫穿整個氧化釔層,而使在整個氧化釔層被蝕刻掉前所存在之密封膠之附加的電阻消除,則可能預期一突然改變的斜率。因此,RA曲線的形狀表明了密封膠有效貫穿整個氧化釔層而到達氧化釔/鋁介面。
吾人更可預期到,密封膠的壽命在正常使用下會比圖5中顯示的數據來的長,因為在使用時一聚合沉積物(polymeric deposit)常會預期附著在腔室內部表面上。因氧電漿亦與該聚合沉積物反應,該聚合沉積物存在的預期效果係使密封膠減少曝露在氧電漿中。
在一較佳實施例中,使用密封膠不會或很少造成製程變動(process shift),亦即,在使用密封膠與不使用密封膠的情況下的製程成功指標(success metrics)之間察覺不到差異。本發明提供數個示範性製程的指標測試。在一個此種測試中,頂部表面有一氧化層的半導體晶圓在一電漿處理腔室中進行蝕刻,一情況下腔室內的RF介電窗以前述型式之密封膠予以密封,另一情況下介電窗未密封。於前述兩情況下,測量介電質蝕刻速率的數據。在一腔室進行的製程比較中,於製程在具有未密封之介電窗的腔室內進行的情況,蝕刻完成後,該介電質剩下的最終厚度為555Å且3 σ厚度變化為 31.0%;而於製程在具有密封之介電窗的腔室內進行的情況,蝕刻完成後,該介電質剩下的最終厚度為521Å且3 σ厚度變化為31.8%。於光阻蝕刻的比較中,在腔室內之製程使用未密封之介電窗的情況,在灰化後,該光阻剩下的最終厚度為2049Å且3 σ厚度變化為10.6%;而於腔室內之製程使用有密封之介電窗的情況,在灰化後,該光阻剩下的最終厚度為2030Å且3 σ厚度變化為10.9%。這些結果顯示並未發生僅直接歸因於密封膠之作為改變來源的製程變動。
由於腔室內密封膠的存在而將雜質帶入製程,亦未吾人所預期者。HL126雜質濃度的測量,係利用電感耦合式電漿質譜(ICP-MS,inductively coupled plasma mass spectrometry)來執行。HL126的測量雜質如下表。
此雜質程度並不預期會導致偵測到含有密封元件之腔室內正在進行電漿處理的晶圓或基板上的雜質程度,顯示並未發生僅直接歸因於密封膠之作為改變來源的製程變動。
另一製程成功指標是微粒(particle)產生程度的測量。使用一設計成 用來比較電漿處理期間所產生微粒的處理配方來監視電漿室內使用有密封膠之元件所導致引入的微粒。微粒配方包括使用Cl2、HBr及N2之處理氣體,以及為期20秒施加在空白晶圓的夾盤溫度45℃。藉使用Tencor SP-1未圖型化表面微粒監視工具(unpatterned surface particle monitoring tool)(美國加州聖荷西之KLA-Tencor公司(KLA-Tencor,San Jose,CA))在0.12μm的偵測限度下測量晶圓在製程前後的微粒計數來確定製程中之外來微粒(particle adders)。
該製程在電漿處理腔室中進行,一情況為腔室中RF介電窗以前述該型密封膠密封,而另一情況則介電窗未密封。以一標準介電窗為例,所測量出的外來微粒數量為35,而在有密封介電窗的情況下,外來微粒數量為48。此測量之外來微粒的差異不認為是具有影響性的,如此提供證據來支持兩個情況間有相同製程績效的主張。這些結果顯示了並未發生僅直接歸因於密封膠之作為改變來源的製程變動。
雖然本發明已參考特定實施例而詳述,熟悉本技藝人士當可明白在不離開隨附之申請專利範圍之範疇下可進行各種改變與修改。
21‧‧‧氧化釔層
22‧‧‧氧化鋁層
23‧‧‧氧化釔層
24‧‧‧YAG中間層
25‧‧‧氧化鋁層

Claims (8)

  1. 一種電漿處理腔室之元件的裝設方法,包含從該處理腔室內移除一元件且將其替換成由包含下列步驟之方法所製成之一元件:a. 形成一雙層生坏,其方式係藉由:i. 把一第一層與一第二層按壓在一起;ii. 把該按壓在一起的二層切削加工至希望的尺寸;其中,該第一層包含氧化鋁粒子且該第二層包含氧化釔粒子;及b. 共同燒結該雙層生坏,其中該第一層與該第二層在該燒結製程期間係緊密接觸;以便形成一三層元件,該三層元件包括:一氧化鋁外層、鄰接於該氧化鋁外層且包含氧化釔與氧化鋁之固態溶液的一中間層、及鄰接於該中間層的一氧化釔第二外層,其中將該元件裝設成使得在操作該處理腔室以便產生一電漿環境之際,該氧化釔的第二外層具有至少一表面曝露於該電漿環境中,且其中該三層元件相較於熱噴敷氧化釔塗層之下,對剝離具有改善的阻抗性。
  2. 如申請專利範圍第1項之電漿處理腔室之元件的裝設方法,其中該電漿處理腔室係一導體蝕刻室。
  3. 一種電漿處理半導體基板的方法,包含在申請專利範圍第1項之電漿處理腔室中處理該基板。
  4. 一種電漿處理腔室之氧化釔電漿噴塗元件的密封方法,該方法包含:a)於該元件所有氧化釔層的表面上施加一室溫下黏度為少於50cP的液態厭氧型密封膠;b)濕式清洗該元件;c)在一N2環境中以一至少為150℃的溫度硬化該已濕式清洗之元件超過2小時;及d)藉由重複使用與a)至c)相同之步驟,對該已硬化基板施加一第二層液態厭氧型密封膠。
  5. 如申請專利範圍第4項之電漿處理腔室之氧化釔電漿噴塗元件的密封方法,其中該密封膠含有四伸乙二醇二甲基丙烯酸酯(tetraethylene glycol dimethacrylate)、甲基丙烯酸2-羥乙酯(2-hydroxyethyl methacrylate)、糖精(saccharin)、異丙苯過氧化氫(cumene hydroperoxide)及甲基丙烯酸(methacrylic acid)。
  6. 如申請專利範圍第4項之電漿處理腔室之氧化釔電漿噴塗元件的密封方法,其中每個已密封氧化釔電漿噴塗層的電阻率大於每個相對之未密封層的電阻率的十倍。
  7. 如申請專利範圍第4項之電漿處理腔室之氧化釔電漿噴塗元件的密封方法,其中該密封膠有效地貫穿過整個氧化釔層。
  8. 一種電漿處理方法,包含在一電漿處理腔室中處理一半導體基板,該電漿處理腔室包含由申請專利範圍第4項之電漿處理腔室之氧化釔電漿噴塗元件的密封方法所密封之一氧化釔電漿噴塗元件。
TW102135915A 2007-01-11 2008-01-07 用作爲電漿室材料之釔氧化物的使用期間之加長 TWI542731B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/652,048 US8097105B2 (en) 2007-01-11 2007-01-11 Extending lifetime of yttrium oxide as a plasma chamber material

Publications (2)

Publication Number Publication Date
TW201402864A TW201402864A (zh) 2014-01-16
TWI542731B true TWI542731B (zh) 2016-07-21

Family

ID=39617144

Family Applications (2)

Application Number Title Priority Date Filing Date
TW097100617A TWI414634B (zh) 2007-01-11 2008-01-07 用作為電漿室材料之釔氧化物的使用期間之加長
TW102135915A TWI542731B (zh) 2007-01-11 2008-01-07 用作爲電漿室材料之釔氧化物的使用期間之加長

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW097100617A TWI414634B (zh) 2007-01-11 2008-01-07 用作為電漿室材料之釔氧化物的使用期間之加長

Country Status (7)

Country Link
US (2) US8097105B2 (zh)
JP (1) JP5363992B2 (zh)
KR (3) KR101432858B1 (zh)
CN (2) CN102005352B (zh)
SG (3) SG10201608716QA (zh)
TW (2) TWI414634B (zh)
WO (1) WO2008088670A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800950B (zh) * 2020-10-15 2023-05-01 美商賀利氏科納米北美有限責任公司 多層燒結陶瓷體及製造方法

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
KR20100101641A (ko) * 2007-12-20 2010-09-17 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 정전 척 및 형성 방법
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
FR2944293B1 (fr) * 2009-04-10 2012-05-18 Saint Gobain Coating Solutions Procede d'elaboration par projection thermique d'une cible
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
US8430970B2 (en) * 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
TWI470692B (zh) * 2011-12-28 2015-01-21 Ares Green Technology Corp 蝕刻機反應腔體之清洗方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10978334B2 (en) * 2014-09-02 2021-04-13 Applied Materials, Inc. Sealing structure for workpiece to substrate bonding in a processing chamber
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108463345B (zh) * 2015-11-16 2021-04-09 阔斯泰公司 耐腐蚀组件和制造方法
CN105603352B (zh) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法
CN108885979B (zh) 2016-03-11 2024-04-09 应用材料公司 作为铝半导体处理设备的阻挡层的铝电镀和氧化物形成
KR102210971B1 (ko) * 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 상에 이트륨 산화물을 형성하기 위한 방법
TWI803462B (zh) * 2016-05-06 2023-06-01 美商康寧公司 物件形成方法
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107958835A (zh) * 2016-10-14 2018-04-24 上海新昇半导体科技有限公司 一种半导体晶圆的抛光方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018093414A1 (en) * 2016-11-16 2018-05-24 Coorstek, Inc. Corrosion-resistant components and methods of making
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20210008931A (ko) * 2018-06-14 2021-01-25 어플라이드 머티어리얼스, 인코포레이티드 보호 코팅을 갖는 프로세스 챔버 프로세스 키트
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11019715B2 (en) * 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220204410A1 (en) * 2019-04-05 2022-06-30 Heraeus Conamic North America Llc Controlled porosity yttrium oxide for etch applications
CN113644110A (zh) * 2020-05-11 2021-11-12 北京华碳元芯电子科技有限责任公司 晶体管及其制备方法
CN114180960B (zh) * 2020-09-15 2023-04-11 Oppo广东移动通信有限公司 壳体及其制备方法和电子设备
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
WO2022133180A1 (en) * 2020-12-18 2022-06-23 Heraeus Conamic North America Llc Multilayer sintered ceramic body
CN116867752A (zh) * 2020-12-18 2023-10-10 贺利氏科纳米北美有限责任公司 多层烧结陶瓷体
EP4032701A1 (en) * 2021-01-21 2022-07-27 Heraeus Conamic North America LLC Multilayer sintered ceramic body
CN114015967A (zh) * 2021-11-09 2022-02-08 重庆臻宝实业有限公司 一种低孔隙率氧化钇涂层的制备方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314850A (en) * 1985-10-31 1994-05-24 Kyocera Corporation Aluminum nitride sintered body and production thereof
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3320947B2 (ja) * 1995-05-26 2002-09-03 日本碍子株式会社 微細貫通孔を有するセラミック部材
DE19605858A1 (de) * 1996-02-16 1997-08-21 Claussen Nils Verfahren zur Herstellung von Al¶2¶O¶3¶-Aluminid-Composites, deren Ausführung und Verwendung
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JPH10236871A (ja) * 1997-02-26 1998-09-08 Kyocera Corp 耐プラズマ部材
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JPH11111828A (ja) * 1997-09-30 1999-04-23 Shin Etsu Chem Co Ltd 静電吸着装置
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP2001028502A (ja) * 1999-07-15 2001-01-30 Nihon Ceratec Co Ltd 高周波またはマイクロ波透過窓
KR100767395B1 (ko) * 1999-10-12 2007-10-17 토토 가부시키가이샤 복합 구조물
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP3967093B2 (ja) 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6789498B2 (en) * 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6754062B2 (en) * 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
JP2005026593A (ja) * 2003-05-08 2005-01-27 Ngk Insulators Ltd セラミック製品、耐蝕性部材およびセラミック製品の製造方法
JP4104627B2 (ja) * 2003-06-20 2008-06-18 日本碍子株式会社 プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置
US20050161061A1 (en) 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
CN1288108C (zh) * 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
CN1690254B (zh) * 2004-04-13 2013-03-13 应用材料有限公司 具有含电镀钇涂层的制程腔室构件
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US8192888B2 (en) * 2005-04-19 2012-06-05 Nextech Materials, Ltd. Two layer electrolyte supported fuel cell stack
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800950B (zh) * 2020-10-15 2023-05-01 美商賀利氏科納米北美有限責任公司 多層燒結陶瓷體及製造方法

Also Published As

Publication number Publication date
TW201402864A (zh) 2014-01-16
KR101482505B1 (ko) 2015-01-13
US8097105B2 (en) 2012-01-17
CN101589455B (zh) 2012-06-20
US20120144640A1 (en) 2012-06-14
CN102005352A (zh) 2011-04-06
SG177952A1 (en) 2012-02-28
US20080169588A1 (en) 2008-07-17
JP5363992B2 (ja) 2013-12-11
SG10201608716QA (en) 2016-12-29
KR20140032012A (ko) 2014-03-13
KR20140032011A (ko) 2014-03-13
SG10201405496YA (en) 2014-10-30
JP2010515827A (ja) 2010-05-13
KR20090101304A (ko) 2009-09-24
TWI414634B (zh) 2013-11-11
WO2008088670A1 (en) 2008-07-24
CN102005352B (zh) 2013-10-02
US8585844B2 (en) 2013-11-19
KR101432858B1 (ko) 2014-08-26
KR101482504B1 (ko) 2015-01-13
CN101589455A (zh) 2009-11-25
TW200846496A (en) 2008-12-01

Similar Documents

Publication Publication Date Title
TWI542731B (zh) 用作爲電漿室材料之釔氧化物的使用期間之加長
KR102501039B1 (ko) 이트륨 플루오라이드 분무된 코팅, 이를 위한 분무 물질, 및 분무된 코팅을 포함하는 내식성 코팅
CN108884546B (zh) 具有耐氯和氟等离子体侵蚀性的涂覆的半导体加工构件及其复合氧化物涂层
CN107592941B (zh) 耐等离子体涂膜及其形成方法
KR101491437B1 (ko) 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
KR102067108B1 (ko) 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리
US20070215278A1 (en) Plasma etching apparatus and method for forming inner wall of plasma processing chamber
CN102513314B (zh) 具有氧化钇包覆层的工件的污染物的处理方法
CN213845216U (zh) 半导体零部件和等离子体处理装置
JP4544425B2 (ja) 希土類金属部材の製造方法
WO2005029553A2 (en) Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
KR100727672B1 (ko) 플라즈마 에칭 장치용 부재 및 그 제조 방법
JP3971539B2 (ja) アルミナ質プラズマ耐食部材
TW202238658A (zh) 半導體零部件、其形成方法和等離子體處理裝置