KR101432858B1 - 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장 - Google Patents

플라즈마 챔버 재료로서의 산화이트륨의 수명 연장 Download PDF

Info

Publication number
KR101432858B1
KR101432858B1 KR1020097016646A KR20097016646A KR101432858B1 KR 101432858 B1 KR101432858 B1 KR 101432858B1 KR 1020097016646 A KR1020097016646 A KR 1020097016646A KR 20097016646 A KR20097016646 A KR 20097016646A KR 101432858 B1 KR101432858 B1 KR 101432858B1
Authority
KR
South Korea
Prior art keywords
layer
yttrium oxide
component
alumina
plasma
Prior art date
Application number
KR1020097016646A
Other languages
English (en)
Other versions
KR20090101304A (ko
Inventor
홍 시
듀안 아웃카
선? 류
존 도거티
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090101304A publication Critical patent/KR20090101304A/ko
Application granted granted Critical
Publication of KR101432858B1 publication Critical patent/KR101432858B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/24Manufacture or joining of vessels, leading-in conductors or bases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

플라즈마 챔버 재료로서의 산화이트륨의 수명을 연장하는 2 개의 방법이 제공된다. 제 1 방법은, 제 1 층은 세라믹 입자들을 포함하고 제 2 층은 산화이트륨 입자들을 포함하는 2 층 그린 바디를 동시 소결함으로써 플라즈마 처리 챔버의 3 층 컴포넌트를 제조하는 단계를 포함한다. 2 개의 층들은 소결 프로세스 동안에 밀접된다. 바람직한 실시형태에서, 3 층 컴포넌트는 산화이트륨 외부층, YAG 중간층 및 알루미나 제 2 외부층을 포함한다. 옵션으로, 디스크들이 소결 프로세스 동안에 함께 프레스된다. 3 층 컴포넌트 결과물은 공극률이 매우 낮다. 바람직하게는, 산화이트륨 외부층, YAG 중간층 및 알루미나 제 2 외부층 중 어떠한 것도 공극률이 3 % 미만이다. 제 2 방법은 컴포넌트의 모든 산화이트륨 표면들에 밀봉제를 브러쉬함으로써 컴포넌트에 50 cP 미만의 실온 점도를 갖는 액체 무산소성 밀봉제를 도포하는 단계, 컴포넌트를 습윤 세정하는 단계, 습윤 세정된 컴포넌트를 N2 환경에서 적어도 150℃ 온도로 2 시간 이상 경화하는 단계 및 제 1 코팅을 도포하는데 사용된 프로시저를 반복하여 경화된 기판에 제 2 밀봉제 코팅을 도포하는 단계를 포함한다.
이트륨, 산화이트륨, YAG, 알루미나, 동시 소결, 3 층, 밀봉제

Description

플라즈마 챔버 재료로서의 산화이트륨의 수명 연장{EXTENDING LIFETIME OF YTTRIUM OXIDE AS A PLASMA CHAMBER MATERIAL}
배 경
반도체 재료 처리 분야에서, 플라즈마 에칭, 플라즈마 화학 증기 증착 (PECVD) 및 레지스트 스트립과 같은 플라즈마의 사용을 수반하는 어플리케이션들을 비롯한, 반도체 처리 어플리케이션들에 대한 다양한 종류의 장비가 존재한다. 이러한 프로세스들에 요구되는 장비의 종류는 플라즈마 챔버 내부에서 사용되며 그러한 환경에서 작동해야만 하는 컴포넌트들을 포함한다. 플라즈마 챔버 내의 환경은 플라즈마에 대한 노출, 에칭 가스들에 대한 노출 및 열 순환을 포함할 수도 있다. 그러한 처리 챔버들에서의 프로세스 가스들과 플라즈마의 부식 특성과 챔버들에서 처리되는 기판의 입자 및/또는 메탈 오염을 최소화하려는 요구 때문에, 그러한 장비의 플라즈마 노출 컴포넌트들이 그러한 가스들 및 플라즈마에 대해 침식 및 부식 내성을 가지는 것이 바람직하다. 일부 프로세스 챔버 환경에서, 예를 들어, 할로겐 함유 고밀도 플라즈마 에칭 챔버 환경에서, 조건들이 고도로 공격적이어서, 챔버 벽, 라이너, 프로세스 키트, 및 유전체 창을 비롯한 다양한 챔버 컴포넌트들의 부식을 야기한다. 특히, 이는 유전체 에칭 프로세스와 관련하여 자주 사용되는 고밀도 플루오르 함유 플라즈마 에칭 환경에서 특히 그러하다.
요 약
플라즈마 처리 챔버의 3 층 컴포넌트를 제조하는 방법이 제공된다. 이러한 방법은 2 층 그린 바디를 동시 소결하는 단계를 포함하며, 제 1 층은 알루미나 입자들을 포함하고, 제 2 층은 산화이트륨 입자들을 포함한다. 2 개의 층들은 소결 프로세스 동안에 밀접된다. 바람직한 실시형태에서, 3 층 컴포넌트는 산화이트륨 외부층, YAG 중간층 및 알루미나 제 2 외부층을 포함한다. 옵션으로, 2 개의 층들은 소결 프로세스 동안에 함께 프레스된다.
결과로서 생성된 3 층 컴포넌트는 공극률이 매우 낮다. 바람직하게는, 산화이트륨 외부층, YAG 중간층 및 알루미나 제 2 외부층 중 어떠한 것도 공극률이 3 % 미만이다. 실시형태들에서, 컴포넌트는 유전체 창, 챔버 벽, 챔버 라이너, 기판 지지체, 배플, 가스 분배 플레이트, 플라즈마 컨파인먼트 링, 노즐, 패스너, 가열 엘리멘트, 플라즈마 포커스 링 또는 척이다.
또한, 플라즈마 처리 챔버의 산화이트륨 플라즈마 스프레이 코팅된 컴포넌트를 밀봉하는 방법이 제공된다. 이러한 방법은 컴포넌트의 모든 산화이트륨 표면들에 밀봉제를 브러쉬함으로써 컴포넌트에 50 cP 미만의 실온 점도를 갖는 액체 무산소성 밀봉제를 도포하는 단계, 컴포넌트를 습윤 세정하는 단계, 습윤 세정된 컴포넌트를 N2 환경에서 적어도 150℃ 온도로 2 시간 이상 경화하는 단계 및 제 1 코팅을 도포하는데 사용된 프로시저를 반복하여 경화된 기판에 제 2 밀봉제 코팅을 도포하는 단계를 포함한다.
도면의 간단한 설명
도 1 은 산화이트륨 코팅들과 같은 열 스프레이 코팅들을 가지는 컴포넌트들을 포함할 수 있는 예시적인 플라즈마 반응기인 Versys 2300™ 의 개략을 도시한다.
도 2a 는 소결 단계 이전의 알루미나 및 산화이트륨의 합성물을 도시하며, 도 2b 는 소결 단계 이후의 합성물을 도시한다.
도 3 은 동시 소결된 TCP 창의 개략적인 구성을 도시한다.
도 4 는 산화이트륨 표면을 묽은 HCl 용액에 노출시키는 전기 테스트 장치의 개략적인 구성을 도시한다.
도 5 는 수 개의 산화이트륨 코팅된 알루미늄 쿠폰들에 대한 HCl 에칭 시간의 함수로서, 측정된 저항과 노출 면적의 곱의 그래프를 도시한다.
상세한 설명
실리콘 웨이퍼와 같은 반도체 기판들에 대한 플라즈마 처리 장치들은 반도체, 금속류 및 유전체와 같은 재료들을 에칭하기 위해 반도체 디바이스 제조 프로세스들에서 사용되는 플라즈마 에칭 챔버들을 포함한다. 예를 들어, 컨덕터 에칭 챔버는 알루미늄 또는 실리콘과 같은 재료들을 에칭하는데 사용될 수도 있다. 컨덕터 에칭 프로세스들에서 사용되는 대표적인 가스들은, 플라즈마 챔버 컴포넌트들에 대해 부식 효과를 가질 수 있는 CF4 및 SF6 를 포함한다. 플라즈마 에칭 장비에 있어서 산화이트륨 (Y2O3) 의 진보한 특성들과 탁월한 성능 때문에, 산화이트륨은 반도체 에칭 장비에서 표면 코팅으로 널리 사용되어 왔다. 산화이트륨 코팅을 가지는 플라즈마 챔버 컴포넌트들은 Cl-기반 고밀도 플라즈마 및 F-기반 고밀도 플라즈마 양쪽 모두에 대해서 증명된, 향상된 플라즈마 내성을 보여왔다. 메탈 에칭 어플리케이션들에 대해서, 산화이트륨 코팅된 표면은 플라즈마 환경에서 고순도 세라믹 알루미나만의 표면보다 10 배의 내구성을 가짐을 반복하여 보여왔다. 폴리 에칭 어플리케이션에서, 산화이트륨은 비소모성 챔버 재료로 사용될 수 있다. 또한, 산화이트륨의 사용은 컨덕터 에칭 어플리케이션들에 있어서 잠재적인 입자 소스인 AlF3 의 형성을 방지한다.
챔버 재료로 사용되는 산화이트륨은 챔버 라이너 및 유전체 창과 같은 양극 처리된 (anodized) 알루미늄 또는 고순도 알루미나 컴포넌트들 중 어느 하나에 플라즈마 스프레이 코팅으로 도포될 수 있다. 산화이트륨 코팅과 같은 열 스프레이 코팅을 가지는 컴포넌트들을 포함하는 예시적인 플라즈마 반응기는 램 리써치 코포레이션 (캘리포니아주 프레몬트) 으로부터 입수가능한 Versys 2300™ 에칭기 이며, 도 1 에 개략적으로 도시된다. 반응기는, 정전기 척 (102) 을 포함하는 기판 지지체 (101) 를 포함하는 반응기 챔버 (100) 를 포함하며, 정전기 척 (102) 은 그 위에 탑재되는 반도체 웨이퍼와 같은 기판 (도시되지 않음) 에 클램핑력 을 공급한다. 기판 지지체 (101) 는 대체로 양극 처리된 알루미늄으로 제조되며, 또한, 기판에 RF 바이어스를 적용하기 위해 사용될 수 있다. 또한, 기판은 헬륨과 같은 열 순환 가스를 사용하여 다시 냉각될 수 있다. 프로세스 가스들은, 챔버 (100) 상부에 위치하며 가스 공급 (105) 에 연결되는 가스 주입기 (104) 를 통하여 챔버 (100) 로 도입된다. 가스 주입기 (104) 는 대체로 석영 또는 알루미나와 같은 세라믹 재료로 제조된다. 도시한 것과 같이, 유도 코일 (106) 은 고밀도 플라즈마를 공급하기 위해 적합한 RF 소스 (도시되지 않음) 에 의해서 전력을 공급받을 수 있다. 유도 코일 (106) 은 유전체 창 (107) 을 통하여 챔버 (100) 내부로 RF 에너지를 커플링시킨다. 창 (107) 은 대체로 석영이나 알루미나와 같은 유전체 재료로 제조된다. 창 (107) 은 고리형 부재 (108) 상에 탑재된 것으로 도시되며, 대체로 양극 처리된 알루미늄으로 제조된다. 고리형 부재 (108) 는 챔버 (100) 상부로부터 창 (107) 을 구분한다. 대체로 양극 처리된 알루미늄으로 제조되는 배플 스크린 (109) 은 기판 지지체 (101) 를 둘러싼다. 또한, 챔버 (100) 는 챔버 내부를 바람직한 압력으로 유지하기 위해 적합한 진공 펌핑 장치 (도시되지 않음) 를 포함할 수 있다.
도 1 에서, 고리형 부재 (108), 유전체 창 (107), 기판 지지체 (101), 챔버 라이너 (109), 가스 주입기 (104) 및 정전 척 (102) 과 같은 반응기 컴포넌트들의 선택된 내부 표면들은 산화이트륨 코팅 (110) 과 같은 열 스프레이 코팅으로 코팅되는 것으로 도시된다. 또한, 도 1 에서 도시되듯이, 챔버 (100) 의 선택된 내부 표면 및 챔버 라이너 (109) 아래의 기판 지지체 (101) 는 산화이트륨 코팅 (110) 과 같은 열 스프레이 코팅을 제공받을 수 있다. 기타 임의의 반응기 내부 표면뿐만 아니라, 이러한 표면들 중 어느 하나 또는 모두에 산화이트륨 코팅과 같은 열 스프레이 코팅이 공급될 수 있다.
그러나, 열 스프레이 산화이트륨 코팅이 대개 약 5 % 의 낮은 공극률을 가지 고, 플라즈마의 영향으로부터 컴포넌트의 기초 재료를 보호할 수 있으나, 그러한 코팅은 특정 반응물의 침투를 방지하는데 충분한 일체성이 부족할 수 있다. 이는 모든 열 스프레이 코팅의 공통적인 특징이, 저온 표면을 고속으로 가격함으로써 평평해지는 작은 액체 방울들의 급속한 응고로부터 기인하는 렌즈 모양 또는 판상의 입자 구조이기 때문이다. 이러한 것이 기계적 연결 및 확산 결합이 발생하는 실질적으로 강력한 커버링을 생성하나, 또한, 이는 산화이트륨 코팅 내부에서 작은 틈새들, 기공들, 극소 파괴 (micro-fracture) 및 불완전 결합 영역을 생성할 수 있다. 예를 들어, 불충분한 산화이트륨 코팅은 15 % 의 개방 용적 (open volume) 을 가질 수도 있다. 이는 화학 물질들이 양극 처리된 알루미늄 기판으로 침투하는 것을 잠재적으로 허용할 수 있다는 의미이다.
플라즈마 스프레이 코팅된 산화이트륨은 플라즈마 챔버에서의 사용 이후에 양극 처리된 표면 및 세라믹 표면 양쪽으로부터 박리되는 것으로 관찰되었다. 이러한 실패는 개선된 챔버 재료로서의 산화이트륨의 수명을 감소시키고 비용에 있어서 증가에 기여하였다. 이론에 의해 제약되기를 바라지 않으며, 산화이트륨 코팅 실패의 4 개의 가능한 근본 원인들을 조사하였다. 이러한 모델들은 단지 설명을 목적으로 하는 것이며, 추가적인 모델들이 존재할 수도 있다는 것이 이해되어야 한다.
1. 알루미늄 에칭 챔버들에서 사용되는 것들과 같은 컴포넌트들 또는 양극 처리된 층들을 가지는 컴포넌트들에 대해서, 사용된 에칭 컴포넌트들에서 관찰될 수 있는 부산물들이 대기 중의 습기와 반응하여 산을 형성할 수 있다. 예를 들 어, 금속 에칭 부산물인 AlCl3 는 H2O 와 반응하여 염산 (HCl) 을 형성한다. 가능한 반응은 다음과 같다.
Figure 112009048626188-pct00001
산화이트륨은 부피에 대해 약 5 % 의 공극률을 가질 수 있으므로, 양극 처리된 기판 또는 세라믹 기판의 경우 각각에 있어서, 형성된 HCl 은 기공을 통하여 산화이트륨 층으로 침투하여 산화이트륨/양극 처리 층 경계면 또는 산화이트륨/세라믹 경계면에 도달할 수 있다. HCl 은 경계면에서 산화이트륨을 공격하여 결합 강도를 감소시킬 것이다.
양극 처리된 알루미늄 기판의 경우, HCl 은, 또한, 양극 처리된 층에서 극소 균열을 통하여 알루미늄에 도달할 수 있어, 산화이트륨 코팅의 층간 박리 (delamination) 의 잠재적인 원인인 수소 (H2) 가스를 생성하는 화학 반응을 일으킬 수 있다. 가능한 반응은 다음과 같다.
Figure 112009048626188-pct00002
또한, 생성된 염화 알루미늄은 다시 습기와 반응하여 추가적인 염산을 형성할 수 있어, 프로세스를 다시 시작할 수도 있다. 충분한 수소 가스가 양극 처리된 알루미늄 층 하부에 생성되면, 가스 주머니가 형성된다. 충분한 압력이 형성되는 경우, 실질적인 손상이 가스 주머니 위의 층들에 가해질 수 있다. 즉, 기포가 형성되어 궁극적으로는 양극 처리된 알루미늄 및 산화이트륨 층들의 분리 또는 박 리를 야기할 수도 있다. 산화이트륨 표면상에 HCl 의 형성을 방지하는 프로시저는 발명의 명칭이 "Extending Storage Time Of Removed Plasma Chamber Components Prior To Cleaning Thereof" 인 2005 년 9 월 30 일자 출원된, 공동 소유의 미국 출원 제 11/239,396 호에 기재되어 있으며, 본 출원에 그 전체가 참조로서 포함된다.
2. 산화이트륨 코팅된 부분의 정밀 습윤 세정 이후에, 산화 이트륨 코팅이 완전히 베이크되지 않으면, 불완전한 수분 제거가 발생할 수 있다. 이런 경우, 이후의 금속 에칭 프로세스 동안에, Cl2 는 잔여 수분과 반응하여 HCl 을 형성할 수 있다. 이러한 반응은 코팅 하에 열과 HCl 을 생성하고, 산화이트륨 코팅된 표면에 다수의 작은 기포들 및 층간 박리를 생성할 수 있다.
3. 플라즈마 스프레이 코팅은 목적 표면과 물리적 결합을 일으킬 수 있다. 비드 블래스팅은 목적 양극 처리 표면 또는 목적 세라믹 표면을 거칠게 하는데 사용되며, 산화이트륨 코팅과 기판 간의 결합력을 향상시킨다. 또한, 플라즈마 스프레이 코팅 이전의 목적 기판의 표면 청결도뿐만 아니라 고르지 못한 품질 관리로 인한 비드 블래스팅 프로세스에서의 변화들은 결합 강도에 영향을 미칠 수 있다. 그러므로, 컴포넌트 제조의 품질 관리는 산화이트륨 플라즈마 스프레이 코팅의 수명에 영향을 미칠 수 있다.
4. 습윤 세정 단계 동안에, 고도의 초음파 전력 밀도 또는 고압 수세정의 사용은 박리를 촉진할 수 있다. 또한, 습윤 세정 이후, 고압 에어 스트림 또는 광범위한 산 와이핑과 같이 컴포넌트 표면을 건조하는 기술들이 산화이트륨 코팅의 박리를 야기할 수 있다.
메커니즘에 상관없이, 표면층의 박리는 에칭 부산물의 존재에서 관찰되었다. 플라즈마 처리 챔버에서 산화이트륨 코팅된 컴포넌트들의 사용과 연관된 상술한 불리함의 견지에서, 상이한, 더욱 적합한 재료들을 포함하는 컴포넌트들을 개발하기 위해 추가적인 연구들이 수행되었다. 이러한 연구들의 결과로서, 대체 제조 기술들을 포함하는 컴포넌트들이 상술한 불리함 없이 사용될 수 있다는 것이 밝혀졌다. 바람직한 실시형태에 따르면, 동시 소결된 알루미나와 산화이트륨을 포함하는 컴포넌트들은 산화이트륨 보호층의 박리에 대해 우수한 보호를 제공한다.
산화이트륨은 그 자체로 낮은 기계적 강도를 가진다. 예를 들어, 산화이트륨은 140 MPa 의 굽힘 강도와 1.2 MPa m1/ 2 의 파괴 강도를 가지며, 이러한 2 개의 수치는 유전체 창의 제조에 대해서 불충분한 것으로 여겨질 것이다. 얼마간의 소결된 고순도 산화이트륨이 엣지 링, 포커스 링 및 라이너와 같은 챔버 컴포넌트들로의 고체 재료로 제조되었으나, 그러한 컴포넌트들은 기대 만큼 강하지 않을 수도 있으며, 비용이 많이 들 수 있다. 이점으로, 고순도 산화이트륨의 박층은 상술한 산화이트륨에 의해 제공되는 이점을 제공할 수 있다. 산화이트륨에 대해 바람직한 기계적 특성을 가지는 고순도 알루미나 창에 산화이트륨 층이 도포될 수도 있다. 예를 들어, 알루미나는 350 MPa의 굽힘 강도와 4.5 MPa m1/2의 파괴 강도를 가지며, 이는 산화이트륨의 대응하는 수치들에 비해 명목상 2.5 배 및 3.75 배이다. 바람직한 실시형태에 따르면, RF 커플링을 위한 유전체 창의 기초 재료로서 고순도 (99.7 %) 알루미나의 사용은 유전체 창의 적절한 기계적 특성의 요건을 충족시킨다.
이하의 상세한 실시예는 도면부호 107 과 같은 유전체 창 컴포넌트의 제조에 적용되는 동시 소결 프로세스를 기재한다. 이는 배제보다는 설명으로 의도된다.
실시예 1: 바람직한 실시형태를 따른 세라믹 창 제조는 이하의 단계들을 포함한다.
알루미나 분말은 고분자 결합제와 함께 머신 혼합되어 그린 바디를 형성한다. 산화이트륨 분말 및 결합제가 유사하게 혼합되어 제 2 그린 바디를 형성한다. 각각의 바디는 매끄러운 표면과 적어도 소망하는 최종 직경을 가지는 평면 디스크로 형성된다. 그러고 나서, 디스크들은 20 mm 내지 40 mm 두께의 고순도 알루미나와 1 mm 내지 9 mm 두께의 고순도 산화이트륨의 2층 구조로 형성된다. 예시적인 실시형태에서, 고순도 알루미나는 약 33 ㎜의 두께를 갖고, 고순도 산화이트륨은 약 5 mm의 두께를 갖는다. 이러한 2 층 구조는 그린 바디 가공을 준비하는 형성 단계의 부분으로 함께 프레스된다. 이 구조는 디스크들의 중심축을 따라 함께 등방 (isostatic) 또는 무방향으로 함께 프레스될 수도 있다. 이러한 실시예에서, 세라믹 창의 전체 두께는 유전체 창의 바람직한 목적 두께인 약 1.5 인치이다.
그러고 나서, 2 층 구조는 약 100 - 200 ℃ 의 온도에서 고온 플레이트와 같은 건조 프로세스를 사용하여 건조되며, 여전히 그린 바디이다. 이러한 시점에서, 압력이 제거되고, 그린 바디가 가공되어 바람직한 형태를 형성할 수 있다. 그러고 나서, 바디는, 대기 환경에서, 킬른 내에서 어닐링된다. 대안으로, H2 또는 N2 와 같은 어닐링 가스가 대기 대신에 사용될 수 있다. 세라믹 재료들의 비교적 낮은 열 충격 내성 때문에, 느린 온도 상승이 사용된다. 약 1600 ℃ 의 소결 온도를 달성하기 위해 적어도 약 하루 종일 (24시간) 의 상승 시간이 사용될 수 있다. 느린 온도 하강이 실행되기 전에, 소결 온도가 적어도 약 5 일 (120 시간) 동안 유지된다. 하강 시간은, 바람직하게는, 상승 시간과 유사하여, 적어도 약 하루 (24시간) 이다.
또한, 옵션으로, 더욱 일관된 프로세스 결과를 촉진하기 위해 등방 또는 무방향의 압력이 소결 프로세스 동안에 적용될 수 있다. 이런 경우, 소결 프로세스는 때때로 열간 등방 압력 (Hot Isostatic Pressure, HIP) 의 약어인 "HIP" 프로세스도 지칭된다.
어닐링 이후, 소망하는 표면 마감을 달성하기 위해서 바디는 기계적으로 연마 및/또는 랩될 수 있다. 또한, 치수들에 대한 점검을 따라, 크랙, 마모 또는 애스퍼리티에 대한 점검을 수행하는 검사가 수행된다. 이러한 검사 후에, 바디는 클래스 100 클린룸으로 옮겨져 금속 오염으로부터 세정된다. 세정 프로시저는 다음의 순서로 요약된다.
1. 5 분간 탈이온수 (DI water) 린스
2. 부품을 클린룸 와이프로 와이핑
3. 부품을 필터링된 청정건조공기 (clean dry air, CDA) 로 송풍 건조
4. 20-30 분간 이소프로필 알콜로 와이핑
5. 부품을 아세톤에 10 분간 담그고, 이후 와이핑 및 탈이온수 린스
6. 부품을 필터링된 CDA 로 송풍 건조
7. 부품을 실온에서 30분간 암모니아 (29 %), 과산화수소 (30 %), 일정 부피 비율 (1:1:2 내지 1:1:5) 의 물의 용액에 배치
8. 5 분간 탈이온수 린스
9. 부품을 질산, HF, 일정 부피 비율 (1:1:10) 의 물의 용액에 배치
10. 2 분간 표면 와이핑
11. 5-10 분간 탈이온수 린스
12. 실온에서 1 시간 동안 탈염 초음파
13. 필터링된 CDA 를 사용하여 송풍 건조
14. 120 ℃ 에서 2 시간 동안 오븐에서 베이크
오븐 베이크 이후, 예를 들어, 캘리포니아주 헤이워드의 펜타곤 테크놀러지의 QIII+ Surface Particle Detector 와 같은 검사 툴을 사용하여, 표면 입자들에 대해 바디를 검사한다. 3 미크론 입자 탐색으로 임계치 설정을 사용하면, 최종 도수가 바람직하게는 0 이거나, 입자 3 개 이하의 수치이다. 그러고 나서, 바디는 챔버 컴포넌트로 사용할 수 있으며, 여전히 클래스 100 클린룸에 있는 동안 패키징된다.
소결 프로세스 동안에, 2 층 구조는 산화이트륨 및 알루미나 고용체를 포함하는 3 층 구조를 형성할 것이다. 이러한 고용체는, 바람직하게는, 가넷 그룹의 결정 화합물이며, Y3Al5O12 의 화학식을 가지는 산화이트륨-알루미늄 가넷 (YAG) 의 형태이다. 중간층으로서 YAG 의 형성은 고순도 알루미나, YAG (알루미나 및 산화이트륨 고용체), 및 고순도 산화이트륨으로 이루어지는 층들을 포함하는 3 층 구조를 생성한다. 알루미나 층은 15 mm 내지 45 mm 의 두께를 갖고, YAG 층의 두께는 2 mm 내지 6 mm 의 두께를 갖고, 산화이트륨 층은 1mm 내지 5 mm 의 두께를 갖는다. 예시적인 실시형태에서, 5 ㎜ 의 산화이트륨 시작 두께 및 33 ㎜ 의 알루미나 시작 두께는 약 3 ㎜ 의 산화이트륨 층 최종 두께, 약 4 ㎜ 의 YAG 층 최종 두께 및 약 31 ㎜ 의 알루미나 층 최종 두께를 이룬다. 즉, 어닐링 동안에, 산화이트륨 및 알루미나 각각은 약 2 ㎜가 소비되어, 약 4 ㎜ 두께의 YAG 층을 형성한다.
도 2a 및 도 2b 에, 층 두께에 대한 소결 프로세스의 결과의 예시적인 표현이 도시될 수 있다. 도 2a 는, t1 이 5 ㎜ 인 산화이트륨 층 (21) 및 t2 가 33 ㎜ 인 알루미나 층 (22) 을 포함하는 2 층 산화이트륨 알루미나 구조를 도시한다. 도 2b 에 소결 이후에 형성되는 3 층 구조가 도시되며, 산화이트륨 층 (23) 및 알루미나 층 (25) 을 포함한다. 또한, YAG 의 중간층 (24) 이 형성된 것도 볼 수 있다. 이러한 실시예에서, t3 는 약 3 ㎜, t4 는 약 4 ㎜, 그리고 t5 는 약 31 ㎜ 이다.
최종 구조는, 바람직하게는, HIP 프로시저가 사용되었는지 여부와는 관계없이, 실질적으로 비다공성이다. 2 층 구조가 그린 바디로 형성되기 때문에, 소결 프로세스는 모든 층들, 즉, 알루미나 층, YAG 층 및 산화이트륨 층의 경우에서 실질적으로 비다공성 층들을 생성한다. 이러한 층들 중 어느 것의 공극률도 바 람직하게는, 약 5 % 이상이 될 수 있는 열 스프레이 산화이트륨 코팅의 공극률보다 현저히 낮다. 바람직하게는, 각각의 층은 약 3 % 미만의 공극률을 가지며, 더욱 바람직하게는, 약 1 % 미만이다.
고순도 알루미나 및 고순도 산화이트륨은 수축률뿐만 아니라 열팽창 계수도 비슷하므로, 소결 프로세스는 뒤틀림 또는 기타 바람직하지 않은 제조 아티팩트들을 일으킬 수도 있는 응력을 이러한 구조에 도입하지 않을 것이다. 동시 소결된 창은 플라즈마 에칭 제조 프로세스들 동안에 오염 입자들을 최소화하며, 양질의 기계적 특성들을 제공할 수 있으며, 매우 낮은 공극률을 가지며, 열 스프레이 산화이트륨 코팅에 비교하여 개선된 내박리성을 가진다. 플라즈마 환경에서 이러한 컴포넌트의 수명은 열 스프레이 산화이트륨 코팅된 세라믹 컨포넌트들의 수명보다 길다. 소결 프로세스에서 자연적으로 발생하는 수축은 소결된 혼합물의 창 두께를 감소시킬 수 있다.
예를 들어, 컴포넌트는 유전체 창, 챔버 벽, 챔버 라이너, 기판 지지체, 가스 분배 플레이트, 플라즈마 컨파인먼트 링, 노즐, 플라즈마 포커스 링, 핫 에지 링 또는 커플링용 링일 수 있으며, 이들 각각은 플라즈마 처리 장치에서 사용될 수 있다. 도 3 에서 바람직한 실시형태에 따라 제조된 유전체 창의 예시가 개략적으로 도시된다. 유전체 창은 도 1 의 외부 산화이트륨 층 (31), 중간 YAG 층 (32) 및 알루미나 층 (33) 을 포함한다. 에칭 챔버에서 보통 이용되는 것과 같이, 유전체 창은 도 1 의 유전체 창 (107) 과 동일한 배향으로 도시되고, 산화이트륨 표면 (31) 은 챔버 내부 방향인 아래를 향하는 것으로 도시되어 있다. 또 한, 가스 주입기 (34) 도 볼 수 있다.
다른 실시형태에 따르면, 플라즈마 스프레이 산화이트륨 코팅 또는 열 스프레이 산화이트륨 코팅의 다공성을 밀봉하기 위해 도포되는 밀봉제를 포함하는 컴포넌트들 역시 산화이트륨 보호층의 박리에 대하여 우수한 보호를 제공한다.
바람직한 실시형태에서, 액체 밀봉제의 산화이트륨 코팅된 표면에 대한 도포는 HCl 의 전달을 허용하는, 산화이트륨을 통과하는 경로들을 제거하는데 사용된다. 그러고 나서, 염화 알루미늄과 물 사이의 반응의 결과로 형성되는 HCl 이 기공을 통해 산화이트륨 층으로 침투하는 것이 방지되며, 양극 처리된 기판의 경우에는 HCl 이 산화이트륨/양극 처리 층 계면에 도달하는 것 또는 세라믹 기판의 경우에는 HCl 이 산화이트륨/세라믹 층 계면에 도달하는 것이 방지된다. 양극 처리된 알루미늄 기판의 경우, HCl 이 양극 처리 층의 극소 균열들을 통하여 알루미늄에 도달하는 것이 방지된다.
예시적인 밀봉제는 HL 126™ (뉴저지주 서머셋의 페르마본드) 이다. HL126™ 밀봉제는 테트라에틸렌 글리콜 디메타크릴레이트, 2-하이드록시에틸 메타크릴레이트, 사카린, 쿠멘 하이드로퍼옥사이드 및 메타크릴산을 포함하는 저점도 무산소 밀봉제이다. 이 밀봉제는 매우 작은 구멍들에 침투할 수 있으며, 진공 상태에서 경화된다. 경화되지 않은 접착제의 특성들은 25 ℃ 에서 20 cP 의 점도를 가지는 액체를 포함하며, 이는 물의 점도에 비교될 수 있다. 접착제는 5 mil 크기의 갭까지 채울 수 있는 것으로 알려져 있다.
HL 126™ 밀봉제는 가교 결합된 열경화성 플라스틱으로 경화되며, 프로세스 레시피에서 CF4 및 CHF3 와 같은 패시베이션 가스들의 흐름이 낮은 경우에도 Cl2/BCl3 에칭 가스 화학 물질 하에서 높은 플라즈마 내성을 가지는 것으로 밝혀졌다. 금속 에칭 어플리케이션에서, 경화된 밀봉제는, 바람직하게, 기공들을 실질적으로 밀봉하며, 산화이트륨 스프레이 코팅된 부분들을 포함한 플라즈마 스프레이 코팅된 부분들의 수명을 연장한다.
산화이트륨의 도포로부터 시작되는 산화이트륨 코팅에 대한 밀봉제 도포의 예시적인 프로시저는 다음과 같다. 기판의 형태 및 청결은 산화이트륨 코팅 이전에 준비되며, 목적 표면을 거칠게 하기 위해 비드 블래스팅 단계를 포함한다. 목적 표면은 알루미늄 같은 양극 처리된 재료의 양극 처리 층 또는 세라믹 재료의 세라믹 표면일 수 있다. 그러고 나서, 목적 표면은 플라즈마 또는 열 스프레이 코팅으로 코팅된다. 코팅 이후에 이러한 부분은 세정되고 베이크된다. 그러고 나서, 산화이트륨 코팅층 내부의 빈틈, 기공들, 극소 균열들 및 불완전 결합 영역을 밀봉하기 위해 액체 밀봉제가 도포된다. 밀봉제는 깨끗한 나일론 페인트 브러쉬를 사용하여 브러쉬되며, 브러쉬 동작으로 모든 표면들이 적셔진다. 밀봉제 도포 이후, 최종 정밀 습윤 세정이 수행된다. 그러고 나서, 오븐이 바람직한 온도로 가열되어 준비되며, 오븐을 대기 중의 공기로부터 차단시키고, N2 정화를 도입한다. 그러고 나서, 기판은 오븐에서 2 시간 동안 150 ℃ 로 경화된다.
경화 이후, 제 2 밀봉제 코팅이 제 1 코팅과 동일한 방법으로 도포되며, 또 다른 경화 사이클이 제 1 경화 사이클과 동일한 조건들 하에서 수행된다. 최종적으로, 이러한 부분은 최종 검사 및 최종 패키징을 거친다. 제 2 경화 사이클 이후, 플라즈마 스프레이 코팅된 산화이트륨은 화학 물질의 공격, 특히, HCl에 의한 공격에 대해 내성을 갖는 것이 바람직하다. 코팅/기판 경계면에서 HCl 공격에 대해 증대된 내성은 산화이트륨 층의 박리를 감소시킨다. 액체 밀봉제의 2 개의 코팅의 사용이 보여주는 성능 향상은 이하의 실시예에서 설명된다.
실시예 2:
표준 산화이트륨 코팅들 및 상이한 밀봉제 이력들을 가지는 알루미나 창들은 실온에서 3 시간 이상 5 wt% 의 HCl 용액에 담궈졌다. 담금 이후, 창들에 대해 부식 공격의 흔적이 시각적으로 검사되었다. 제 1 샘플에서, HCl 용액에의 담금 이후에 검사된 밀봉제 없는 창은 산화이트륨 코팅이 거의 완전히 용해된 것으로 나타났다. 제 2 샘플에서, 상술한 종류와 유사한 프로시저에 따라 한 겹의 밀봉제 코팅이 도포된 창은 수분 흡입을 표시하는 유백색의 색깔 변화가 코팅에 나타났으나, 박리의 징후는 나타나지 않았다. 상술한 프로시저에 따라 두 겹의 밀봉제 코팅이 도포된 제 3 샘플에서는 국지적 변색이 나타나지 않았고, 박리 또는 부식도 나타나지 않았다.
바람직한 밀봉제는 플라즈마 시스템의 정상적인 사용 과정 동안에 부식제의 전달을 허용하는, 산화이트륨을 통과하는 경로들을 제거함으로써 방어막으로 작용하는 능력을 유지한다. 산소 플라즈마들은 챔버 청결을 유지하고 챔버 성능의 일관성을 촉진하기 위해 플라즈마 프로세스 과정 동안에 일반적으로 사용된다. 산소 플라즈마에 대한 노출은 산화이트륨 표면들로부터 밀봉제를 제거할 수 있다. 그러므로, 밀봉된 표면의 내구성에 대한 하나의 측정 방법은 산소 플라즈마에 노출된 이후의 밀봉제 특성들의 무결성이다. 밀봉제 특성들의 완전성에 대한 하나의 측정 방법은 밀봉된 표면의 전기 저항이다. 바람직한 밀봉제들은 그들 스스로 전기적으로 절연되어 있다. 예를 들어, HL-126 은 1017 ohm-m 의 비저항을 가지는 것으로 알려져 있고, 이는 용융 석영의 비저항과 비슷하다. 밀봉된 산화이트륨 표면의 전기 저항을 측정함으로써, 밀봉제의 밀봉 특성들에 대한 측정이 이루어질 수 있다.
밀봉제의 완전성을 테스트하기 위해서, 산화이트륨 코팅된 알루미늄 쿠폰들이 여러번에 걸쳐 산소 플라즈마 환경에 노출되었으며, 그 이후에 쿠폰들은 산화이트륨 표면의 묽은 HCl 용액에 대한 노출을 포함한 전기 저항에 대한 테스트를 거쳤다. 도 4 에서 전기 테스트 장치가 개략적으로 도시된다. 알루미늄 베이스 (41) 및 산화이트륨 플라즈마 스프레이 코팅 (42) 을 포함하는 예시적인 쿠폰이 산화이트륨 코팅된 표면 (43) 이 위쪽으로 향하도록 유지되었다. 저장 벽 (44) 이 상부 산화이트륨 코팅된 표면 (43) 위에 탑재되어, 묽은 HCl 용액 (45) 이 저장 벽 (44) 내부에 저장될 수 있도록 구성되었다. 하나의 도선 (46) 이 쿠폰의 알루미늄 베이스 (41) 에 전도적으로 연결되었으며, 또 다른 도선 (47) 이 전도 용액 (45) 에 담궈졌다. 전기 저항계 (48) 를 사용하여 두 도선들 간의 저항이 시간의 함수로 측정되었다.
산화이트륨의 저항은 용액에 노출된 산화이트륨의 면적의 함수일 것으로 예상된다. 전도 와이어와 유사하게, 용액에 노출된 단면적이 클수록, 저항이 낮아진다. 따라서, 측정된 저항은 저항 및 비저항에 관계된 표준 등식의 함수가 될 것으로 가정된다.
Figure 112009048626188-pct00003
이 때 R 은 저항, ρ는 비저항, L 은 길이이며, A 는 면적이다. 전기 테스트 장치는 저항을 측정하기 위해 구성되며, 이 저항은 노출 면적 (RA) 으로 곱해지는 경우 재료 특성들의 곱인 ρL 을 나타낼 것으로 예상된다. 이 전기 테스트 장치의 경우에서, 노출 면적은 저장 벽 (44) 내부의 액체의 면적이다. 따라서, HCl 에칭 시간의 함수로 측정된 저항 및 노출된 면적의 곱의 플롯은 비저항 및 길이 대 시간의 플롯을 나타낼 것으로 예상된다.
도 5 에서 다양한 쿠폰들 각각에 대해 그러한 플롯이 도시된다. 그래프는 모두 8 개의 쿠폰들의 측정들을 도시하며, 하나의 커브가 각각의 쿠폰에 연관된다. 8 개의 측정들 중에서, 2 개 (51) 는 밀봉제를 가지지 않는 쿠폰들로부터의 것이며, 6 개 (52) 는 산화이트륨 표면상에 밀봉제를 가지는 쿠폰들로부터의 것이다. 도 5 에 도시된 범례는 전기 테스트에 앞서 각각의 쿠폰들이 받는 산소 플라즈마에 대한 노출의 정도를 표시한다. 예를 들어, RA 대 시간 데이터가 검은색 다이아몬드로 표시되는 쿠폰 (53) 은 HCl 에서의 저항 테스트에 앞서 90 분간의 산소 플라즈마 노출을 받았다. 주로 겹쳐진 검은색 삼각형, 사각형 및 원으 로 표시된 쿠폰들 (55) 은 10-20 시간의 산소 플라즈마 노출을 받았으며, RA 대 시간 데이터가 검은색 십자가 및 x 들로 표시되는 쿠폰들 (54) 은 HCl 에서의 저항 테스트에 앞서 40 시간의 산소 플라즈마 노출을 받았다. 일반적으로 HCl 에서의 저항 테스트에 앞서 더 많은 산소 플라즈마 노출을 받은 쿠폰들이 더 낮은 RA 를 나타냈다는 것을 알 수 있다. 예를 들어, 40 시간 플라즈마에 노출된 쿠폰들은 90 분간 플라즈마에 노출된 쿠폰들과 동일한 RA 곱을 달성하기 위해 필요한 시간을 약 1,200 초 가량 감소시켰다.
도 5 로부터, 밀봉되지 않은 쿠폰들 (51) 의 RA 는 밀봉된 쿠폰들의 RA 보다 낮은 수준에서 시작되며, 더 빨리 감소하는 것을 더 알 수 있다. HCl 용액에 약 20 분 (1,200초) 간 노출된 이후에, 밀봉되지 않은 쿠폰들의 RA 는 0 에 가깝다. 코팅 저항의 감소가 거의 0 이 되기 전까지를 제외한 모든 전기 테스트들의 지속 시간 내내, 코팅된 쿠폰들의 RA 는 코팅되지 않은 쿠폰들의 RA 의 적어도 10 배를 유지한다. 심지어 산소 플라즈마에 40 시간 노출된 후에도, 밀봉제를 가지는 쿠폰들은, 밀봉제를 가지지 않는 쿠폰 (검은색 삼각형 및 점선) 들이 산소 플라즈마에 노출되지 않았음에도 밀봉제를 가지지 않는 쿠폰의 RA 보다 10 배 큰 RA 를 나타낸다.
이론에 의해 제약되기를 바라지 않으며, 이러한 데이터는 밀봉제가 산화이트륨 코팅 내부의 개방 용적에 깊이 침투한다는 것을 시사한다. 산화이트륨은 묽은 HCl 의 존재하는 경우 에칭되는 것으로 알려져 있다. 거의 0 에 가깝게 저항이 감소하는 것은 산화이트륨이 완전히 에칭되어, 알루미늄이 전도성 HCl 용액과 직접 접촉하도록 내버려둔다는 것을 의미한다. 도 5 로부터, 코팅된 쿠폰들과 코팅되지 않은 쿠폰들의 시간에 대한 RA 기울기가 비슷하다는 것을 볼 수 있다. 도 4 의 회로에서 산화이트륨 코팅이 주 저항을 제공하고 있으므로, 유사한 기울기라는 증거는 2 개의 필름들의 유사한 에칭률을 의미한다. 산화이트륨의 두께가 감소함에 따라, RA 는, ρL 과 동일한 것으로 예상되기 때문에, 그에 비례하여 감소할 것이다. 이는 측정된 저항의 명백한 선형 감소와도 일관성을 가지며, 에칭률을 상수로 무리 없이 가정할 수 있다는 것을 가정할 수 있다.
또한, 저항 곡선들은 산화이트륨 층에 대한 밀봉제의 깊은 침투와 일관성을 가진다. 관찰된 RA 의 감소는 HCl 에서의 저항 테스트에 앞서 쿠폰들의 산소 플라즈마에 대한 많은 양의 노출에 대응하며, 산소 플라즈마에 대한 계속된 노출이 산화이트륨 개방 영역으로부터 밀봉제를 계속하여 제거한다고 시사한다. 명백히, 복합 산화이트륨 표면으로부터 밀봉제의 제거는 하부의 더 많은 밀봉제를 드러나게 한다. 밀봉된 층의 산소 플라즈마에 대한 노출이 더 많아질수록 더 많은 밀봉제가 제거되며, 층의 비저항이 낮아진다. 또한, 밀봉된 쿠폰들의 RA 곡선들은 전체에 걸쳐 기울기에 있어서 명백한 점진적 변화를 보여준다. 밀봉제가 전체 산화이트륨 층을 침투하지 않는다면, 전체 산화이트륨 층이 에칭되기 전에 밀봉제의 존재로 인하여 부가된 비저항이 제거되므로 기울기에 있어서 갑작스러운 변화가 예상될 수도 있다. 그러므로, RA 곡선의 형태는 밀봉제가 전체 산화이트륨 층을 통하여 산화이트륨/Al 경계면까지 효과적으로 침투한다는 것을 시사한다.
또한, 일반적인 사용 하에서 밀봉제 수명은, 사용 동안에 챔버 내부 표면에 고분자 증착이 일반적으로 예상되기 때문에, 도 5 의 데이터가 시사할 수도 있는 것보다 클 것으로 예상된다. 또한, 산소 플라즈마는 이러한 고분자 증착과 반응하기 때문에, 고분자 증착의 존재로 예상되는 효과는 밀봉제의 플라즈마에 대한 노출의 감소이다.
바람직한 실시형태에서, 밀봉제의 사용은 약간의 프로세스 변경을 가져오거나 아무런 프로세스의 변화를 가져오지 않으며, 즉, 밀봉제를 사용하는 경우와 밀봉제를 사용하지 않는 경우에 있어서 프로세스 성공 메트릭 간에 차이점이 발견되지 않는다. 수 개의 예시적인 프로세스 메트릭 테스트들이 제공된다. 그런 테스트 중 하나에서, 챔버의 RF 유전체 창이 상기한 종류의 밀봉제로 밀봉된 경우 그리고 그러한 창이 밀봉되지 않은 경우에 있어서, 상부 표면에 산화물 층을 가지는 반도체 웨이퍼가 플라즈마 처리 챔버에서 에칭되었다. 2 개의 경우로부터 유전체 에칭률 데이터가 측정되었다. 밀봉되지 않은 창을 가지는 챔버에서 수행된 프로세스에서 에칭 후 남겨진 유전체의 최종 두께가 31.0 % 의 3σ 두께 변화를 가지며 555 Å 로 달성되었고, 그에 비해, 밀봉된 창을 가지는 챔버에서 수행된 프로세스에서는 에칭 후 남겨진 유전체의 최종 두께가 31.8 % 의 3σ 두께 변화를 가지며 521 Å 로 달성되었다. 포토레지스트 에칭 비교에서, 밀봉되지 않은 창을 가지는 챔버에서 수행된 프로세스에서 애쉬 후 남겨진 포토레지스트의 최종 두께가 10.6 % 의 3σ 두께 변화를 가지며 2049 Å 로 달성되었고, 그에 비해, 밀봉된 창을 가지는 챔버에서 수행된 프로세스에서는 애쉬 후 남겨진 유전체의 최종 두께가 10.9 % 의 3σ 두께 변화를 가지며 2030 Å 로 달성되었다. 이러한 결 과들은 오직 밀봉제만이 변화의 원인으로 직접 작용하여 프로세스의 변화가 발생하지 않았다는 것을 암시한다.
또한, 챔버 내에 밀봉제가 존재함으로 인해서 프로세스에 불순물들이 도입되는 것도 예상되지 않는다. HL-126 에서의 불순물 농축은 유도 커플링 플라즈마 질량 분석법 (ICP-MS) 를 사용하여 수행되었다. HL-126 에서 측정된 불순물들은 이하의 테이블에서 찾을 수 있다.
표 1:
엘리먼트 밀봉제 ( ppm )
철 (Fe) 0.017
칼슘 0.060
구리 (Cu) 0.018
크롬 (Cr) 0.048
코발트 (Co) <0.001
마그네슘 (Mg) 0.054
몰리브덴 (Mo) <0.005
니켈 (Ni) 0.007
칼륨 (K) 0.051
나트륨 (Na) 57.0
주석 (Sn) 70.0
티타늄 (Ti) 80.0
아연 (Zn) 0.23
밀봉된 컴포넌트들을 포함하는 챔버 내에서 플라즈마 프로세스를 수행하면서, 이러한 수준의 불순물들이 웨이퍼 또는 기판상에 검출가능한 수준의 불순물들로 되지는 않을 것으로 예상되며, 이는 오직 밀봉제만이 변화의 원인으로 직접 작용하여 프로세스의 변화가 발생하지 않았다는 것을 암시한다.
다른 프로세스 성공 메트릭은 입자 생성 정도의 측정이다. 플라즈마 챔버에서 밀봉제를 가진 컴포넌트의 사용의 결과로서 입자들의 도입은 플라즈마 프로세스들 동안에 생성된 입자들을 비교하기 위해 디자인된 프로세스 레시피의 사용에 의해 모니터링될 수 있다. 입자 레시피는 Cl2, HBr 및 N2 같은 프로세스 가스들 및 45 ℃ 의 척 온도를 사용하는 것을 포함하며, 20 초의 지속 시간 동안 노출된 실리콘 웨이퍼에 적용된다. 프로세스로 인해 더해진 입자들은 Tencor SP-1 비패턴형 표면 입자 모니터링 툴 (캘리포니아주 산 호세의 KLA-Tencor) 을 사용하여 탐지 한계 0.12 ㎛ 에서 프로세스 전과 후의 웨이퍼 상의 입자 수를 측정하여 결정되었다.
챔버 내의 RF 유전체 창이 상기한 종류의 밀봉제로 밀봉된 경우 그리고 RF 유전체 창이 밀봉되지 않은 경우에 대해서 프로세스가 플라즈마 처리 챔버에서 실행되었다. 표준 창의 경우에 입자 가산기의 수는 35 로 측정되었고, 밀봉된 창의 경우 입자의 가산기의 수는 48 로 측정되었다. 가산기 수치들에 있어서 이러한 차이는 의미 있는 것으로 여겨지지 않았으며, 따라서, 두 경우 사이에 동등한 프로세스 성능에 대한 논쟁을 지지하는 증거를 제공하였다. 이러한 결과들은 오직 밀봉제만이 변화의 원인으로 직접 작용하여 프로세스의 변화가 발생하지 않았다는 것을 암시한다.
발명이 그에 대한 구체적인 실시형태를 참조하여 상세하게 기술되었으나, 첨부된 특허청구범위의 범위로부터 일탈하지 않고도 다양한 변화들 및 변경들이 이루어질 수 있으며, 균등물들이 사용될 수 있음은 당해 기술 분야에 통상의 지식을 가진 자에게 명백할 것이다.

Claims (19)

  1. 플라즈마 처리 챔버의 3 층 컴포넌트를 제조하는 방법으로서,
    a. ⅰ. 제 1 층과 제 2 층에 대하여 외부 압력을 적용함에 의해 상기 제 1 층과 상기 제 2 층을 함께 프레스하는 것과, ⅱ. 상기 함께 프레스된 층들을 소망하는 치수들로 가공하는 것에 의해 2 층 그린 바디 (green body) 를 형성하는 단계로서, 상기 제 1 층은 알루미나 입자들의 그린 시트 및 고분자 결합제를 포함하고, 상기 제 2 층은 산화이트륨 입자들의 그린 시트 및 고분자 결합제를 포함하는, 상기 2 층 그린 바디 형성 단계; 및
    b. 상기 2 층 그린 바디를 동시 소결 (co-sintering) 하는 단계로서, 소결 프로세스 동안에 상기 제 1 층 및 상기 제 2 층은 접하여, 산화이트륨과 알루미나의 고용체를 포함하는 중간층, 상기 중간층에 인접하는 15 mm 내지 45 mm의 두께를 갖는 알루미나 외부층 및 상기 중간층에 인접하는 산화이트륨 제 2 외부층을 포함한 3 층 컴포넌트를 형성하는, 상기 2 층 그린 바디 동시 소결 단계를 포함하는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  2. 제 1 항에 있어서,
    상기 중간층은 YAG 로 구성되고, 상기 제 1 층은 알루미나 그린 시트 및 고분자 결합제로 구성되며, 상기 제 2 층은 산화이트륨 그린 시트 및 고분자 결합제로 구성되는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  3. 제 1 항에 있어서,
    상기 산화이트륨 제 2 외부층, 상기 중간층 및 상기 알루미나 외부층 중 임의의 층의 공극률은 3 % 미만인, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  4. 제 1 항에 있어서,
    상기 산화이트륨 제 2 외부층, 상기 중간층 및 상기 알루미나 외부층 중 임의의 층의 공극률은 1 % 미만인, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  5. 제 1 항에 있어서,
    상기 제 1 층 및 상기 제 2 층은 상기 동시 소결 프로세스 동안에 함께 열간 등방 (hot isostatic) 프레스되는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  6. 제 1 항에 있어서,
    상기 2 층 그린 바디의 상기 제 1 층 및 상기 제 2 층은 적어도 소망하는 최종 직경을 가지는 디스크 형상이며, 상기 2 층 그린 바디는 상기 제 1 층 및 상기 제 2 층으로 구성되는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  7. 제 1 항에 있어서,
    상기 3 층 컴포넌트는 유전체 RF 전송창 (transmitting window) 을 형성하 는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  8. 제 7 항에 있어서,
    상기 2 층 그린 바디의 상기 제 1 층은 20 ㎜ 내지 40 ㎜ 의 두께를 가지고, 상기 2 층 그린 바디의 상기 제 2 층은 1 ㎜ 내지 9 ㎜ 의 두께를 가지는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  9. 제 7 항에 있어서,
    상기 3 층 컴포넌트의 상기 산화이트륨 제 2 외부층은 1 ㎜ 내지 5 ㎜ 의 두께를 가지고, 상기 3 층 컴포넌트의 상기 중간층은 2 ㎜ 내지 6 ㎜ 의 두께를 가지며, 상기 3 층 컴포넌트의 상기 알루미나 외부층은 15 ㎜ 내지 45 ㎜ 의 두께를 가지는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  10. 제 1 항에 있어서,
    상기 3 층 컴포넌트는 플라즈마 처리 장치의 챔버 벽, 챔버 라이너, 기판 지지체, 배플, 가스 분배 플레이트, 플라즈마 컨파인먼트 링, 노즐, 플라즈마 포커스 링, 핫 엣지 링, 커플링용 링, 척, 패스너 및 가열 엘리먼트 중 적어도 하나로 구성되는 그룹으로부터 선택되는, 플라즈마 처리 챔버의 3 층 컴포넌트 제조 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
KR1020097016646A 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장 KR101432858B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/652,048 2007-01-11
US11/652,048 US8097105B2 (en) 2007-01-11 2007-01-11 Extending lifetime of yttrium oxide as a plasma chamber material
PCT/US2008/000018 WO2008088670A1 (en) 2007-01-11 2008-01-03 Extending lifetime of yttrium oxide as a plasma chamber material

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020147004279A Division KR101482504B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장
KR1020147004295A Division KR101482505B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장

Publications (2)

Publication Number Publication Date
KR20090101304A KR20090101304A (ko) 2009-09-24
KR101432858B1 true KR101432858B1 (ko) 2014-08-26

Family

ID=39617144

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020147004295A KR101482505B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장
KR1020097016646A KR101432858B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장
KR1020147004279A KR101482504B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020147004295A KR101482505B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147004279A KR101482504B1 (ko) 2007-01-11 2008-01-03 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장

Country Status (7)

Country Link
US (2) US8097105B2 (ko)
JP (1) JP5363992B2 (ko)
KR (3) KR101482505B1 (ko)
CN (2) CN102005352B (ko)
SG (3) SG177952A1 (ko)
TW (2) TWI542731B (ko)
WO (1) WO2008088670A1 (ko)

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20090161285A1 (en) * 2007-12-20 2009-06-25 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
FR2944293B1 (fr) * 2009-04-10 2012-05-18 Saint Gobain Coating Solutions Procede d'elaboration par projection thermique d'une cible
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
US8430970B2 (en) * 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
TWI470692B (zh) * 2011-12-28 2015-01-21 Ares Green Technology Corp 蝕刻機反應腔體之清洗方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10978334B2 (en) * 2014-09-02 2021-04-13 Applied Materials, Inc. Sealing structure for workpiece to substrate bonding in a processing chamber
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR20190126202A (ko) * 2015-02-13 2019-11-08 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180083912A (ko) * 2015-11-16 2018-07-23 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
CN105603352B (zh) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法
WO2017155711A1 (en) * 2016-03-11 2017-09-14 Applied Materials, Inc. Method for electrochemically grown yttria or yttrium oxide on semiconductor processing equipment
WO2017155671A1 (en) 2016-03-11 2017-09-14 Applied Materials, Inc. Aluminum electroplating and oxide formation as barrier layer for aluminum semiconductor process equipment
TWI803462B (zh) * 2016-05-06 2023-06-01 美商康寧公司 物件形成方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107958835A (zh) * 2016-10-14 2018-04-24 上海新昇半导体科技有限公司 一种半导体晶圆的抛光方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102384436B1 (ko) * 2016-11-16 2022-04-12 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019240915A1 (en) * 2018-06-14 2019-12-19 Applied Materials, Inc. Process chamber process kit with protective coating
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11019715B2 (en) * 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113795473A (zh) * 2019-04-05 2021-12-14 贺利氏科纳米北美有限责任公司 用于蚀刻应用的受控孔隙率氧化钇
CN113644110A (zh) * 2020-05-11 2021-11-12 北京华碳元芯电子科技有限责任公司 晶体管及其制备方法
CN114180960B (zh) * 2020-09-15 2023-04-11 Oppo广东移动通信有限公司 壳体及其制备方法和电子设备
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
EP4228894A1 (en) * 2020-10-15 2023-08-23 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making
CN116867752A (zh) * 2020-12-18 2023-10-10 贺利氏科纳米北美有限责任公司 多层烧结陶瓷体
WO2022133180A1 (en) * 2020-12-18 2022-06-23 Heraeus Conamic North America Llc Multilayer sintered ceramic body
EP4032701A1 (en) * 2021-01-21 2022-07-27 Heraeus Conamic North America LLC Multilayer sintered ceramic body
CN114015967A (zh) * 2021-11-09 2022-02-08 重庆臻宝实业有限公司 一种低孔隙率氧化钇涂层的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5908682A (en) * 1995-05-26 1999-06-01 Ngk Insulators, Ltd. Ceramic member having fine throughholes
US20040224125A1 (en) * 2003-05-08 2004-11-11 Ngk Insulators, Ltd. Ceramic members, a method of producing the same and corrosion resistant members

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314850A (en) * 1985-10-31 1994-05-24 Kyocera Corporation Aluminum nitride sintered body and production thereof
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
DE19605858A1 (de) * 1996-02-16 1997-08-21 Claussen Nils Verfahren zur Herstellung von Al¶2¶O¶3¶-Aluminid-Composites, deren Ausführung und Verwendung
US6447937B1 (en) 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JPH10236871A (ja) * 1997-02-26 1998-09-08 Kyocera Corp 耐プラズマ部材
JPH11111828A (ja) * 1997-09-30 1999-04-23 Shin Etsu Chem Co Ltd 静電吸着装置
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP2001028502A (ja) * 1999-07-15 2001-01-30 Nihon Ceratec Co Ltd 高周波またはマイクロ波透過窓
JP3348154B2 (ja) * 1999-10-12 2002-11-20 独立行政法人産業技術総合研究所 複合構造物及びその作製方法並びに作製装置
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6754062B2 (en) 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
WO2004114729A1 (ja) * 2003-06-20 2004-12-29 Ngk Insulators, Ltd. プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
KR100618630B1 (ko) * 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
CN1690254B (zh) * 2004-04-13 2013-03-13 应用材料有限公司 具有含电镀钇涂层的制程腔室构件
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US8192888B2 (en) * 2005-04-19 2012-06-05 Nextech Materials, Ltd. Two layer electrolyte supported fuel cell stack
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908682A (en) * 1995-05-26 1999-06-01 Ngk Insulators, Ltd. Ceramic member having fine throughholes
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US20040224125A1 (en) * 2003-05-08 2004-11-11 Ngk Insulators, Ltd. Ceramic members, a method of producing the same and corrosion resistant members

Also Published As

Publication number Publication date
JP2010515827A (ja) 2010-05-13
TW201402864A (zh) 2014-01-16
TWI414634B (zh) 2013-11-11
US8585844B2 (en) 2013-11-19
TW200846496A (en) 2008-12-01
US20120144640A1 (en) 2012-06-14
SG10201608716QA (en) 2016-12-29
JP5363992B2 (ja) 2013-12-11
KR101482505B1 (ko) 2015-01-13
WO2008088670A1 (en) 2008-07-24
CN102005352A (zh) 2011-04-06
US20080169588A1 (en) 2008-07-17
SG10201405496YA (en) 2014-10-30
KR20140032012A (ko) 2014-03-13
US8097105B2 (en) 2012-01-17
KR20090101304A (ko) 2009-09-24
KR20140032011A (ko) 2014-03-13
KR101482504B1 (ko) 2015-01-13
CN101589455B (zh) 2012-06-20
CN101589455A (zh) 2009-11-25
CN102005352B (zh) 2013-10-02
SG177952A1 (en) 2012-02-28
TWI542731B (zh) 2016-07-21

Similar Documents

Publication Publication Date Title
KR101432858B1 (ko) 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장
KR102142040B1 (ko) 염소 및 불소 플라즈마 내식성을 가진 코팅된 반도체 처리 부재 및 그 복합 산화물 코팅
CN107592941B (zh) 耐等离子体涂膜及其形成方法
EP1258918B1 (en) Electrostatic chuck member and method of producing the same
JP4987911B2 (ja) プラズマ処理容器内部材
JP4503270B2 (ja) プラズマ処理容器内部材
KR102059692B1 (ko) 알루미늄 플라즈마 챔버 부분들의 세정 방법
TW201936389A (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
WO2014018835A1 (en) Innovative top-coat approach for advanced device on-wafer particle performance
JP2004349612A (ja) 静電チャック
KR102066271B1 (ko) 정전척 실링방법
US20100003510A1 (en) Corrosion-resistant multilayer ceramic member
EP1667850A2 (en) Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
CN114078679B (zh) 半导体零部件、复合涂层形成方法和等离子体反应装置
CN113594014B (zh) 零部件、等离子体反应装置及零部件加工方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170731

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180731

Year of fee payment: 5