CN101461038B - 喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法 - Google Patents

喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法 Download PDF

Info

Publication number
CN101461038B
CN101461038B CN2007800202482A CN200780020248A CN101461038B CN 101461038 B CN101461038 B CN 101461038B CN 2007800202482 A CN2007800202482 A CN 2007800202482A CN 200780020248 A CN200780020248 A CN 200780020248A CN 101461038 B CN101461038 B CN 101461038B
Authority
CN
China
Prior art keywords
plasma
shower plate
gas
plasma processing
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800202482A
Other languages
English (en)
Other versions
CN101461038A (zh
Inventor
桶作正广
后藤哲也
大见忠弘
石桥清隆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Tokyo Electron Ltd
Original Assignee
Tohoku University NUC
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Tokyo Electron Ltd filed Critical Tohoku University NUC
Publication of CN101461038A publication Critical patent/CN101461038A/zh
Application granted granted Critical
Publication of CN101461038B publication Critical patent/CN101461038B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法。该喷淋板不需要盖板。该喷淋板(105)配置在等离子体处理装置的处理室(102)中,为了在处理室(102)中产生等离子体而排出等离子体激励用气体,其中,将喷淋板(105)设为一体物,在该喷淋板(105)上设置用于导入来自等离子体处理装置的气体导入口(110)的等离子体激励用气体的横孔(111)、和与该横孔(111)相连通的纵孔(112)。

Description

喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法
技术领域
本发明涉及在等离子体处理装置、特别是使用在微波等离子体处理装置中使用的喷淋板、和使用了该喷淋板的等离子体处理装置、等离子体处理方法以及电子装置的制造方法。
背景技术
等离子体处理工序以及等离子体处理装置对于制造近年的被称作所谓的深亚微米元件或深亚四分之一微米元件的具有0.1μm、或0.1μm以下的栅极长的超微细化半导体装置、对于制造包含液晶显示装置的高分辨率平面显示装置是不可或缺的。
作为用于制造上述半导体装置、液晶显示装置的等离子体处理装置,自以往就一直使用各种的等离子体激励方式,特别是通常使用平行平板型高频激励等离子体处理装置或电感耦合型等离子体处理装置。
优选等离子体处理装置形成电子密度高、均匀的等离子体。但是,由于上述以往的等离子体处理装置形成的等离子体不均匀,并且电子密度高的区域受限定,因此具有很难以较大的处理速度、即生产率对被处理基板的整个面进行均匀的处理的问题。
该问题特别是在处理较大直径的基板的情况下成为严重缺陷,而且以往的等离子体处理装置存在电子温度高、形成在被处理基板上的半导体元件发生损坏、另外因飞溅到处理室壁上导致严重的金属污染等若干重大的问题,一直难于满足进一步提高半导体装置、液晶显示装置的微细化、生产率的要求。
针对上述问题,提出了不使用直流磁场、而是使用利用微波电场激励的高密度等离子体的微波等离子体处理装置。该装置如专利文献1所述为如下结构:自具有为了产生均匀微波而排列的许多个缝隙的平面状天线(径向线缝隙天线)向处理室内放射微波,利用该微波电场电离处理室内的气体从而激励等离子体。
利用该等离子体处理装置激励的微波等离子体能够遍及天线正下方的广阔区域地实现高等离子体密度,从而可以在短时间内进行均匀的等离子体处理。而且,由于是利用微波激励等离子体,因此电子温度较低,能够避免被处理基板的损坏、金属污染。并且,由于还能够在大面积的基板上激励均匀的等离子体,因此也容易应对使用了大口径半导体基板的半导体装置的制造工序、大型液晶显示装置的制造。
在上述等离子体处理装置中,通常,为了向处理室内均匀地供给等离子体激励用气体,使用喷淋板。
以往的喷淋板如专利文献2所述,由喷淋板主体和盖板构成,借助密封用的O型密封圈粘合喷淋板主体和盖板,利用设在盖板或喷淋板主体上的槽形成气体充填空间,利用与该气体充填空间相连通的气体排出孔排出气体。
但是,上述结构的喷淋板存在下述问题。
首先,在喷淋板的维护性以及等离子体的稳定维持性方面存在问题。即、在为了对喷淋板进行清洁等维护而将其拆下时,分别吊起喷淋板主体和盖板、或为了同时将它们吊起而需要利用特殊的夹具将它们一体化,因此其吊起作业、夹具的安装费时费力。另外,在为了将喷淋板主体和盖板一体化而预先安装夹具并将夹具配置在处理室内时,因夹具的存在损坏等离子体的稳定维持性。
另外,即使不是预先将喷淋板主体和盖板一体化、而是要使用特殊的吊起夹具将它们一起吊起,为了将吊起夹具卡定在喷淋板主体和盖板上需要在喷淋板主体和盖板上加工缺口等,而加工缺口等也要费时费力,并且因该缺口等的存在而损坏喷淋板主体和盖板、或破坏等离子体的稳定维持性。而且,吊起作业也很难,且在进行吊起作业时招致喷淋板的变形的可能性很高。在喷淋板产生变形时,还是会破坏等离子体的稳定维持性。
另外,在以往的喷淋板中,需要对喷淋板主体和盖板进行对位,在进行维护时对位作业费时费力。在对位不充分时破坏产生的等离子体的稳定维持性。
并且,以往的喷淋板由于将喷淋板主体和盖板粘合起来,因此如上所述使用密封用的O型密封圈。虽然使用微波损失较低的O型密封圈为该密封用的O型密封圈,但是由于喷淋板内的微波电场较强,因此有时在密封用的O型密封圈局部发生异常放电、或在喷淋板过热时O型密封圈烧焦。当然在O型密封圈烧焦时,因其密封性被损坏,届时需要维护。另外,喷淋板内的异常放电会损坏喷淋板。
专利文献1:日本特开平9-63793号公报
专利文献2:日本特开2002-299240号公报
发明内容
总而言之,本发明提供一种解决了上述问题点的喷淋板。具体而言,在于提供一种不需要盖板的喷淋板。
本发明的另一课题在于提供一种维护性以及等离子体的稳定维持性优秀的喷淋板。
另一课题还在于防止喷淋板内发生异常放电。
另外,另一课题还在于消除伴随密封用O型密封圈的烧焦的维护的必要性。
本发明提供一种喷淋板,其配置在等离子体处理装置的处理室中,为了在处理室中产生等离子体而排出等离子体激励用气体,其中,该喷淋板是将喷淋板主体和盖板一体化而形成的。即、将喷淋板设为一体物,在该喷淋板上设置用于导入来自等离子体处理装置的气体导入口的等离子体激励用气体的横孔、和与该横孔相连通而用于排出等离子体激励用气体的纵孔。
这样,通过在一体物的喷淋板上设置用于导入来自等离子体处理装置的气体导入口的等离子体激励用气体的横孔,从而不需要以往喷淋板那样的相互独立的盖板。因而,不需要进行盖板和喷淋板主体的准确的对位作业,并且在清洁作业时容易进行拆卸、吊起,也提高了维护性。并且,由于不需要用于拆卸、吊起的特殊夹具,因此也不会因上述夹具破坏等离子体的稳定性。
然后,由于容易进行拆卸、吊起作业,因此在进行该作业时,能够防止发生喷淋板的变形这样的情况,从该点看来也能够防止等离子体的稳定性受到破坏。而且,也不需要用于粘合喷淋板主体和盖板的密封用O型密封圈,能够消除由该密封用O型密封圈导致发生的异常放电。当然,也没有烧焦密封用O型密封圈的问题。
在本发明的喷淋板中,优选横孔自喷淋板的侧面朝向中心部地设置,沿着喷淋板的周向以大致等间隔设置多个这样的横孔。
采用本发明,由于不需要以往喷淋板中的相互独立的盖板,且在清洁作业时容易拆卸、吊起,因此能够使维护性以及等离子体的稳定维持性得到提高。
另外,能够防止在喷淋板内发生异常放电,从而能够防止喷淋板的损坏,提高等离子体处理的品质、成品率。
附图说明
图1表示应用了本发明的微波等离子体处理装置。
图2表示俯视图1所示的喷淋板的横孔和纵孔的配置。
图3是表示图1所示的喷淋板的横孔和纵孔的配置的立体示意图。
图4详细表示图1所示的喷淋板的纵孔。
附图标记说明
101、排气口;102、处理室;103、被处理基板;104、保持台;105、喷淋板;106、密封用的O型密封圈;107、壁面;108、密封用的O型密封圈;109、环状空间;110、气体导入口;111、横孔;112、纵孔;112a、第一纵孔;112b、第二纵孔;113、陶瓷构件;113a、气体排出孔;114、多孔质陶瓷气体流通体;115、缝隙板;116、滞波板;117、同轴波导管;118、金属板;119、冷却用流路;120、下层喷淋板;120a、气体流路;120b、喷嘴;120c、开口部;121、处理气体供给口;122、RF电源。
具体实施方式
下面,根据实施例说明本发明的实施方式。
实施例
图1表示应用了本发明的微波等离子体处理装置。图示的微波等离子体处理装置具有借助多个排气口101进行排气的处理室102,在处理室102中配置有用于保持被处理基板103的保持台104。为了均匀地对处理室102内进行排气,处理室102在保持台104的周围规定出环状的空间,多个排气口101以与空间相连通的方式等间隔、即相对于被处理基板103轴对称地排列。利用该排气口101的排列,可以自排气口101均匀地对处理室102进行排气。
在处理室102的上部借助密封用的O型密封圈106在对应于保持台104上的被处理基板103的位置上、作为处理室102的外壁的一部分安装有作为直径是408mm、相对介电常数是9.8、且低微波介电损耗(优选介电损耗在1×10-3以下,更优选在5×10-4以下)的电介质的由氧化铝构成的喷淋板105。另外,在构成处理室102的壁面107上,在对应于喷淋板105的侧面的位置上设有由2根密封用的O型密封圈108和喷淋板105的侧面围起来的环状空间109。环状空间109与用于导入等离子体激励用气体的气体导入口110相连通。
另一方面,在喷淋板105的侧面、即一体物的喷淋板主体上朝向喷淋板105的中心方向横向地开设有直径1mm的许多个横孔111。同时,与该横孔111相连通地开设有连通处理室102的许多个(230个)纵孔112。
图2表示俯视喷淋板105的横孔111和纵孔112的配置。图3是表示横孔111和纵孔112的配置的立体示意图。横孔111自喷淋板105的侧面朝向中心部地设置,该横孔111沿喷淋板105的周向大致等间隔地设置多个,整体形态呈放射状。
另外,图4表示纵孔112的详细构造。纵孔12由设在处理室102侧的直径10mm、深10mm的第一纵孔112a、和进一步设在第一纵孔112a的前方(气体导入侧)的直径1mm的第二纵孔112b构成,与横孔111相连通。并且,在第一纵孔112a上从处理室102侧观察依次安装有陶瓷构件113和多孔质陶瓷气体流通体114;上述陶瓷构件113高5mm,由氧化铝挤压成型品构成,开设有多个直径50μm的气体排出孔113a;上述多孔质陶瓷气体流通体114为直径10mm、高5mm的圆柱状,具有在气体流通方向上连通的气孔。
以例如下述要点形成横孔11以及纵孔112。
首先,在形成横孔111时,在对烧结用原料粉末进行压粉成型而得到的生坯成形体的阶段,准备烧结收缩后的直径尺寸为
Figure G2007800202482D0007163312QIETU
1mm的尺寸的长钻。横孔111的长度尺寸如图2所示是长短不一的,当中最长的孔长短大约达250mm,因此长钻必须达到同等以上的长度,所以使用具有杨氏模量在500GPa以上的刚性的超硬合金材料较佳。在横孔的长度较短的情况下,利用由上述材料构成的短钻进行孔加工,在横孔的长度为细长的情况下,在利用短钻加工了预孔之后,利用长钻沿着该预孔进行加工,从而能够将同心度和真直度形成加工在2μm以内。
关于纵孔112,在同样利用烧结收缩后的尺寸为1mm的尺寸的超硬合金制的短钻加工了第二纵孔112b之后,再利用烧结收缩后的尺寸为10mm的尺寸的超硬合金工具对第一纵孔112a进行孔加工。
参照图1表示将等离子体激励用气体导向处理室的方法。自气体导入口110导入的等离子体激励用气体被导向环状空间109,并且经由横孔111、纵孔112最终自设在纵孔112的前端部分上的气体排出孔113a被导入处理室102中。
在喷淋板105的上表面设有用于放射微波的、开设有许多个缝隙的径向线缝隙天线的缝隙板15、用于使微波沿径向传播的滞波板116、以及用于将微波导入天线的同轴波导管117。另外,滞波板116由缝隙板115和金属板118夹持。在金属板118上设有冷却用流路119。
在该结构中,利用自缝隙板15放射的微波电离自喷淋板105供给的等离子体激励用气体,从而在喷淋板105的正下方数毫米的区域内产生高密度等离子体。产生的等离子体由于扩散而到达被处理基板103。自喷淋板105除了导入等离子体激励用气体之外,作为积极地产生自由基的气体,也可以导入氧气、氨气。
在图示的等离子体处理装置中,在处理室102中、喷淋板105和被处理基板103之间配置有由铝、不锈钢等导体构成的下层喷淋板120。该下层喷淋板120具有用于将自处理气体供给口121供给的处理气体导向处理室102内的被处理基板103的多个气体流路120a,利用形成在气体流路120a的与被处理基板103对应的面上的许多个喷嘴120b、将处理气体排出到下层喷淋板120与被处理基板103之间的空间。在此,作为处理气体,在进行Plasma-Enhance Chemical Vapor Deposition(PECVD,等离子增强化学汽相淀积)处理的情况下、在形成硅系的薄膜的情况下,导入硅烷气体、二硅烷气体,在形成低介电常数膜的情况下,导入C5F8气体。另外,作为处理气体,也可以是导入了有机金属气体的CVD(化学汽相淀积)。另外,在进行Reactive Ion Etching(RIE,反应离子腐蚀)处理的情况下、在进行硅氧化膜蚀刻的情况下,导入C5F8气体和氧气为处理气体,在蚀刻金属膜、硅的情况下,导入氯气、HBr气体为处理气体。在进行蚀刻时,在需要离子能量的情况下,借助电容器使RF电源122与设在上述保持台104内部的电极相连接,施加RF电力,从而使被处理基板103上产生自偏压电压。流动的处理气体的气体种类并不限定于上述气体、而是根据处理来设定流动的气体、压力。
在下层喷淋板120上的相邻的气体流路120a彼此之间设有开口部120c,该开口部120c的大小是使在下层喷淋板120的上部利用微波激励的等离子体因扩散而高效通过到被处理基板103和下层喷淋板120之间的空间中的程度。
另外,流进喷淋板105的热流通过暴露在高密度等离子体中,可利用经由缝隙板105、滞波板106、以及金属板118流向冷却用流路119的水等的制冷剂进行排热。
参照图4,在本实施例中的由氧化铝材料构成的圆柱状的陶瓷部件113上开设的多个气体排出孔113a的直径为50μm。该数值小于作为1012cm-3的高密度等离子体的衬层(sheath)厚度的40μm的2倍,但大于作为1013cm-3的高密度等离子体的衬层厚度的10μm的2倍。
另外,形成在与等离子体相接触的物体表面上的衬层的厚度d可用下式求得。
数1
d = 0.606 λ D ( 2 V 0 T e ) 3 / 4
在此,V0是等离子体与物体的电位(单位为V),Te是电子温度(单位为eV),λD是可用下式求得的德拜长度。
数2
λ D = ϵ 0 k T e n e e 2 = 7.43 × 10 3 T e [ eV ] n e [ m - 3 ] [ m ]
在此,ε0是真空的导磁率,k是玻尔滋曼常数,ne是等离子体的电子密度。
如表1所示,由于在等离子体的电子密度上升时德拜长度下降,因此从防止等离子体的逆流这一观点出发,可以说优选气体排出孔113a的孔径更小。
表1
Te=2eV,VD=12V
 
等离子体密度(cm-3) 德拜长度(mm) 衬层厚度(mm)
1013 0.003 0.01
1012 0.011 0.04
1011 0.033 0.13
1010 0.105 0.41
并且,将气体排出孔113a的长度设为比电子发生散射之前的平均距离即平均自由行程长的长度,从而可以急剧降低等离子体的逆流。表2表示电子的平均自由行程。平均自由行程与压力成反比,在0.1Torr时为4mm。实际上,由于气体排出孔113a的气体导入侧的压力较高,因此平均自由行程比4mm短,但在本实施例中,将直径为50μm的气体排出孔113a的长度设成5mm,比平均自由行程长。
表2
Ar气气氛中的电子的平均自由行程
 
压力(P)(Torr) 平均自由行程(λen)(mm)
10 0.04
1 0.4
0.1 4
λen(mm)=0.4/P(Torr)
但是,由于平均自由行程毕竟是平均距离,因此从统计上看存在没有发生散射而行进了更长距离的电子。因此,在本实施例中,在气体排出孔113a的气体导入侧设置具有在流通方向上连通的气孔的多孔质陶瓷气体流通体114。
该多孔质陶瓷气体流通体114采用平均结晶粒子直径在10μm以下、更优选在5μm以下、气孔率为20~75%、最大气孔直径在75μm以下、弯曲强度在30MPa以上的材料。
为了抑制等离子体在气孔中逆流、在第二纵孔112b中发生异常放电,将气孔直径的大小设成在形成于喷淋板105正下方的高密度等离子体的衬层厚度的2倍以下,优选在衬层厚度以下。本实施例中的多孔质陶瓷气体流通体114利用连通的气孔可以确保气体的流通性,其流通路径弯曲成Z字形,而且介于其中存在有许多个5μm以下、即使大也是在10μm以下的狭路,该狭路的大小在10μm以下,与作为1013cm-3的高密度等离子体的衬层厚度的10μm是相同程度或低于该衬层厚度。通过上述设置,对于1013cm-3的高密度等离子体也可使用本喷淋板。
采用具有上述结构的喷淋板105,通过在喷淋板主体上设置用于导入来自气体导入口110的气体的横孔111,从而不需要以往的喷淋板中的相互独立的盖板。因而,在进行清洁作业时容易拆卸、吊起,还提高了维护性。并且,由于不需要用于拆卸、吊起的特殊夹具,因此也不会因上述夹具而损坏等离子体的稳定性。然后,由于容易进行拆卸、吊起作业,因此能够防止在进行上述作业时发生喷淋板的变形这样的情况,从该点来看还能够防止等离子体的稳定性受到损坏。而且,也不需要用于粘合喷淋板主体和盖板的密封用O型密封圈,能够消除因该密封用O型密封圈引起的异常放电。
另外,在本实施例中,通过在气体排出孔113a的上游侧设置多孔质陶瓷气体流通体114,能够防止等离子体在纵孔112的气体导入侧发生逆流,从而能够抑制在喷淋板105内部发生异常放电、气体的堆积,因此,能够防止用于激励等离子体的微波的传输效率、成品率的下降。另外,不会阻碍与等离子体相接触的面的平坦度,可高效激励等离子体。而且,气体排出孔113a是利用挤压成型法等形成在与喷淋板105相互独立的陶瓷构件113上的,因此与利用孔加工在喷淋板上形成气体排出孔的情况相比,能够易于形成直径在0.1mm以下的微细且较长的气体排出孔。
另外,多孔质陶瓷流通体114和陶瓷构件113由介电损耗在1×10-3以下、更优选在5×10-4以下的高纯度陶瓷材料而形成。
另外,向被处理基板103均匀地供给等离子体激励用气体,并且自下层喷淋板120借助喷嘴120b将处理气体排向被处理基板103,结果能够均匀地形成自设在下层喷淋板120上的喷嘴120b朝向被处理基板103的处理气体的气流,处理气体返回到喷淋板105的上部的成分变少。结果减少了因暴露在处理气体中而过度解离、由此导致处理气体分子的分解,且即使处理气体是堆积性气体也难于发生因向喷淋板105的堆积而产生微波导入效率的降低等,因此能够缩短清洁时间、提高处理稳定性和再现性,从而提高生产率,并且可以进行高品质的基板处理。
另外,第一纵孔112a以及第二纵孔112b的个数、直径以及长度、开设在陶瓷构件113上的气体排出孔113a的个数、直径以及长度等并不限定于本实施例的数值。
工业上可利用性
本发明的喷淋板除了可利用在微波等离子体处理装置中,还可利用在平行平板型高频激励等离子体处理装置、电感耦合型等离子体处理装置等、各种等离子体处理装置中。

Claims (4)

1.一种喷淋板,该喷淋板配置在等离子体处理装置的处理室中,为了在处理室中产生等离子体而排出等离子体激励用气体,
将喷淋板设为一体物,在该喷淋板上设置用于导入来自等离子体处理装置的气体导入口的等离子体激励用气体的横孔、和与该横孔相连通,并用于排出等离子体激励用气体的纵孔,该横孔自该喷淋板的侧面朝向中心部地设置且该横孔沿着该喷淋板的周向设有多个。
2.一种等离子体处理装置,该等离子体处理装置将权利要求1所述的喷淋板配置在处理室中。
3.一种等离子体处理方法,该等离子体处理方法使用权利要求1所述的喷淋板,将等离子体激励用气体供给到等离子体处理装置中,利用微波激励所供给的等离子体激励用气体而产生等离子体,使用该等离子体对基板实施氧化、氮化、氧氮化、CVD、蚀刻、或等离子体照射。
4.一种电子装置的制造方法,包含利用权利要求3所述的等离子体处理方法来处理基板的工序。
CN2007800202482A 2006-06-13 2007-06-13 喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法 Expired - Fee Related CN101461038B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP163526/2006 2006-06-13
JP2006163526A JP5069427B2 (ja) 2006-06-13 2006-06-13 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
PCT/JP2007/061858 WO2007145230A1 (ja) 2006-06-13 2007-06-13 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法

Publications (2)

Publication Number Publication Date
CN101461038A CN101461038A (zh) 2009-06-17
CN101461038B true CN101461038B (zh) 2012-03-28

Family

ID=38831744

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800202482A Expired - Fee Related CN101461038B (zh) 2006-06-13 2007-06-13 喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法

Country Status (6)

Country Link
US (1) US20090286405A1 (zh)
JP (1) JP5069427B2 (zh)
KR (1) KR101029089B1 (zh)
CN (1) CN101461038B (zh)
TW (1) TW200816278A (zh)
WO (1) WO2007145230A1 (zh)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
JP5103223B2 (ja) * 2008-02-27 2012-12-19 東京エレクトロン株式会社 マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理装置の使用方法
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
WO2015023435A1 (en) 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6643096B2 (ja) * 2016-01-18 2020-02-12 東京エレクトロン株式会社 プラズマ処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US20230057217A1 (en) * 2020-01-29 2023-02-23 Lam Research Corporation Gas distribution faceplate with oblique flow paths
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0759632A1 (en) * 1995-08-17 1997-02-26 Tokyo Electron Limited Plasma processing apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0372080A (ja) * 1989-08-10 1991-03-27 Fujitsu Ltd プラズマ気相成長装置
JPH05144753A (ja) * 1991-11-21 1993-06-11 Nissin Electric Co Ltd 薄膜気相成長装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH08157296A (ja) * 1994-12-05 1996-06-18 Fujitsu Ltd 原料またはガスの供給装置
JP3501910B2 (ja) * 1996-04-23 2004-03-02 東京エレクトロン株式会社 プラズマ処理装置
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP4124383B2 (ja) * 1998-04-09 2008-07-23 財団法人国際科学振興財団 マイクロ波励起プラズマ装置用のシャワープレート及びマイクロ波励起プラズマ装置
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP3002448B1 (ja) * 1998-07-31 2000-01-24 国際電気株式会社 基板処理装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
JP2001070354A (ja) 1999-09-03 2001-03-21 Suzuki Motor Corp 電動車椅子の走行補助車輪装置
JP2001189308A (ja) * 1999-12-28 2001-07-10 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1804274A3 (en) * 2001-03-28 2007-07-18 Tadahiro Ohmi Plasma processing apparatus
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4799748B2 (ja) * 2001-03-28 2011-10-26 忠弘 大見 マイクロ波プラズマプロセス装置、プラズマ着火方法、プラズマ形成方法及びプラズマプロセス方法
JP2004228426A (ja) * 2003-01-24 2004-08-12 Mitsubishi Materials Corp プラズマ処理装置用シャワープレートおよびその製造方法
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP4686319B2 (ja) * 2004-09-28 2011-05-25 株式会社 セルバック Cvd装置
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0759632A1 (en) * 1995-08-17 1997-02-26 Tokyo Electron Limited Plasma processing apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2004-228426A 2004.08.12

Also Published As

Publication number Publication date
JP2007335510A (ja) 2007-12-27
TW200816278A (en) 2008-04-01
CN101461038A (zh) 2009-06-17
JP5069427B2 (ja) 2012-11-07
KR101029089B1 (ko) 2011-04-13
TWI353630B (zh) 2011-12-01
KR20090012354A (ko) 2009-02-03
US20090286405A1 (en) 2009-11-19
WO2007145230A1 (ja) 2007-12-21

Similar Documents

Publication Publication Date Title
CN101461038B (zh) 喷淋板、和使用它的等离子体处理装置及处理方法及电子装置的制造方法
TWI392021B (zh) And a gas release hole, and a method for manufacturing the same
JP5463536B2 (ja) シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
KR101130111B1 (ko) 샤워 플레이트 및 그 제조 방법, 그리고 그 샤워 플레이트를 이용한 플라즈마 처리 장치, 플라즈마 처리 방법 및, 전자 장치의 제조 방법
CN1947216B (zh) 等离子体加工系统的多片挡板组件
CN1229855C (zh) 等离子体处理装置
CN1306566C (zh) 等离子体处理装置
CN103382551B (zh) 半导体膜形成装置和工艺
EP2479781B1 (en) Plasma etching method
US6344420B1 (en) Plasma processing method and plasma processing apparatus
CN100405557C (zh) 等离子体处理装置
KR20070079797A (ko) 다중 원격 플라즈마 발생기를 구비하는 기판 처리 시스템
JPH10189296A (ja) 平行板電極プラズマリアクタ
US20070163501A1 (en) Plasma processing apparatus
TW201237936A (en) Dual plasma source, lamp heated plasma chamber
CN1460287A (zh) 等离子体处理装置
JP5604622B2 (ja) シャワープレートの製造方法
CN106835070A (zh) 微波等离子体化学气相沉积金刚石反应装置
US20070189918A1 (en) Device and method for generating excited and/or ionized particles in a plasma
CN101467498A (zh) 喷淋板及其制造方法、和使用了它的等离子体处理装置、处理方法及电子装置的制造方法
US20120304934A1 (en) Porous ceramic gas distribution for plasma source antenna

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120328

Termination date: 20140613

EXPY Termination of patent right or utility model