CN101319309A - 用流动梯度设计沉积均匀硅膜的方法和装置 - Google Patents
用流动梯度设计沉积均匀硅膜的方法和装置 Download PDFInfo
- Publication number
- CN101319309A CN101319309A CNA2007101653537A CN200710165353A CN101319309A CN 101319309 A CN101319309 A CN 101319309A CN A2007101653537 A CNA2007101653537 A CN A2007101653537A CN 200710165353 A CN200710165353 A CN 200710165353A CN 101319309 A CN101319309 A CN 101319309A
- Authority
- CN
- China
- Prior art keywords
- gas distribution
- restriction
- distribution grid
- plate
- flow
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 45
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 34
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 33
- 239000010703 silicon Substances 0.000 title claims abstract description 33
- 238000000151 deposition Methods 0.000 title claims abstract description 28
- 238000013461 design Methods 0.000 title description 7
- 238000009826 distribution Methods 0.000 claims abstract description 178
- 238000012545 processing Methods 0.000 claims abstract description 35
- 239000007789 gas Substances 0.000 claims description 243
- 239000000758 substrate Substances 0.000 claims description 95
- 230000015572 biosynthetic process Effects 0.000 claims description 20
- 239000001257 hydrogen Substances 0.000 claims description 13
- 229910052739 hydrogen Inorganic materials 0.000 claims description 13
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 11
- 230000008878 coupling Effects 0.000 claims description 10
- 238000010168 coupling process Methods 0.000 claims description 10
- 238000005859 coupling reaction Methods 0.000 claims description 10
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 5
- 229910000077 silane Inorganic materials 0.000 claims description 5
- 239000004568 cement Substances 0.000 claims 1
- 239000002131 composite material Substances 0.000 claims 1
- 230000008569 process Effects 0.000 description 29
- 230000000694 effects Effects 0.000 description 16
- 239000010410 layer Substances 0.000 description 15
- 230000008021 deposition Effects 0.000 description 13
- 238000002425 crystallisation Methods 0.000 description 11
- 230000008025 crystallization Effects 0.000 description 11
- 238000005452 bending Methods 0.000 description 10
- 239000013081 microcrystal Substances 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 6
- 238000003325 tomography Methods 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 230000006872 improvement Effects 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 239000008246 gaseous mixture Substances 0.000 description 3
- 230000001965 increasing effect Effects 0.000 description 3
- 230000002093 peripheral effect Effects 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 238000007669 thermal treatment Methods 0.000 description 3
- WXRGABKACDFXMG-UHFFFAOYSA-N trimethylborane Chemical compound CB(C)C WXRGABKACDFXMG-UHFFFAOYSA-N 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000003028 elevating effect Effects 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 229910021424 microcrystalline silicon Inorganic materials 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000007665 sagging Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 230000009466 transformation Effects 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 239000012752 auxiliary agent Substances 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- -1 character Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 1
- NTQGILPNLZZOJH-UHFFFAOYSA-N disilicon Chemical compound [Si]#[Si] NTQGILPNLZZOJH-UHFFFAOYSA-N 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 230000009916 joint effect Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 230000010355 oscillation Effects 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 238000012797 qualification Methods 0.000 description 1
- 238000003908 quality control method Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000013049 sediment Substances 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- 238000002910 structure generation Methods 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 239000000725 suspension Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000007306 turnover Effects 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本发明提供一种由气体分布板产生流动梯度的方法和装置。在一个实施例中,该方法和装置特别用于,但不限于,沉积太阳能电池用硅膜。沉积太阳能电池用均匀硅膜的装置包括处理室,和设置在处理室中并具有至少四个由四个边分隔的角的四边形的气体分布板。该气体分布板还包括穿过气体分布板形成的第一多个节流口,该第一多个节流口位于角中,和穿过气体分布板形成的第二多个气体节流口,该第二多个节流口沿角区域之间的边设置,其中第一多个节流口具有比第二多个节流口更大的流动阻力。
Description
技术领域
本发明的实施方式一般涉及处理室中的气体分布板组件及其制造方法。
背景技术
光伏器件(PV)或太阳能电池是将阳光转化为直流(DC)电能的器件。PV或太阳能电池一般具有一个或多个p-i-n结。在半导体材料中每个结包括两个不同的区域,其中一侧表示p-型区域而另一侧表示n-型区域。当PV电池的p-i-n结暴露在阳光(由光能组成)下时,通过PV效应将阳光直接转化为电。PV太阳能电池产生特定量的电能并且电池被搭建成一定尺寸的模式来输送预定量的系统能量。PV模式通过连接多个PV太阳能电池然后使用特定框架和连接器结合在面板中而形成。
PV太阳能电池一般包括形成在大透明基板上的光电转换单元。光电转换单元包括顺序沉积在透明基板上的p-型、本征型(i-型)和n-型硅层。可用于形成光电转换单元的硅膜可以包括多晶硅(多晶-硅)、微晶硅(μc-Si)和非晶硅(a-Si)膜。一般利用等离子体增强化学气相沉积(PECVD)在透明基板上形成硅膜。PECVD处理是通过将前驱物气体或气体混合物导入包括透明基板的真空室而进行。前驱物气体或气体混合物由分布板朝向透明基板供给。将RF能源施加到室中的分布板和/或基板支撑组件来形成前驱物气体或气体混合物的等离子体,接着在透明基板上沉积具有预定膜性质的硅层。
由于对较大太阳能电基板的需求不断增长,在越来越大的基板的表面积上的PECVD处理期间保持均匀的等离子体和/或处理气体流速变得越来越困难。在沉积膜的中心和边缘区域之间膜性质的差异对生产大型且高效的太阳能电池存在巨大挑战。随着不断增加的基板尺寸,边缘到中心的特性变化已变得更加不能预知的。
因此,需要一种改进的装置,用于在大面积基板上通过化学气相沉积处理来沉积具有预定性质的均匀的膜。
发明内容
本发明提供一种产生流速梯度的方法和装置,该流速梯度从适于沉积用于太阳能电池应用的硅膜的气体分布板产生。在一个实施例中,用于沉积太阳能电池用膜的装置可以包括处理室,和设置在处理室中并具有至少四个由四个边分隔的角的四边形气体分布板。气体分布板还包括穿过气体分布板形成的第一多个节流口、位于角的第一多个节流口,和通过气体分布板形成的第二多个节流口、沿角区域之间的气体分布板一边的第二多个节流口,其中第一多个节流口具有比第二多个节流口更大的流动阻力。
在另一个实施例中,用于沉积太阳能电池用膜的装置可以包括处理室,和设置在处理室中并具有至少四个由四个边分隔的角的四边形气体分布板。气体分布板还包括通过气体分布板形成的第一多个节流口、位于角的第一多个节流口,和通过气体分布板形成的第二多个节流口、沿角区域之间的气体分布板一边的第二多个节流口,其中第一多个节流口具有比第二多个节流口更长的长度。
在另一个实施例中,用于沉积太阳能电池用膜的装置可以包括处理室,和沉积在处理室中具有多个贯通形成的节流口的气体分布板,布置节流口以限定至少三个不同流动阻力的区域,其中限定在气体分布板角的第一区域具有比沿气体分布板边限定的第二区域更大的流动阻力,限定在气体分布板中心的第三区域具有比第二区域更小的流动阻力。
在另一实施例中,在室中沉积太阳能电池用均匀膜的方法可以包括将基板提供至室中,该室具有面对设置在室中的基板支撑组件的气体分布板;使处理气体通过气体分布板的角向基板以小于通过气体分布板中心流动的处理气体流速的流速流动;以及由处理气体在基板上沉积硅膜。
附图说明
为了实现并能详细理解本发明上述特征的方式,通过参照下面附图所示的实施例可以进行上述简要概括的本发明更详细的描述。
图1示出处理室的一个实施方式的截面示意图;
图2A-C示出在产生流动梯度的制造的不同阶段的气体分布板的截面示意图;
图3A-B示出产生流动梯度的气体分布板在制造的不同阶段的截面示意图;
图4A-B示出产生流动梯度的气体分布板在制造的不同阶段的另一实施例的截面示意图;
图5示出适于制造气体分布板的热处理的一个实施例;
图6A-B示出图5中所示热处理的不同阶段;
图7示出可以形成在气体分布板上的节流口的一个实施例;
图8示出具有贯通形成的节流口的不同结构的气体分布板的另一实施例的截面图;
图9A-C示出具有多个提供气体流动梯度的节流口的气体分布板的另一实施例;
图10A-D示出可以形成在气体分布板上的节流口的不同实施例;
图11A-B示出制造气体分布板的处理流程的不同阶段的气体分布板的截面图;
图12A-B示出具有形成在板的中心和边缘区域的不同节流口结构的气体分布板的另一实施例;
图13示出气体分布板的仰视示意图;
图14A-B示出具有形成在板不同区域的不同节流口结构的板的截面图的示例性实施例;
图15示出气体分布板的俯视图的另一实施例;
图16A-B示出沿A-A线提取的图15的气体分布板1500的截面图;
图17A-17C示出可以具有形成在其上的不同节流口结构的适配板1700的不同实施例;
图18A-C示出沿B-B线提取的图15的气体分布板1500的截面图;以及
图19A-19B示出刻槽的气体分布板的不同实施例的平面图。
为了方面理解,只要可能,所使用的相同的附图标记代表附图中同一元件。可以理解一个实施例的元件和特征可以不需要进一步的描述而有利地合并到其他实施例中。
然而,值得注意,由于本发明可以允许其他等效实施例,因此附图仅示出本发明的示例性实施例,并不意在限定其范围。
具体实施方式
本发明提供一种沉积适于太阳能电池用硅膜的方法和装置。在一个实施例中,该装置包括具有不同节流口长度以产生向基板的气体流动梯度的气体分布板。有气体分布板产生的流动梯度对通过气体分布板向基板表面供应的处理气体提供边缘到中心分布的灵活控制。跨越基板表面的控制气体分布增强了调整沉积在基板上的膜的厚度和/或轮廓的能力。由气体分布板上不同节流口长度产生的流动梯度也对方便控制在基板宽度范围内的膜性质差异提供工艺控制属性。
图1是等离子体增强化学气相沉积(PECVD)室100的一个实施例的截面示意图,其中可以形成一个或多个适于制造太阳能电池或其他大面积器件的膜。一个适合的等离子体增强气相沉积室可以使用来自加利福尼亚的圣克拉拉(Santa Clara,California)的应用材料有限公司(Applied Materials Inc.)。可以理解可以利用包括其他制造商的其他的沉积室来实现本发明。也可以理解可以有利地应用在此描述的技术来制造其他结构或器件。
室100一般包括壁102和底104,壁102和底104限定一个处理空间106。气体分布板110和基板支撑组件130设置在处理空间106中利用贯穿壁102形成的狭缝阀通道108进出处理空间106,该通道能使基板140进入或移出室100。
基板支撑组件130包括用于在其上支撑基板140的基板接收表面132。杆134使支撑组件130与升降系统136耦合,该升降系统136在基板传输和处理位置之间提升和降低基板支撑组件130。当处理防止沉积在基板140的边缘时,屏蔽框架133可以任选地放置在基板140的边缘上。升降杆138通过基板支撑组件可移动地设置,并且升降杆138用于将基板140从基板接收表面分隔以方便基板与机械手叶片的交换。基板支撑组件130还可以包括使用的加热和/或冷却元件139,加热和/或冷却元件139维持基板支撑组件130在预定温度。基板支撑组件130还可以包括接地带131,接地带131提供绕基板支撑组件130周边的RF接地。接地带的实施例公开在2000年2月15日授予给Law等人的美国专利No.6,024,044和2006年12月20日提交的Park等人的美国专利申请No.11/613,934中。
气体分布板110通过悬架114在背板112的周边与背板112耦合。气体分布板110还可以通过一个或多个中心支撑116与背板112耦合来帮助防止气体分布板110的下垂和/或控制平直度/曲率。在一个实施例中,气体分布板110可以为具有不同尺寸的不同结构。在一个示例性实施例中,气体分布板110是四边形气体分布板。气体分布板110具有上表面198和下游表面150。上表面198面对背板112的下表面196。气体分背板110包括多个节流口111,截流口111贯穿气体分布板110形成并面对设置在基板支撑组件130的基板的上表面118。节流口111可以具有不同的形状、数量、密度、尺寸和跨越气体分布板110的分布。可以在大约0.01英寸到大约1英寸之间选择节流口111的直径。气体源120与背板112耦合以将气体供应给限定在气体分布板110和背板112之间的充气室。来自气体源120的气体从形成在气体分布板110上的节流口111流到处理空间106。
在一个实施例中,在板110的不同区域的节流口111具有不同的流导,因此产生进入处理空间106的流动梯度。可以利用节流口111的长度、形状、外形、孔粗糙度和/或其他属性来控制每个节流口111的流导。由于节流口111不同的流导可以允许不同容量的处理气体流入处理空间106,因此跨越基板表面118的产生的流动梯度可以有效地被利用并且设置流动梯度来调整沉积在基板表面118上的外形、膜性质和厚度。已经发现通过使气体分布板110的角具有相对于板110的边缘不同的流导,可以改善膜的均匀性。
在一个实施例中,可以通过从板110的上表面198和/或下游表面加工板110的一部分来形成节流口111的不同长度,因此结果是位于加工部分的节流口111比位于非加工部分的节流口111具有更短的长度。可选择地,可以通过包括节流口111中心形成的一个或多个孔来形成节流口111的长度以在气体分布板110中产生不同的通道外形,下面将结合图7-10D更加详细的描述。
真空泵109与室100耦合来维持处理空间106在预定压力。RF电源122与背板112和/或气体分布板110耦合以提供RF电能而在气体分布板110和基板支撑组件130之间形成电场,因此在气体分布板110和基板支撑组件130之间可以由存在的气体产生等离子体。可以使用不同的RF频率,例如在大约0.3MHz到大约200MHz之间的频率。在一个实施例中设置RF电源为13.56MHz的频率。气体分布板的实施例公开在2002年11月12日授予给White等人的美国专利No.6,477,980、2005年11月17日公开的Choi等人的美国公开No.20050251990和2006年3月23日公开的Keller等人的美国公开No.2006/0060138中。
也可以将远程等离子体源124,例如感应耦合远程等离子体源,耦合在气体源和背板之间。在处理的基板之间,可以在远程的等离子体源124中激发清洁气体来提供利用远程产生的等离子体清洁室部件。清洁气体还可以由通过电源122提供给气体分布板110的RF电能激发。适和的清洁气体包括,但不限于,NF3、F2和SF6。在1998年8月4日授予给Shang等人的美国专利No.5,788,778中公开了远程等离子体源的实施例。
在一个实施例中,可以在室100中处理的基板140可以具有10,000cm2或更大,例如40,000cm2或更大,例如55,000cm2或更大的面积。可以理解处理之后基板可以被切开形成更小的太阳能电池或其他器件。
在一个实施例中,可以设置加热和/或冷却元件139来维持在沉积期间基板支撑组件大约400摄氏度或更少,例如约100摄氏度和约400摄氏度之间,或大约150摄氏度到大约300摄氏度之间,例如大约200摄氏度。
在沉积期间,设置在基板接收表面132的基板上表面与气体分布板110之间的间距可以在400密耳到大约1,200密耳之间,例如在400密耳到大约800密耳之间。
为了硅膜的沉积,通过气体分布板110提供硅基气体和氢基气体。适宜的硅基气体包括,但不限于硅烷(SiH4)、乙硅烷(Si2H6)、四氟硅烷(SiF4)、四氯硅烷(SiCl4)、二氯硅烷(SiH2Cl2)及其混合物。适宜的氢基气体包括,但不限于氢气(H2)。p-型硅层的p-型掺杂物可以包括III族元素,例如硼或铝。在一个实施例中,使用硼作为p-型掺杂物。含硼源的实施例包括三甲基硼(TMB)、二硼烷(B2H6)、BF3、B(C2H5)3、BH3、BF3和B(CH3)3及其类似化合物。在另一个实施例中,使用TMB作为p-型掺杂物。n-型硅层的n-型掺杂物可以包括V族元素,例如磷、砷或锑。含磷源的实施例包括磷化氢和类似化合物。一般掺杂物由载体气体,例如氢、氩、氦或其它适宜的化合物。在此公开的一个处理方案中,设置氢基气体的总气体流速。因此,如果氢基气体诸如作为用于掺杂物的载体气体,则应当从氢基气体的总气体流速中减去载体气体的流速来确定应当提供多少额外的氢基气体给室。
图2A-C示出在制造顺序的不同阶段气体分布板的截面图。气体分布板110具有上表面198,上表面198面对背板112并且与面对基板支撑组件130的下游表面150相对。在一个实施例中,上表面198和下游表面150可以是平行的平面。如上述所讨论,节流口111可以具有不同的构造、形状、特征和数量以满足不同的处理需要。在图2A所示的一个实施例中,既在板110的角部分224又在边缘部分226的节流口111可以具有等长度220、222的直壁。在板110的上表面198和/或下游表面150可以被加工或其他方式形成在相对于背板112更低表面196的凹面206和/或基板支撑组件130的上表面132中。如图2B所示,在加工处理移除板110上表面198一部分的实施例中,凹面206产生在板110中,导致板110的的中心部分226比角部分224更薄。在一个实施例中,可以设置在凹面206和初始平面(如断层198中所示)之间的弦深(chord depth)254在大约0.05英寸到大约1英寸之间。凹面206和初始平面(如断层198中所示)之间形成的的弦深254小于板110的尺寸。在一个实施方式中,可以控制最大弦深254在不大于板110的固有长度的大约百分之3,例如在大约百分之0.1到大约百分之2.0。为了比较矩形或圆形板110的弦深254,认为固有长度是“等效半径”。对于圆形的分布板,等效半径等于板的半径。对于正方形或矩形板,等效半径等于对角线长的一半。在具有大约2200mm×1870mm尺寸的板110的一个实施例中,等效半径是大约1440mm,以及最大弦深304是大约28.4mm。
形成在板边缘部分226的节流口204可以具有比形成在中心部分224的节流口250的长度220更短的长度222(因此,阻力更小)。另外,可以任选地设置板110的凹面206以使在板110边缘部分的节流口111的长度大于邻近板110中心的节流口的长度。节流口逐渐变化的长度产生通过板110不同的流动阻力,因此导致通过气体分布板110流入处理空间106的处理气体不同的流速和/或体积速率特征。特别是,设置节流口110减少通过在相对板110边缘的角的板110的流导。流经气体分布板110的不同量的处理气体在处理空间106中产生流动梯度。可以选择梯度提供调整沉积膜外形、性质、膜的均匀性和厚度和/或沉积膜的物理属性的处理控制按钮。因此,可以利用气体分布板的使用来提高沉积硅膜中面层到边缘和边缘到中心的晶体百分比配额。
还可以使用流动梯度助剂来调整沉积膜从中心到边缘的均匀性。例如,在一个实施例中,其中使用传统气体分布板膜应当以一般拱形膜外形(例如,中心部分比边缘部分厚的膜外形)沉积,可以利用相对邻近边缘部分226和角部分226设置的节流口的在板110的中心部分的更短长度的节流口来调整沉积形成在基板上的膜的外形为更平整的外形。相反,在一个实施例中,其中使用传统的气体分布板膜应当以一般凹面型膜外形(例如,具有中心部分比边缘部分薄的膜)沉积,可以利用相对于邻近边缘部分设置的节流口的在中心部分更长长度的节流口250。
在另一个实施例中,可以加工板110的下游表面110或以其它方式形成相对于基板支撑组件130上表面132的弯曲表面260。加工处理从板110的下游表面除去板110的一部分,以使板110的边缘部分226的中心比角部分224薄,如图2C所示。在室100中安装板110时,板110的弯曲表面260在有弯曲表面260到基板支撑组件130之间产生逐渐变化的距离。在一个实施例中,在弯曲表面260和初始平面(如断层198中所示)之间产生的弦深256在大约0.05英寸到大约1英寸之间。由于下游弯曲表面260和基板支撑组件130之间的距离跨越基板支撑表面132逐渐变化,因此可以控制膜的沉积外形。与弯曲的下游表面260组合的板110的弯曲上表面206在处理期间既产生跨越基板表面的流动梯度又产生跨越基板表面的梯度间隔,因此对跨越基板表面的气体和/或等离子体分布提供增强的控制以允许控制沉积膜的外形、性质、膜的均匀性和厚度。
在一个实施例中,节流口111具有在产生中空阴极效应的范围内选择的直径258。在处理期间,产生等离子体来使室中供应的混合气体离子化。带有选择范围的节流口直径,等离子体可以滞留在气体分布板110的节流口111中因此增加电子发射、电子的振荡运动和气体离子化作用,这就是“中空阴极效应”。其它的选择节流口111的几何形状的实施例,例如具有小于或大于提供中空阴极效应的直径,等离子体不滞留在节流口111中,因此消除了有害的过反应和/或过沉积。在一个实施例中,节流口111的直径238具有大约0.05英寸到大约0.5英寸之间的直径来产生预定数量的中空阴极效应。
在一些不期望中空阴极效应的实施例中,可以选择节流口111的直径238在0.01英寸到大约0.05英寸之间。因此,如图2B所示,形成在下游表面150上的节流口111,和/或形成在图2C中的下游弯曲表面260,可以具有不同的开口形状以控制节流口111中中空阴极效应的产生。参见图7-9将更加详细描述用于产生中空阴极效应和/或梯度的不同形状。
图3A-B示出产生边缘到中心流动梯度的气体分布板300的制造过程的不同阶段的气体分布板300的截面图。与图1和图2A-C中描述的气体分布板110的设计相似,如图3A所示,可以通过板300形成多个节流口314。然后使板300变形和/或加工板300以从板300的平面(如断层面302所示)形成弯曲上表面306。这一过程还可以产生板300的下游表面从而成为凸起表面316。随后,加工在边缘部分310的凸起表面316以形成平面312,使上表面306形成预定的凸起形状,这导致板300边缘部分310的中心和角部分308的节流口314具有不同的长度318、320,如图3B所示。应当注意为了简便,由制造过程产生的节流口314的变形没有在图中描述。
与图1和图2A-C形成的节流口111相似,在制造过程的开始在板300的中心和边缘部分308、310节流口314可以具有等长320、318的直壁。为了解释的方便,现在某些节流口314将成为内节流口322和外节流口324。内节流口322位于邻近板300的边缘部分310的中心,角节流口324位于邻近板300的角部分308。由于使板300变形使上表面302形成弯曲表面306,形成在板300中节流口314的尺寸、长度、深度和形状同样通过变形过程而改变。例如,由于使板300的下游表面312弯曲以形成凸起表面,因此相应地加工了位于板300边缘部分310的节流口322,因此导致板300边缘部分310的节流口322的长度变得比角部分308的节流口324的长度短。另外,由弯曲和/或变形过程在弯曲上表面306产生的节流口322变形还可能导致节流口322具有不同长度的内壁和/或内曲率,因此当气体通过板300时有助于产生流动梯度。通过限定并计算好的加工和/或弯曲过程,可以预定节流口的深度、长度、分布、形状和密度以产生跨越位于基板支撑组件130上的基板表面的预定的气体和/或等离子体的分布,因此方便控制沉积在基板上的膜的厚度特征和性质。
图4A-B示出制造具有弯曲表面的气体分布板400的流程的不同阶段的气体分布板400的截面图。如图4A所示,可以通过板400形成多个节流口450。使板400变形以从板400的平面(如断层面418所示)形成弯曲下游表面。这一过程还可以致使板400的上表面420变为从平面凸起的凸面420。随后,加工在边缘部分430中心的凸面420以形成平面422,如图4B所示,使下游表面402形成预定的弯曲形状。应当注意为了简便,由制造过程产生的节流口450的变形没有在图中描述。限定在弯曲表面402和初始平面(如断层418中所示)之间的弦深414在大约0.05英寸到大约1英寸之间,因此在弯曲表面402和面对的基板支撑组件130之间产生逐渐变化的距离。
节流口450具有形成在板400上的第一孔406、408和第二孔410、412。由于使板400变形使下游表面418形成弯曲表面402,形成在板400中节流口450的尺寸、长度和形状同样通过变形过程而改变。另外,由于加工板400得上表面,除去了一部分位于板400边缘部分430中心的第一孔406,因此使得板400边缘部分430中心的第一孔406的长度比为于中心部分408的第一孔406的长度短。另外,由弯曲过程产生的在弯曲表面402的第二孔410、412的变形还可能导致第二孔412、410具有锥形内壁和不同的空腔外形,因此产生中空阴极效应和/或中空阴极梯度(HCG),中空阴极梯度造成在跨越基板表面的等离子体均匀性的梯度。通过预先限定的和计算好的壁的加工和/或弯曲过程,可以选择孔的深度、分布、形状和密度以产生跨越位于基板支撑组件130上的基板表面的预定的气体和/或等离子体的分布,因此在基板表面上沉积具有预定厚度特征和膜性质的膜。
图5示出用于制造具有弯曲表面的气体分布板的热处理的一个实施例的过程流程500。图6A-B示出使用图5所示热处理工艺500制造具有不同节流口长度的气体分布板的不同阶段。
流程500开始在步骤502将基本上平的气体分布板602放置在位于环境604中的外部支架608和内部支架610上。如图6A所示,板602的边缘部分606初始位于外部支架608上而内部支架610与板602间隔。任选地,外部支架可以仅仅支撑板602的角。可以由适于在大于500摄氏度下使用的材料形成内部支架610和外部支架608。外部支架608具有比内部支架610的高度630更高的高度632。由于板602位于通过边缘部分606位于外部支架608上,板602的中心部分616悬在内部支架610上。在热处理工艺完成之后,可以选择内部支架610和外部支架608的高度632、630之间的差产生板602的预定曲率。可选地,可以选择环境中内部支架的位置来控制板602的曲率。例如,近邻板602的中心线620设置内部支架610与近邻板602的边缘部分606设置内部支架510(相同的高度)相比可以产生更小的曲率。在一个示例性实施例中,可以选择内部支架610和外部支架608的高度来产生具有大约0.05英寸到大约1英寸的弦深的板。
可以进行过程500的环境604可以是室、反应炉、金属容器或任何其他适于进行热处理的环境类型。在一个实施例中,在进行热处理过程500之前可以形成穿过板602的节流口。可以以任何次序进行钻孔和热处理过程顺序。
在一个实施例中,当在室100中使用时板602的上表面612可以面对背板112。在室100中的安装板602的下表面614可以面对基板支撑组件130。可选择地,可以交换上游和下游表面以使弯曲表面面对背板112。
在步骤504,升高并维持环境604中的温度,例如在大约400摄氏度到大约600摄氏度之间,以软化气体分布板602。在一个实施例中,温度可以逐渐爬升直到预定温度,例如大约每2到5分钟升高10摄氏度,直到达到预定温度。
热处理一段时间之后,如图6B所示,板602开始软化并下垂。由于板602软化,重力向下拉伸板602的中心部分616直到板602接触到较低内部支架610的上表面。由于内部支架610和外部支架608具有预定的高度差,在板602中设置预定的曲率。同样预期对板602施加真空或其他机械力来促使获得预定板曲率。
一旦达到板602的曲率,在步骤506结束热处理过程500。在一些实施例中,可以去除内部支架610,并且可以使板602弯曲直到达到环境604的底表面或环境604中板物理形状的条件限制。
可选择地,可以通过真空中的弯曲过程或通过使用机械力形成板602的曲率。可以在环境中设置抽运通道(如图6B的断层650所示),并且使用抽运通道在环境604的一部分抽真空。跨越板602的压力差使板602产生弯曲。板602可以通过支架610、608支撑在真空环境中。达到预定的曲率之后,释放真空以从环境中移出板。适当的真空弯曲过程和热处理过程的实施例适于在2005年11月17日公开的Choi等人的美国专利公开No.2005/0251990的发明中获得利益。
弯曲板602之后,上表面612可以用作板602的上表面。板602的弯曲的下表面614可用作下游表面,或被加工成平的。
图7示出具有在板702的边缘和角之间产生流动梯度的节流口706的气体分布板702的另一个实施例的示意图。气体分布板702具有多个贯通形成的节流口706。在一个实施例中,节流口706可以通过电脑数字控制(CNC)加工形成在板702上。可以选择每个节流口706的分布和构造以产生排出板702的从角到边缘的气体流动梯度。
每个节流口702包括与通道710(如板702的边缘部分728和角部分710C和710E分别所示)耦合的孔708(如板702中心部分728的708C和角部分726的708E所示)。通道710C、710E和孔708C、708E共同形成流动通道,该流动通道允许气体从气源120通过板702并进入上述板支撑组件130的处理区域106。通道710C、710E具有形成在气体分布板702中的上侧732中的上开口730C、730E。可以选择通道710C、710E和孔708C、708E的直径来控制预定数量的气体流过。在一个实施例中,通道710C、710E具有比孔708C、708E更小的直径。可选择地,可以以任何其他不同构造设计通道710C、710E和孔708C、708E的直径。
通道710C、710E具有从上开口730C、730E到向下开口736C、736E延伸的第一深度724、716。下开口736C、736E与孔708C、708E的上开口730C、730E耦合。孔708C、708E具有从上开口740C、740E向形成在气体分布板702的下游表面748上的下开口744C、744E延伸的第二深度720、718。
位于板702边缘部分728中心和角部分726的节流口706可以具有不同的通道710C、710E和孔708C、708E的深度,不同的深度在板702边缘产生从边缘到角的流动梯度。在一个实施例中,位于边缘部分728的节流口706具有比位于角部分726的第一深度716和第二深度718短的第一深度724和比位于角部分726的第一深度716和第二深度718长的第二深度720,可以设计和构造位于板702边缘和角部分726、728的通道710C、710E和孔708C、708E之间的深度差,来控制通过相对板702的边缘的板702的角部分的气体流动数量,由此产生跨越基板表面118的流动梯度。在一个实施例中,构造为面对背板112的上表面732和构造为面对基板支撑组件130的下游表面748可以是平的表面。由于上表面732和下游表面748是平的,横跨板702的宽度750可以确定包括横跨板702(例如,包括板702的边缘部分728和中心部分726)的第一深度724、716和第二深度720、718的总深度。
在图7的一个实施例中,位于板702边缘部分728的第一深度724可以比中心部分726的第一深度716短大约0.05英寸到大约1英寸之间。位于边缘部分728和角部分726之间的通道710C、710E和孔708C、708E的长度和/或尺寸差可以在整个基板表面118上输送来自气源120的气体。例如,在角部分726的第一孔710E的较长第一深度716可以在孔708E内侧产生更高的阻力的流动(例如,更大的阻力),因此有效地允许调整沉积在基板上的膜的性质。在利用分布板702沉积硅膜的实施例中,相对于通过边缘728的流量减少在角部分726的气体流量,导致与传统的工艺相比在沉积的硅膜的角中更高的结晶容积,同时增加的膜性质改变了边缘均匀性,因此提高了基板的角和边缘的结晶百比的均匀性。
在一个实施例中,其中膜是以传统沉积工艺一般沉积为拱形膜特征和/或非均匀膜性质(例如,具有边缘部分比角边缘部分厚的膜特征和性质),如图7所示,可以利用在边缘部分728的孔710C的较短第一深度724使得在边缘部分728比在角部分726产生更低的气体节流,从而调整形成在基板140上的膜的性质和特征等等,或者反之亦然。
图8示出具有形成在其上的不同形状的节流口的气体分布板802的另一实施例的示意图。与图7中的节流口706相似,通过板802的节流口810包括与通道(如板802的边缘部分804的808C和角部分806的808E所示)耦合的孔(如板802边缘部分804的中心的814C和角部分806的814E所示)。通道808C、808E和孔814C、814E共同形成流动通道,该流动通道允许气体从气源120通过板802到基板支撑组件130的上表面132。通道808C、808E具有形成在气体分布板802上表面830的上开口826、828。通道808C、808E具有从上开口826、828向下开口834(如板802的边缘部分804中的834C和角部分806中的834E所示)延伸的第一深度818、822。下开口808C、808E与形成在板802下游表面832上具有向外扩展的开口838、840的孔814C、814E相对应。孔814C、814E具有从下开口834C、834E向向外扩展的开口838、840延伸的第二深度820、824。
与上述图7的描述相似,形成在板802上的通道808C、808E和孔814C、814E可以具有不同的尺寸、形状、深度和长度以满足不同的工艺要求。在图8所示的实施例中,形成在板802的边缘部分804和角部分806的孔814C、814E具有不同的深度,因此在孔814C、814E中形成不同的内容积和/或空腔。与位于中心部分806的孔814E相比,位于边缘部分804的孔808C具有较短的第一深度818,因此在孔814C内部形成较大的容积和/或空腔。孔808C的较短的第一深度818提供较低的节流流量,因此消除邻近板802边缘部分804发生反应,结果是调整在此形成的不同膜的性质。形成在板上的节流口的不同结构可以提供跨越基板表面不同的流动梯度,因此有效地调整沉积在基板上的膜外形、性质、膜性质的均匀性和厚度。在一个实施例中,其中期望中空阴极效应和/或中空阴极梯度形成在节流口810中,可以选择跨越板802的下游表面832形成的节流口810的直径850,以提供预期的中空阴极效应和/或中空阴极梯度。
图9A-C示出具有多个节流口926的气体分布板902的另一实施例,当气体流过时气体分布板902提供流动梯度。如图9A所示,形成在板902上的节流口926可以具有跨越板902的相同深度的通道(如板902的边缘部分910的中心的914C和角部分912的914E所示)和孔(如板902的边缘部分910的918C和角部分912的918E所示)。然而,孔918C、918E的直径906、904、908在板902的下游表面928上变化,以提供流到基板表面不同气流分布。由于孔918C、918E的尺寸是不同的,因此提供经过基板表面的中空阴极梯度(HCG)。在另一个实施例中,可以加工板902的上表面930以形成凹面932,如图9B所示,表面932具有比角部分912薄的板902的边缘部分910。凹面932从板902中去除通道914的一部分,导致边缘910部分的通道914C具有比角部分912的通道914E短的深度934和较小的流动阻力。由于边缘部分910的通道914C相对于角912部分的通道914E中的较高流动阻力具有较低的流动阻力,可以有效地调整由气体流动阻力和沉积在基板上膜性能的差异而产生的经过板902的流动梯度。例如,在通过传统方式沉积在边缘部分具有低结晶容积的硅膜的实施例中,如图9B所示,可以利用在中心部分912的通道914E中具有高流动阻力(例如,具有比通道914C更长长度的通道914E)的板902,沉积硅膜以在角部分获得较高的结晶容积和更均匀的结晶百分比,因此补偿和调整在此形成的膜的性质差异。由于在下游表面928上形成不同尺寸的孔918C、918E以提供中空阴极梯度(HCG),在图9B的板902中可以产生中空阴极梯度(HCG)和流动梯度(例如,气体流动阻力差)的共同效应。
图9C示出其上开有节流口的板902的下游表面928的仰视图。形成在板902上的节流口926的表面密度和分布可以变化以满足不同处理需要。在一个实施例中,在角边缘部分912的节流口926可以具有比板902的中心部分910的节流口更高的表面密度,以便可以提供中空梯度(HCG)。相反,可以以许多可选择的结构形成经过板902的节流口926的分布、密度、形状和尺寸。任选地,板902的中心914可以比边缘部分910或角部分912单位面积包括较少的节流口926。相反,节流口密度可以从角向边缘向中心增加。
图10A-D示出形成在板1017-1020中的节流口1001-1004的不同实施例,节流口1001-1004产生通过板的流动梯度。在一个实施例中,节流口1001-1004可以通过电脑数字控制(CNC)加工形成在板1017-1020上。节流口1001-1004一般包括通过节流孔1009-1012连接的第一孔1005-1008和第二孔1013-1016。第一孔1005-1008形成在板1017-1020的上部,第二孔1013-1016形成在板1017-1020的下部。第一孔1005-1008和第二孔1013-1016通过节流孔1009-1012相对应形成通过板1017-1020中的汇集流体流动通道。第一孔1005-1008和第二孔1013-1016可以分别具有经过板1017-1020形成的不同的结构、尺寸、形状、大小、数量和分布,因此运送不同数量和/或使通过板1017-1020流动的不同流速的处理气体到基板表面。不同数量和/或不同流速的处理气体产生经过基板表面的流动梯度,因此便于沉积在基板表面的膜的外形和/或性质控制。
在一个实施例中,节流孔1009-1012的深度和长度可以结合第一孔1005-1008和第二孔1013-1016的不同形状而不同。通过调整由节流口1001-1004的不同结构产生的流动梯度,因此可以控制沉积在基板表面的膜厚和外形。在一个实施例中,第一孔1005-1008和第二孔1013-1016可以具有不同的结构,例如带有不同节流孔1009-1010深度的正方形1005-1006、1013-1014、带有不同节流孔1011-1012深度的锥形1015-1019等等。孔1005-1008、1013-1016的深度可以改变以满足不同的处理需求。
第二孔1013-1016的开口可以以预定角度或具有一定直径向外扩展,因此有助于经过基板表面的处理气体的分布。可以以可能或不可能在其中产生中空阴极效应的方式控制第二孔1002的结构。可选择地,可以以任何方式控制第二孔1013-1016的结构。
在一个实施例中,可选择第二孔1013-1016的直径在大约0.05英寸到大约0.5英寸的之间,以使等离子体可滞留在第二孔1013-1016中,因此产生中空阴极效应。在一些不期望产生中空效应的实施例中,可以选择第二孔1013-1016的直径在大于大约0.01英寸或小于大约0.05英寸的范围以防止第二孔1013-1016内的电子振荡,因此防止在处理期间在第二孔1013-1016中产生中空阴极效应。
图11A-B示出制造气体分布板1100的工艺流程的不同阶段的气体分布板1100的截面图。如图11A所示,多个节流口1122可以穿过板1100形成。在图11A-B中没有示出经过板1100形成的全部节流口,但是为了简化仅示出在中心部分1104的代表性的节流口和形成在边缘部分1106的一些节流口。节流口1122包括通过节流孔相连接的通道(如边缘部分1104的中心的1102C所示和角部分1106的1102E所示)和孔(边缘部分1104的1114C所示和角部分1106的1114E所示)。孔1114C、1114E具有形成在板1100下游表面1110上的开口,配置该板1100以面对基板支撑组件130。在一个实施例中,形成在板1100上的孔1114C、1114E和节流孔1120C、1120E可以是相同的。在板110的边缘部分1106中形成的通道1102E可以具有比形成在中心部分1104的通道1102C更窄的直径,以在板1100的边缘部分1106形成高流动阻力。板1100的通道1102C、1102E之间的尺寸差异提供产生通过它的流动梯度的方式,因此有效地调整沉积在基板上的膜性质和/或外形。应当注意可以通过为第一通道1102C、1102E或节流孔1120C、1120E选择不同的尺寸产生主流动阻力。在通过由选择节流孔1120C、1120E的尺寸而不是第一通道1102C、1102E产生主流动阻力的实施例中,形成在板1100上的第一通道1102C、1102E的尺寸差异不可能有效地产生供应气体的流动阻力。因此,可以加工去除形成在板110中的一部分下游表面1110以产生凹面1112,如图11B所示。凹面1112产生形成的不同结构的孔1114C、1114E,因此产生中空阴极梯度(HCG)。应当注意依据安装进处理室100中的板1100,凹面112还提供向位于基板支撑组件130上基板的间隔梯度。因此,流动梯度、中空阴极梯度(HCG)和/或板1100和基板支撑组件130之间的间隔梯度的结合可以通过控制通道1102C、1102E、孔1114C、1114E和形成下游表面1110上的弯曲表面的尺寸获得。
图12A-B示出具有形成在板1200的边缘部分1202和角部分1204的不同节流口结构的气体分布板1200的另一实施例的截面图。在图12A描述的实施例中,位于边缘部分1202的节流口1208可以具有通过节流口1218连接的通道1206C和孔1216,如图11所示的节流口1122。至于形成在角部分1204的节流口1208可以具有与具有形成在板1200下游表面1212上开口的孔1210相连的较长的通道1206E。较长的通道1206E提供比形成在中心部分1202中的通道1206C高的流动阻力,因此提供经过板1200从边缘到中心的流动梯度。任选地,可以加工去除形成在板1200中部分下游表面1212产生凹面1214,如图12B所示。与图11B中的设计相似,依据室100的安装凹面1214提供中空阴极梯度(HCG)和间隔梯度。
图13示出气体分布板的仰视示意图。板被分割成N个同心区域。在每个区域中,节流口可以是或相同可以不是相同的。区域可以是多角环形,例如方形、矩形或圆环。从1区到N区,贯穿板形成的节流口可以具有逐渐增加的流动阻力(例如,较长和/或较大阻力节流口形状节流口长度)。可选择地,形成在节流口的中空阴极空腔可以在尺寸(体积和/或表面积)上逐渐增加。流动阻力和中空阴极空腔可以通过不同的节流口直径、长度、扩口角度或这些参数的组合来获得,如上述图的结合所示。
图14A-B示出具有形成在板不同区域的不同节流口结构的截面图的示例性实施例,如图13所述。在图14A所示的实施例中,形成在中心区域例如图13中的1区的节流口1402,与形成在边缘区域例如图13中N区的角的节流口1404相比可以具有更宽的尺寸。另外,带有不同结构的节流口1406,例如带有形成在节流口1406上部的孔1410,节流口1406具有形成在板上表面1408上的开口,可以形成在相同的区域,例如图13中的边缘N区,其中有节流口1404。应当注意每个区域可以具有足够的不同节流口结构以提供不同中心到角的流动梯度。而且,依据室100的布置,加工除去形成在下游表面1412部分板以形成中空阴极梯度(HCG)和间隔梯度。
图15示出气体分布板1500的顶视图的另一实施例。气体分布板1500具有至少四个由板1500的四个边分隔的角E1-E4。可以如上所述弯曲板1500的下游表面,在中心区域C1和沿板1500四个边的边缘贯穿角E1-E4形成的节流口,可以具有不同的节流口深度。在一个实施例中,穿过板1500的角E1-E4形成的第一多个节流口具有比沿角E1-E4之间板的边穿过边缘形成的第二多个节流口具有更长的节流口长度。另为,第三多个节流口可以形成在板1500的中心区域C1和/或形成在比第一和第二多个节流口形成的位置更向内的位置。第三多个节流口具有比穿过角E1-E4和沿角E1-E4之间的板1500的边的边缘形成的节流口更短的节流口长度。由于形成在角E1-E4的第一多个节流口具有更长的长度,因此相对于通过第二和第三多个节流口冲击的流动阻力,通过板1500的第一多个角节流口冲击的流动阻力更高。另外,由于第二多个节流口可以具有比第三多个节流口更长的长度,当比第一多个节流口更短的长度,通过第二多个节流口冲击的流动阻力臂通过第三节流口冲击的流动阻力大,但小于通过第一多个节流口冲击的流动阻力。
可选择地,可以在板1500的上表面和/或下表面利用进料板(adaptor plate)1506。在使用进料板1506的实施例中,板1500的下游表面可以是弯曲的或保持为平的。进料板1506具有形成在其上的多个节流口,配合形成板1500上的节流口来控制通过板1500角的流动阻力。可以以适于在板1500某些特定区域增加节流口长度的任何不同大小、形状或尺寸形成进料板1506。在图15的所述的实施例中,进料板1506可以位于板1500的角E1-4,以提供通过板1500增加的流动阻力。进料板1506可以是具有两个连接到板1500角E1-4尺寸的三角形形。在一个实施例中,进料板1506具有大约50mm到大约1000mm之间,例如大约500mm的长度1502的等边三角形。可选择地,进料板1506可以位于板1500的任何其他不同的区域。例如,进料板1506可以定位在板的中心区域C1。
图16A-B示出沿A-A线的图15中安装在室100中的气体分布板1500的截面图。在图16A所示的实施例中,进料板1506可以是具有多个形成在其上的节流口1604、1606的白板形。形成在进料板1506上的节流口1604、1606与形成在板110上的节流口1608对准。板110上对准的节流口1604、1606增加来自气源120的处理气体流动通过的节流口1608的整个长度,因此在进料板1506所述的面积产生更高的气体流动阻力。通过使用进料板1506,处理气体可以流动通过的节流口1608的总长度可以灵活调整的,因此提供调整特定点沉积膜的性质和/或外形的方式。可选择地,如图16B所示,进料板1506可以分割成几部分以增加板110中选择的某些节流口1608的长度。
图17A-17C示出可以具有形成在其上的不同节流口结构的进料板1700的不同实施例。在图17A所示的实施例中,形成在进料板1700上的节流口1704是直孔。进料板1700安装在其上形成有节流口1710的气体分布板1702上。节流口1710可以是所需的任何不同形状、尺寸和结构。可选择地,形成在进料板1700上的节流口1704可以具有不同的结构,例如如图17B所示的上部狭窄通道与下部宽阔通道相配合,或图17C所示的上部宽阔通道与下部狭窄通道相配合。
图18A-C示出沿B-B线的图15中安装在室100中的气体分布板1500不同实施例的截面图。在图18A所示的实施例中,进料板1506连接板1500的上表面1814。进料板1506可选择地在板1500的角部分E1、E3,例如角部分1808。形成在进料板1506上的节流口1810与形成在板1500上的节流口1812相配合以增加处理气体的整个流动阻力,处理气体从气源120流动通过板1500的角部分1808。可选择地,可以加工去除板1500上表面的部分以产生弯曲上表面,因此如图18B所示,导致在边缘和/或中心部分1806的节流口1802具有比在角部分1808的节流口1812短的长度。应当注意,为了简便,增加了进料板1506所处的边缘部分上表面1818的曲率。任选地,可以加工除去板1500的下游表面部分以产生弯曲下表面,导致节流口1812具有不同的曲率和/或开口端截面增大的尺寸,因此产生中空阴极梯度(HCG)。另外,如上所述,在安装至室100中时弯曲下表面也产生面对基板支撑组件130的间隔梯度。
另外参照图19A所示的气体分布板1902的一个实施例,气体分布板1902具有包括角1922、1924、1926、1928和边缘1906、1908、1910、1912的参数。应当注意为了简便没有示出通过板1902形成的孔。板1902的边缘部分1906的中心1914与基板支撑组件130隔离比板1902的边缘1908、1910和角1922、1924、1926、1928还远。与通过边缘1906中心1914形成的孔相比,通过角1922、1924、1926、1928的孔具有更长的长度,因此具有更大的流导以使相对于通过角1912、1914、1926、1928的流更多的处理气体通过板1902通过边缘1906的中心1914。已经发现当使用等离子体增强CVD工艺沉积多晶硅时,利用具有边缘到中心间隔梯度的气体分布板比利用在板的周边具有均匀间隔的气体分布板相比获得增加的结晶容积和百分比均匀性。尽管图19A所示的实施例示出仅限于板1902两个边缘的边缘到中心的间隔梯度,图19B示出具有沿与角1960、1962、1964、1966线比的四个边1950、1952、1954、1956限定的间隔梯度的气体分布板1904的另一实施例。另外,尽管示出气体分布板1902、1904面向带有气体分布板1902、1904面向的平面的基板的间隔梯度。可以理解气体分布板1902、1904的平面可以朝向基板或气体分布板1902、1904可以包括从边缘到角的间隔梯度。
在适于沉积太阳能电池用硅膜的示例性实施例中,可以设计沉积工艺以使用板产生的流动梯度沉积微晶硅层。微晶硅层可以是形成在太阳能电池器件的p-i-n结中的i-型层。可选择地,可以利用微晶硅层形成其他器件。依据通过分布板的气体供应,气体分布组件可以具有形成其上的不同的结构(例如,尺寸、深度等)的节流口以产生具有或不具有中空阴极效应的从边缘到角的流动梯度。可以用至少一个气体分布板的上表面上的上弯曲表面,或具有配置不同深度和/或长度的经过板的节流口的气体分布板产生流动梯度,以使在相对于气体分布板的边缘在气体分布板的角产生的气流是不同。在本发明所示的特定实施例中,在气体分布板在气体分布板的角部分提供比在气体分布板的边缘部分的中心的气流阻力大的气流阻力。可选择地,也可以通过板与由板的下游表面的下凹面产生的流动梯度结合产生梯度间隔。下凹面具有大约0.05英寸到大约1英寸之间的弦深。可选择地,选择梯度间隔在气体分布板和基板支撑组件之间的大约50密耳到大约500密耳之间距离。
在沉积本征型微晶硅层的实施例中,可以将1∶20到1∶200之间比例的硅烷气体和氢气的气体混和物通过具有上凹面的气体分布板供给室100。在一个实施例中,凹面具有大约0.05英寸到大约1英寸之间的弦深。硅烷气体可以以大约0.5sccm/L到大约5sccm/L之间的流速供应。氢气可以以大约40sccm/L到大约400sccm/L之间的流速供应。在一些实施例中,在沉积期间硅烷气体可以从第一流速升至第二流速。在一些实施例中,在沉积期间氢气可以从第一流速降至第二流速。可以给气体分布板提供在大约300千瓦/cm2或更大,优选600千瓦/cm2或更大的RF电源。在一些实施例中,在沉积期间能量密度可以从第一能量密度降至第二能量密度。室的压力维持在大约1托到大约100托之间,优选在大约3托到大约20托之间,更优选在大约4托到大约12托之间。可选择地,沉积期间的压力可以分段成一个或多个步骤,例如预处理期间之后从第一压力升至第二压力。本征型微晶层的沉积速率可以是大约/min,优选是/min。适于使用气体分布板产生的流动梯度沉积本征型微晶的方法和装置公开在2006年6月23日提交的、题目为“Methods andAppratus for Depositing a Microcrystalline Silicon Film for Photovoltaic Device(用沉积光电器件用微晶硅层的方法和装置)”的美国专利申请No.11/426,127。微晶硅本征层具有大约百分之20到大约百分之80之间,例如大约百分之55到大约百分之75的结晶百分比。
在用此处描述的气体分布板沉积本征型微晶硅层的特定实施例中,沉积的微晶硅层的膜性质具有改善的膜均匀性。例如经常发现通过传统技术沉积的本征型微晶硅层具有较差的膜均匀性,例如在膜角处的非-均匀结晶容积。构造气体分布板以相对于边缘和中心在角处提供较高的流动阻力,因此导致沉积的膜相对于传统技术形成的膜具有较高的结晶容积,因此提供通过基板表面的均匀的膜性质。在一个实施例中,用具有边缘到中心流动梯度的气体分布板沉积的微晶硅层的结晶容积已经表明从传统技术中大约百分之70-90的结晶容积非均匀性改善到小于大约百分之3.5的结晶容积非均匀性。膜性质的改善的均匀性导致增加的转化效率、填充因子以及形成在基板上的太阳能电池改善的电学性质,因此改善了电池的整个性能。
因此,本发明提供一种沉积硅膜的装置,该装置具有构建有节流口的气体分布板,该气体分布板产生从边缘到中心的气体流动梯度。利用本发明沉积的硅膜特别适于太阳能电池用。该改善的装置有利提供对沉积在基板上的膜外形和性质更好的控制,因此增加对膜的质量控制和增加光电转换效率和器件性能。
虽然前述针对本发明的实施例,但可以设计本发明的其他和进一步的实施例而不脱离本发明的范围,并且本发明的范围通过附加的权利要求确定。
Claims (27)
1、一种用于沉积适于太阳能电池用膜的装置,包括
处理室;以及
沉积在处理室中并具有至少四个有四个边分隔的角的四边形气体分布板,该气体分布板还包括:
穿过气体分布板形成的第一多个节流口,所述第一多个节流口设置于角中;以及
穿过气体分布板形成的第二多个节流口,所述第二多个节流口沿角区域之间的气体分布板的侧面设置,其中所述第一多个节流口具有比所述第二多个节流口更大的流动阻力。
2、根据权利要求1所述的装置,其特征在于,所述第二多个节流口具有比所述第一多个节流口更短的长度或更小的直径。
3、根据权利要求2所述的装置,其特征在于,穿过所述气体分布板形成节流口还包括:
形成在所述板上部的通道;以及
与所述通道耦合并具有形成在所述板下游表面中的开口的孔,其中,所述通道具有比孔更小的直径。
4、根据权利要求1所述的装置,其特征在于,所述气体分布板还包括:凹上表面。
5、根据权利要求4所述的装置,其特征在于,所述气体分布板具有在大约0.05英寸到大约1英寸之间的弦深的凹上表面。
6、根据权利要求3所述的装置,其特征在于,所述第二多个节流口的通道具有比所述第一多个节流口的通道更短的长度。
7、根据权利要求2所述的装置,其特征在于,所述气体分布板还包括:凹下游表面。
8、根据权利要求2所述的装置,其特征在于,所述节流口在等离子体处理期间具有产生空心阴极梯度的选定结构,并且其中所述节流口具有在大约0.01英寸到大约1英寸之间的直径。
9、根据权利要求1所述的装置,其特征在于,还包括:
沉积在室中的基板支撑组件,其中配置基板支撑组件和气体分布板以限定它们之间的梯度。
10、根据权利要求1所述的装置,其特征在于,还包括:
贴着所述气体分布板的上表面或下表面中的至少一个设置的进料板,所述进料板设置在所述气体分布板的角中。
11、根据权利要求10所述的装置,其特征在于,所述进料板还包括:
通过所述进料板形成并与穿过气体分布板的角形成的第一多个节流口对准的多个通道。
12、根据权利要求1所述的装置,其特征在于,所述气体分布板还包括:
穿过位于所述第一和第二多个节流口内的所述气体分布板形成的第三多个节流口,其中所述第三多个节流口具有比所述第一节流口更小的流动阻力。
13、一种用于沉积太阳能电池用膜的装置,包括:
处理室;以及
设置在处理室中并具有至少四个由四个边分隔的角的四边形气体分布板,该气体分布板还包括:
穿过所述气体分布板形成的第一多个节流口,该第一多个节流口定位于角中;以及
通过气体分布板形成的第二多个节流口,该第二多个节流口沿角区域之间的气体分布板侧面设置,其中所述第一多个节流口具有比所述第二多个节流口更长的长度。
14、根据权利要求13所述的装置,其特征在于,所述气体分布板还包括:弯曲下游表面。
15、根据权利要求13所述的装置,其特征在于,所述气体分布板具有在大约0.05英寸到大约1英寸之间的弦深的凹上表面。
16、根据权利要求13所述的装置,其特征在于,所述节流口具有大约0.01英寸到大约1英寸之间的直径。
17、根据权利要求13所述的装置,其特征在于,还包括:
与所述气体分布板上表面连接的进料板。
18、根据权利要求13所述的装置,其特征在于,还包括:
与所述气体分布板每个角相连接的进料板,其中通过所述第一多个节流口的流动阻力增加通过位于其下的节流口流动阻力。
19、一种用于沉积太阳能电池用膜的装置,包括:
处理室;以及
设置在所述处理室中并具有多个贯通形成的节流口的气体分布板,布置该节流口以限定至少三个不同流动阻力的区域,其中限定在气体分布板角的第一区域具有比沿气体分布板边限定的第二区域更大的流动阻力,以及限定在气体分布板中心的第三区域具有比第二区域更小的流动阻力。
20、根据权利要求19所述的装置,其特征在于,形成在所述气体分布板的第三区域的节流口具有比形成在所述气体分布板的第二区域的节流口更小的直径。
21、根据权利要求19所述的装置,其特征在于,形成在所述气体分布板的第三区域的截流口比形成在气体分布板的第二区域的节流口具有更短的长度。
22、根据权利要求19所述的装置,其特征在于,所述气体分布板还包括:弯曲下游表面。
23、一种在室中沉积太阳能电池用硅膜的方法,包括:
将基板供应至室中,该室中具有面对设置在室中的基板支撑组件的气体分布板;
使处理气体通过所述气体分布板的角向基板以小于通过气体分布板中心流动的处理气体流速的流速流动;以及
由处理气体在基板上沉积多晶硅层。
24、根据权利要求23的方法,其特征在于,还包括:
在处理期间在节流口的至少一部分内保持等离子体。
25、根据权利要求23的方法,其特征在于,使处理气体通过所述气体分布板流动步骤还包括:
使硅烷气体和氢气以1∶20到1∶200之间的比例流入室中。
26、根据权利要求23的方法,其特征在于,处理气体通过气体分布板流动步骤还包括:
使处理气体通过位于所述气体分布板的中心的进料板流动。
27、根据权利要求23的方法,其特征在于,处理气体通过所述气体分布板流动步骤还包括:
在所述气体分布板的角提供比气体分布板的中心的有阻力流动更高的有阻力流动。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/759,599 US20080302303A1 (en) | 2007-06-07 | 2007-06-07 | Methods and apparatus for depositing a uniform silicon film with flow gradient designs |
US11/759,599 | 2007-06-07 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101319309A true CN101319309A (zh) | 2008-12-10 |
CN101319309B CN101319309B (zh) | 2012-05-02 |
Family
ID=40094685
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007101653537A Active CN101319309B (zh) | 2007-06-07 | 2007-10-26 | 用流动梯度设计沉积均匀硅膜的方法和装置 |
Country Status (3)
Country | Link |
---|---|
US (3) | US20080302303A1 (zh) |
KR (1) | KR100960756B1 (zh) |
CN (1) | CN101319309B (zh) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103572253A (zh) * | 2012-07-30 | 2014-02-12 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 反应腔室和具有它的半导体设备 |
CN105209964A (zh) * | 2013-05-08 | 2015-12-30 | 周星工程股份有限公司 | 供气装置 |
CN111403256A (zh) * | 2020-03-24 | 2020-07-10 | 北京北方华创微电子装备有限公司 | 半导体工艺装置 |
CN113260733A (zh) * | 2018-12-28 | 2021-08-13 | 康宁股份有限公司 | 使用阻抗盘对挤出模头进行气相沉积涂覆的系统和方法 |
CN113818005A (zh) * | 2020-06-19 | 2021-12-21 | 拓荆科技股份有限公司 | 一种薄膜制备设备及方法 |
CN113939893A (zh) * | 2019-06-07 | 2022-01-14 | 应用材料公司 | 具有弯曲表面的面板 |
CN114008740A (zh) * | 2019-07-10 | 2022-02-01 | 周星工程股份有限公司 | 衬底处理装置 |
TWI789935B (zh) * | 2020-10-05 | 2023-01-11 | 美商應用材料股份有限公司 | 半導體處理方法及半導體結構 |
Families Citing this family (380)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7972470B2 (en) * | 2007-05-03 | 2011-07-05 | Applied Materials, Inc. | Asymmetric grounding of rectangular susceptor |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101110080B1 (ko) * | 2009-07-08 | 2012-03-13 | 주식회사 유진테크 | 확산판을 선택적으로 삽입설치하는 기판처리방법 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
EP2360292B1 (en) * | 2010-02-08 | 2012-03-28 | Roth & Rau AG | Parallel plate reactor for uniform thin film deposition with reduced tool foot-print |
US8845806B2 (en) * | 2010-10-22 | 2014-09-30 | Asm Japan K.K. | Shower plate having different aperture dimensions and/or distributions |
WO2012112187A1 (en) * | 2011-02-15 | 2012-08-23 | Applied Materials, Inc. | Method and apparatus for multizone plasma generation |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US20140116339A1 (en) * | 2011-06-11 | 2014-05-01 | Tokyo Electron Limited | Process gas diffuser assembly for vapor deposition system |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI689004B (zh) | 2012-11-26 | 2020-03-21 | 美商應用材料股份有限公司 | 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
KR102102787B1 (ko) | 2013-12-17 | 2020-04-22 | 삼성전자주식회사 | 기판 처리 장치 및 블록커 플레이트 어셈블리 |
US9484190B2 (en) * | 2014-01-25 | 2016-11-01 | Yuri Glukhoy | Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10825652B2 (en) | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9406535B2 (en) * | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
WO2017062141A1 (en) | 2015-10-04 | 2017-04-13 | Applied Materials, Inc. | Substrate support and baffle apparatus |
JP6703100B2 (ja) | 2015-10-04 | 2020-06-03 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 容積が縮小された処理チャンバ |
JP6644881B2 (ja) | 2015-10-04 | 2020-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高アスペクト比フィーチャ向けの乾燥プロセス |
KR102189211B1 (ko) | 2015-10-04 | 2020-12-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 작은 열 질량의 가압 챔버 |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US9997336B2 (en) * | 2016-04-26 | 2018-06-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US20180053628A1 (en) * | 2016-08-18 | 2018-02-22 | Mattson Technology, Inc. | Separation Grid for Plasma Chamber |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US20180340257A1 (en) * | 2017-05-25 | 2018-11-29 | Applied Materials, Inc. | Diffuser for uniformity improvement in display pecvd applications |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11123837B2 (en) * | 2017-12-22 | 2021-09-21 | Applied Materials, Inc. | Method of removal of sharp corners from diffuser plate |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102034729B1 (ko) * | 2018-05-04 | 2019-10-21 | (주)뉴젠텍 | 플라즈마 발생 및 유도를 위한 플라즈마 블록 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
DE102018123523A1 (de) * | 2018-09-25 | 2020-03-26 | Meyer Burger (Germany) Gmbh | Prozessmodul und Anlage mit wenigstens einem solchen Prozessmodul |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10984987B2 (en) * | 2018-10-10 | 2021-04-20 | Lam Research Corporation | Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
CN111321391A (zh) * | 2018-12-13 | 2020-06-23 | 夏泰鑫半导体(青岛)有限公司 | 用于半导体制造的喷头 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
JP2022522419A (ja) | 2019-02-28 | 2022-04-19 | ラム リサーチ コーポレーション | 側壁洗浄によるイオンビームエッチング |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
US11332827B2 (en) * | 2019-03-27 | 2022-05-17 | Applied Materials, Inc. | Gas distribution plate with high aspect ratio holes and a high hole density |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11859284B2 (en) * | 2019-08-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Shower head structure and plasma processing apparatus using the same |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
CN114093739B (zh) * | 2020-08-24 | 2024-03-12 | 中微半导体设备(上海)股份有限公司 | 一种气体流量调节装置和调节方法及等离子体处理装置 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5628869A (en) * | 1994-05-09 | 1997-05-13 | Lsi Logic Corporation | Plasma enhanced chemical vapor reactor with shaped electrodes |
US5846883A (en) * | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
KR100279963B1 (ko) * | 1997-12-30 | 2001-04-02 | 윤종용 | 반도체소자제조용가스디퓨져및이를설치한반응로 |
US6050506A (en) * | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
JP3595853B2 (ja) * | 1999-03-18 | 2004-12-02 | 日本エー・エス・エム株式会社 | プラズマcvd成膜装置 |
US6415736B1 (en) * | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6853141B2 (en) * | 2002-05-22 | 2005-02-08 | Daniel J. Hoffman | Capacitively coupled plasma reactor with magnetic plasma control |
KR100332314B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | 박막증착용 반응용기 |
WO2002014810A2 (en) * | 2000-08-10 | 2002-02-21 | Tokyo Electron Limited | Method and apparatus for tuning a plasma reactor chamber |
JP2003324072A (ja) * | 2002-05-07 | 2003-11-14 | Nec Electronics Corp | 半導体製造装置 |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US8083853B2 (en) * | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
CN101144154B (zh) * | 2004-05-12 | 2012-11-14 | 应用材料公司 | 采用气体扩散板通道设计的等离子体均匀度控制 |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US7655542B2 (en) * | 2006-06-23 | 2010-02-02 | Applied Materials, Inc. | Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device |
-
2007
- 2007-06-07 US US11/759,599 patent/US20080302303A1/en not_active Abandoned
- 2007-10-25 KR KR1020070107949A patent/KR100960756B1/ko active IP Right Grant
- 2007-10-26 CN CN2007101653537A patent/CN101319309B/zh active Active
-
2008
- 2008-09-04 US US12/204,717 patent/US20090000551A1/en not_active Abandoned
-
2012
- 2012-01-12 US US13/349,332 patent/US20120103264A1/en not_active Abandoned
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103572253A (zh) * | 2012-07-30 | 2014-02-12 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 反应腔室和具有它的半导体设备 |
CN105209964A (zh) * | 2013-05-08 | 2015-12-30 | 周星工程股份有限公司 | 供气装置 |
US11697873B2 (en) | 2018-12-28 | 2023-07-11 | Corning Incorporated | System and method for vapor deposition coating of extrusion dies using impedance disks |
CN113260733A (zh) * | 2018-12-28 | 2021-08-13 | 康宁股份有限公司 | 使用阻抗盘对挤出模头进行气相沉积涂覆的系统和方法 |
CN113260733B (zh) * | 2018-12-28 | 2024-01-30 | 康宁股份有限公司 | 使用阻抗盘对挤出模头进行气相沉积涂覆的系统和方法 |
CN113939893A (zh) * | 2019-06-07 | 2022-01-14 | 应用材料公司 | 具有弯曲表面的面板 |
US11851759B2 (en) | 2019-06-07 | 2023-12-26 | Applied Materials, Inc. | Faceplate having a curved surface |
US12110590B2 (en) | 2019-06-07 | 2024-10-08 | Applied Materials, Inc. | Faceplate having a curved surface |
CN114008740A (zh) * | 2019-07-10 | 2022-02-01 | 周星工程股份有限公司 | 衬底处理装置 |
CN111403256B (zh) * | 2020-03-24 | 2022-03-22 | 北京北方华创微电子装备有限公司 | 半导体工艺装置 |
CN111403256A (zh) * | 2020-03-24 | 2020-07-10 | 北京北方华创微电子装备有限公司 | 半导体工艺装置 |
CN113818005A (zh) * | 2020-06-19 | 2021-12-21 | 拓荆科技股份有限公司 | 一种薄膜制备设备及方法 |
TWI789935B (zh) * | 2020-10-05 | 2023-01-11 | 美商應用材料股份有限公司 | 半導體處理方法及半導體結構 |
US11961739B2 (en) | 2020-10-05 | 2024-04-16 | Applied Materials, Inc. | Boron concentration tunability in boron-silicon films |
Also Published As
Publication number | Publication date |
---|---|
KR100960756B1 (ko) | 2010-06-01 |
US20090000551A1 (en) | 2009-01-01 |
CN101319309B (zh) | 2012-05-02 |
US20080302303A1 (en) | 2008-12-11 |
KR20080107968A (ko) | 2008-12-11 |
US20120103264A1 (en) | 2012-05-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101319309B (zh) | 用流动梯度设计沉积均匀硅膜的方法和装置 | |
US8142606B2 (en) | Apparatus for depositing a uniform silicon film and methods for manufacturing the same | |
CN101322251B (zh) | 沉积光伏器件用的微晶硅层的方法与设备 | |
CN201436515U (zh) | 基板支撑组件 | |
US20110277690A1 (en) | Multi-channel gas-delivery system | |
CN103276373B (zh) | 一种pecvd装置 | |
KR20110074854A (ko) | 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치 | |
CN101479403A (zh) | 用于制作太阳能电池的等离子沉积设备和方法 | |
CN101312225A (zh) | 在适用于太阳能电池应用的激光划线透明导电氧化层上沉积硅层的方法 | |
CN104835881B (zh) | 一种太阳能电池减反射膜的制作方法以及太阳能电池 | |
US20120100311A1 (en) | Apparatus for forming deposited film and method for forming deposited film | |
KR20120016955A (ko) | 플라즈마를 이용한 기판 처리 장치 | |
CN104419909A (zh) | 一种镀膜炉管 | |
CN202116646U (zh) | 多路独立供气式pecvd供气沉积系统 | |
TWI475708B (zh) | 利用流量梯度設計以沉積均勻矽膜之方法與設備 | |
CN101845620A (zh) | 脉冲加热多匣式化学气相沉积p-i-n镀膜装置 | |
WO2015076441A1 (ko) | Icp를 이용한 실리콘 나노입자 제조장치 | |
TWI531674B (zh) | 多處氣體饋送裝置與方法 | |
CN201994322U (zh) | 太阳能电池沉积用放电电极板阵列 | |
CN101170061B (zh) | 一种纳米硅薄膜的制备方法 | |
JPS5952834A (ja) | プラズマ気相反応装置 | |
Fu et al. | A high-throughput silicon epitaxy system for photovoltaic application |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C53 | Correction of patent of invention or patent application | ||
CB02 | Change of applicant information |
Address after: American California Applicant after: Applied Materials Inc. Address before: American California Applicant before: Applied Materials Inc. |
|
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |