CN201436515U - 基板支撑组件 - Google Patents

基板支撑组件 Download PDF

Info

Publication number
CN201436515U
CN201436515U CN2007900000787U CN200790000078U CN201436515U CN 201436515 U CN201436515 U CN 201436515U CN 2007900000787 U CN2007900000787 U CN 2007900000787U CN 200790000078 U CN200790000078 U CN 200790000078U CN 201436515 U CN201436515 U CN 201436515U
Authority
CN
China
Prior art keywords
substrate
heating element
heat conduction
element heater
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2007900000787U
Other languages
English (en)
Inventor
罗宾·L·泰内
约翰·M·怀特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN201436515U publication Critical patent/CN201436515U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25BREFRIGERATION MACHINES, PLANTS OR SYSTEMS; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS
    • F25B29/00Combined heating and refrigeration systems, e.g. operating alternately or simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Thermal Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种处理腔室及控制位于处理腔室内的基板支撑组件上的基板温度的方法。基板支撑组件包含一导热本体;一基板支撑表面,其位于导热本体的表面上,并适以支撑一大面积基板于其上;一或多个加热元件,其嵌入导热本体内;及二或多个冷却通道,其嵌入导热本体内以与一或多个加热元件共面。冷却通道可分支为二或多个长度相等的冷却通路,其由一单一点入口延伸进入一单一点出口以提供相等的阻力式冷却(resistance cooling)。

Description

基板支撑组件
发明背景
发明领域
本发明的实施例大体上关于基板的处理,而更精确地,关于调节处理腔室中的基板温度的基板支撑组件。更明确地说,本发明关于可用于,诸如化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻及其它基板处理反应的方法及设备,以沉积、蚀刻、或退火处理(anneal)基板材料。
相关技术描述
欲将一薄膜层沉积在一基板上,通常将基板支撑于一沉积工艺腔室中并加热基板至一高温,例如摄氏数百度。将气体或化学药品注入工艺腔室,且发生一化学及/或物理反应以在基板上沉积一薄膜层。薄膜层可为介电层、半导体层、金属层、或任何其它的含硅层。
可由等离子体或其它热源辅助沉积工艺。举例来说,在处理半导体基板或玻璃基板的等离子体辅助化学气相沉积(plasma-enhanced chemical vapordeposition)处理腔室中,可藉由将基板曝露于等离子体及/或以处理腔室中的热源加热基板来保持基板温度处在一所需的高沉积温度。热源的一实例包含在基板支撑结构内嵌入一热源或加热元件,基板支撑结构一般在基板处理期间支撑基板。
在沉积期间,基板表面上的温度均匀性对确保沉积于其上的薄膜层质量是重要的。随着基板尺寸变得非常大,基板支撑结构的尺寸必须要更大,且在加热基板至一所需的沉积温度时产生许多问题。举例来说,沉积玻璃基板(例如,用于薄膜晶体管或液晶显示器制造的大面积玻璃基板)期间,可观察到基板支撑结构的不希望的弯曲及不均匀的基板加热。
一般而言,当几度的温差效应在居中的沉积温度范围内是更为引人注意时,在高沉积温度下达到基板表面的温度均匀性比起保持基板于一居中的沉积温度更为容易。举例来说,与要求400℃的沉积温度的薄膜层相比,基板表面的5℃的温度变化将更大程度地影响要求150℃的沉积温度所沉积的薄膜层的质量。
因此,需要一提升处理腔室内的基板表面的温度均匀性的改进的基板支撑件。
发明概要
本发明的实施例提供具有一经改进的基板支撑组件(在基板处理期间调节基板温度)的处理腔室。在一实施例中,提供在处理腔室内支撑大面积基板的基板支撑组件。基板支撑组件包含一导热本体;一基板支撑表面,其位于导热本体的一表面上且适以于其上支撑大面积基板;一或多个加热元件,其嵌入导热本体内;及二或多个冷却通道,其嵌入导热本体内以与一或多个加热元件共面。
本发明的另一实施例提供适以在处理腔室内支撑大面积基板的基板支撑组件。基板支撑组件包含一导热本体;一基板支撑表面,其位于导热本体的表面上且适以于其上支撑大面积基板;一或多个加热元件,其嵌入导热本体内;及二或多个分支的冷却通路,其适于以相等的总长度(L1=L2…=LN)嵌入导热本体内。
在另一实施例中,适于在处理腔室内支撑大面积基板的基板支撑组件可包含一导热本体;一基板支撑表面,其位于导热本体的表面上且适于在其上支撑大面积基板;及一或多个通道,其嵌入导热本体内,且适于以所欲的温度设定点使一流体流动于其中以加热及/或冷却基板支撑表面。在此实施例中,一或多个嵌入导热本体内的冷却/加热通道可为不同长度以涵盖基板支撑表面的全部面积的加热及/或冷却。
在另一实施例中,提供处理基板的设备。该设备包含一处理腔室;一基板支撑组件,其配置于处理腔室中且适于在其上支撑基板;及一气体分配板组件,其配置于处理腔室中以在基板支撑组件上方传送一或多个处理气体。
在尚有另一实施例中,提供保持处理腔室内的大面积基板的温度的方法。该方法包含在处理腔室的基板支撑组件的基板支撑表面上准备大面积基板;使冷却流体在二或多个冷却通道中流动;调整用于一或多个加热元件的第一电源及用于二或多个冷却通道的第二电源;及保持大面积基板的温度。
附图简单说明
因此,可详细了解上文叙述的本发明的特性,上文简短总结的本发明更具体的叙述,可藉由参照实施例而获得,其中一些实施例在附图中说明。然而,须注意附图仅说明本发明的典型的实施例,因此不能视为对其范围的限制,因为本发明可承认其它等效的实施例。
图1为具有本发明的基板支撑组件的一实施例的示范性处理腔室的横剖面示意图;
图2A描述根据本发明的一实施例的基板支撑组件的水平剖面顶视图;
图2B描述根据本发明的一实施例的基板支撑组件的水平剖面顶视图;
图3A描述本发明的基板支撑组件的一实施例的水平剖面顶视图。
图3B描述本发明的基板支撑组件的另一实施例的水平剖面顶视图。
图3C描述本发明的基板支撑组件的另一实施例的水平剖面顶视图。
图3D描述本发明的基板支撑组件的另一实施例的水平剖面顶视图。
图3E描述本发明的基板支撑组件的另一实施例的水平剖面顶视图。
图3F描述根据本发明的一实施例的基板支撑组件的水平剖面顶视图。
图4描述根据本发明的一实施例的基板支撑组件的横剖面示意图。
图5为根据本发明的一实施例,用于控制处理腔室内部的基板温度的方法的一实施例的流程图。
图6A描述根据本发明的一实施例,一底部栅极薄膜晶体管结构的示范横剖面示意图。
图6B描述根据本发明的一实施例,一薄膜太阳电池结构的示范横剖面示意图。
具体描述
本发明的实施例大致上提供一基板支撑组件,以在一处理腔室内提供均匀的加热与冷却。举例来说,本发明的实施例可用于处理太阳能电池(solarcell)。本发明者已发现在太阳能电池的形成中,当于基板上沉积并形成微晶硅期间,控制基板温度是绝不可少的,因为偏离一所欲的温度将大大地影响膜性质。此问题对厚基板来说更为艰难,因为基板厚度亦影响基板温度的热调节。某些基板材料(例如,太阳能电池的基板)本质上厚于习知的基板材料,且更难以达成基板的温度调节。加热较厚的基板至所欲的沉积温度要耗费更长时间,且一旦将基板加热至一高温,则须耗费更长时间来冷却较厚的基板。因此,大大地影响到一处理温度内的基板处理产量。可利用预热基板来增加基板处理的产量。不过,当利用等离子体来辅助沉积玻璃基板(例如,用于薄膜太阳电池制造的大面积玻璃基板,其可能较其它玻璃基板更厚且尺寸更大)时,必须在处理腔室内小心地调整基板温度。等离子体的存在会不欲地增加已预热的基板温度超过设定的沉积温度。因此,需要有效的基板温度控制。
图1为系统200的一实施例的横剖面示意图。本发明在下文参照一化学气相沉积系统作说明式的叙述,此系统适以处理大面积基板,例如,一等离子体辅助化学气相沉积(PECVD)系统,其可由加州圣克拉拉的应用材料公司(Applied Materials,Inc.)的一部门(AKT)购得。不过,须了解本发明在其它系统配置中具有其实用性,例如,蚀刻系统、其它化学气相沉积系统、及任何其它需要腔室内部基板温度调节的系统,并包含那些适以处理圆形基板的系统。预期其它处理腔室,包含那些来自其它制造商者,可用于实行本发明。
系统200大致上包含处理腔室202,其耦合至传送一或多种来源化合物及/或前驱物的气体源204,例如,含硅化合物供应源、含氧化合物供应源、含氮化合物供应源、氢气供应源、含碳化合物供应源等等及/或上述的组合。处理腔室202具有部分地界定处理容积212的壁206及底部208。一般通过壁206中的一端口及一阀(未显示)来进入处理容积212,其帮助基板240移动进入及离开处理腔室202。壁206支撑盖部组件210,其包含一抽吸室214,其将处理容积212耦合至一排气端口(其包含不同的抽吸构件,未显示)以由处理腔室202排出任何气体及处理副产品。
盖部组件210一般包含进入端口280,由气体源204提供的处理气体通过此端口引入处理腔室202中。进入端口280亦耦合至清洁源282,以提供一清洁剂(例如,解离的氟)进入处理腔室202并由气体分配板组件218移除沉积副产品及膜。
气体分配板组件218耦合于盖部组件210的内侧220。气体分配板组件218一般适以实质上遵循基板240的轮廓,举例来说,大面积玻璃基板的多边形及晶圆的圆形。气体分配板组件218包含穿孔区216,处理前驱物及其它由气体源204供应的气体通过此区传送至处理容积212。气体分配板组件218的穿孔区216适以提供均匀分配的气体通过气体分配板组件218进入处理腔室202。气体分配板组件218一般包含自吊架板260悬挂下来的扩散板258。多个气体通道262穿过扩散板258而形成以允许一预定的气体分布通过气体分配板组件218进入处理容积212。关于半导体晶圆制造,扩散板258可为圆形;关于玻璃基板(例如,平面显示器、OLED、及太阳能电池等等的基板)的制造,扩散板258可为多边形(例如,矩形)。
扩散板258可配置于基板240上方,并由一扩散器重力支撑件垂直悬挂。在一实施例中,扩散板258由盖部组件210的吊架板260通过弹性悬挂257支撑。弹性悬挂257适于由扩散板258的边缘支撑扩散板258以允许扩散板258的伸长及缩短。弹性悬挂257可具有用于帮助扩散板258的伸长及缩短的不同配置。弹性悬挂257的一范例由2002年11月12日核发的发明名称为“Flexibly Suspended Gas Distribution Manifold for a Plasma Chamber”的美国专利第6,477,980号详细揭示,且其全文并入于此以供参照。
吊架板260以一间隔关系(因此界定其之间的空间(plenum)264)保持扩散板258与盖部组件210的内侧220。空间264允许气体流过盖部组件210以均匀地分配至扩散板258的整个宽度,以便在中央穿孔区216上方均匀提供气体,并使气体以一均匀分布流过气体通道262。
基板支撑组件238配置于处理腔室202的内部中心。基板支撑组件238在处理期间支撑基板240(例如,一玻璃基板等等)。基板支撑组件238通常为接地,以致电源222供应给位于盖部组件210与基板支撑组件238(或其它位于腔室的盖部组件内或接近此处的电极)间的气体分配板组件218的射频功率,可激发处理容积212中基板支撑组件238及气体分配板组件218之间存在的气体。
来自电源222的射频功率通常选择与基板尺寸相称者以增强化学气相沉积处理。在一实施例中,约400W或更大的射频功率(例如,介于约2000W至约4000W或介于约10000W至约20000W)可施加至电源222以在处理容积212中产生一电场。举例来说,可使用约0.2瓦/平方厘米或更大的功率密度(例如,介于约0.2瓦/平方厘米至约0.8瓦/平方厘米,或约0.45瓦/平方厘米)以与本发明的低温基板沉积方法兼容。电源222及匹配网络(未显示)在处理容积212中自前驱物气体产生并维持处理气体的等离子体。可使用较佳的13.56MHz高频射频功率,但这并非关键性,而亦可使用较低的频率。另外,可藉由覆盖陶质材料或电镀铝材料来保护腔室壁。
系统200亦可包含控制器290,其适于执行本文所述的受软件控制的基板处理方法。控制器290包含以连接并控制系统200的不同构件的功能,例如电源供应、升降马达、加热源、气体注入及冷却流体注入的流量控制器、真空泵、及其它相关的腔室及/或处理功能。控制器290典型包含中央处理单元(CPU)294、辅助电路296、及内存292。CPU 294可为计算机处理器的任何类型之一,其可用于一工业设定中以控制不同腔室、设备、及腔室的外围设备。
控制器290执行储存于内存292中的系统控制软件,内存292可为硬盘驱动机,并可包含模拟及数字输入/输出板、接口板、及步进马达控制板(steppermotor controller board)。一般用光学及/或磁性感应器来移动及测定可移动的机械组件的位置。内存292、任何软件、或任何耦接于CPU 294的计算机可读式媒体可为一或多个立即可用的内存装置,例如,用于本地或远程内存储存的随机存取内存(RAM)、只读存储器(ROM)、硬盘、CD、软盘、或任何其它数字储存类型。辅助电路296耦合至CPU 294并以习知方式支持CPU 294。这些电路包含高速缓冲存储、电源供应、时钟电路、输入/输出电路系统、子系统等等。
可利用控制器290来控制配置于系统上的基板温度,包含任何沉积温度、基板支撑的加热、及/或基板的冷却。亦利用控制器290来控制处理腔室202执行的处理/沉积时间、轰击等离子体的时序、在处理腔室内保持温度控制等。处理腔室的基板支撑组件
基板支撑组件238耦合至轴242并连接至一升降系统(未显示)以在一升高的处理位置(如所示)及一降低的基板传送位置间移动基板支撑组件238。轴242同时提供用在基板支撑组件238及处理腔室202的其它构件间的电与热电偶(thermocouple)导线。风箱246耦合至基板支撑组件238以提供处理容积212及处理腔室202的外部大气间的真空密封,并帮助基板支撑组件238的垂直移动。
基板支撑组件238的升降系统通常经过调整以最佳化处理期间基板240及气体分配板组件218间的间隔,例如约400密尔(mil)或更大。调整间隔的能力可在广范围的沉积条件上最佳化处理,同时保持大基板的面积上所欲的膜均匀性。适于由本发明受惠的基板支撑组件在1998年12月1日核发给White等人的共同转让的美国专利第5,844,205号;2000年3月7日核发给Sajoto等人的美国专利第6,035,101号中叙述,两者的全文皆并入于此以供参照。
基板支撑组件238包括一导热本体224,其具有一基板支撑表面234以于基板处理过程中在处理容积212内支撑基板于其上。导热本体224可由一金属或金属合金材料(提供热能传导性)制成。在一实施例中,导热本体224由铝材料所制成。然而,可以使用其它适当的材料。
基板支撑组件238同时支撑遮蔽框架(shadow frame)248,其在基板处理期间限制配置于基板支撑表面234上的基板240。一般说来,遮蔽框架248防止基板240的边缘及基板支撑组件238处的沉积,且基板240不会粘于基板支撑组件238。当基板支撑组件238位于较低的非处理位置(未显示)时,遮蔽框架248通常沿着腔室本体的内壁放置。当基板支撑组件238如图1所示位于较高的处理位置时,遮蔽框架248可藉由将一或多个在遮蔽框架248上的对准凹槽与一或多个对准插针272匹配而与基板支撑组件238的导热本体224啮合并对准。一或多个对准插针272适于通过一或多个位于导热本体224的周围上或接近导热本体224的周围的对准插针孔304。一或多个对准插针272可选择性地由支撑插针板254支撑以使其在基板载入及卸载期间可与导热本体224一起移动。
基板支撑组件238具有复数个配置穿过其间的基板支撑插针孔228,其接受多个基板支撑插针250。基板支撑插针250一般由陶质或电镀铝组成。基板支撑插针250可由支撑插针板254相对于基板支撑组件238致动,以自支撑表面230伸出,从而以分隔关系将基板放置到基板支撑组件238。或者,可能没有一升降板,则当基板支撑组件238位置降低时,基板支撑插针250可由处理腔室202的底部208伸出。
温度受控的基板支撑组件238亦可包含一或多个电极及/或加热元件232,其耦合至一或多个电源274以可控地加热基板支撑组件238及放置于其上的基板240至一预定的温度范围。一般而言,在一CVD处理中,一或多个加热元件232将基板240保持在至少高于室温的均一温度下,例如约摄氏60度或更高,一般介于约摄氏80度到至少约摄氏460度,此依欲沉积在基板上的材料的沉积处理参数而定。在一实施例中,一或多个加热元件232嵌入于导热本体224内。
图2A至图2B说明导热本体224方面配置一或多个加热元件232的平面图。在一实施例中,加热元件232可包含外加热元件232A及内加热元件232B,其适以沿着基板支撑组件238的内与外凹槽区域运转。外加热元件232A可通过轴242进入导热本体224,以一或多个外循环环绕导热本体224的一外周,并通过轴242离开。同样地,内加热元件232B可通过轴242进入导热本体224,以一或多个内循环环绕导热本体224的一中央区域,并通过轴242离开。
如图2A及2B所示,内加热元件232B及外加热元件232A可为同一构造,且仅在长度及对于基板支撑组件238的部分的定位相异。可于基板支撑组件内制造内加热元件232B及外加热元件232A,以在欲配置于轴242的中空核心内部的适当端上形成至一或多个加热元件管中。各加热元件及加热元件管可包含嵌入其中的一导体引线或一加热器线圈。另外,亦可使用其它加热元件、加热管线图案或配置。举例来说,一或多个加热元件232亦可位于导热本体224的后侧或藉由一加紧板(clamp plate)夹钳于导热本体224上。一或多个加热元件232可以电阻加热或藉由其它加热方法加热至约80℃或更高的预定温度。
另外,位于导热本体224中的内加热元件232B及外加热元件232A的布线可为大体上稍微平行的双重循环,如图2A所示。或者,内加热元件232B可为小叶状循环以稍微均匀地覆盖平板状结构的表面,如图2B所示。此双重循环图案在导热本体224上提供大致上为轴向对称的温度分布,同时容许表面边缘处较大的热损失。一般说来,可使用一或多个热电偶330于基板支撑组件238内。在一实施例中,使用两个热电偶,例如,一个用于中央区域而一个用于导热本体224的外周。在另一实施例中,使用四个热电偶,期由导热本体224的中央向四个角落延伸。
用于显示器应用的导热本体224可为正方形或矩形的形状,如此处所示。支撑基板240(例如,玻璃面板)的基板支撑组件238的示范尺寸可包含约30英时的宽度及约36英时的长度。不过,本发明的平板状结构的尺寸并非限制,且本发明包含其它形状,例如,圆形或多边形。在一实施例中,导热本体224为矩形的形状,并具有约26.26英时的宽度及约32.26英时或更大的长度,其容许用于平板显示器的玻璃基板的处理上达约570mm×720mm或更大的尺寸。在另一实施例中,导热本体224为矩形的形状,并具有,举例来说,由约80英时至100英时的宽度及,举例来说,由约80英时至约120英时的长度。如一范例,约95英时宽×约108英时长的矩形导热本体可用于处理,例如,约2200mm×2600mm或更大尺寸的玻璃基板。在一实施例中,导热本体224与基板240的形状共形,且可为较大尺寸以围绕基板240的面积。在另一实施例中,导热本体224的尺寸及大小可较小,但仍与基板240的形状共形。
基板支撑组件238可包含额外的构件,其适于保持并对准基板240。举例来说,导热本体224可包含一或多个基板支撑插针孔228,其让多个基板支撑插针250穿过其间且基板支撑插针250适于在导热本体224上方的一小距离处支撑基板240。基板支撑插针250可位于接近基板240的周围处,以不妨碍传送机械手臂的情况下,帮助配置于处理腔室202外部的传送机械手臂或其它传送机制放置或移去基板240。在一实施例中,基板支撑插针250可由绝缘材料制成,例如陶质材料、电镀氧化铝材料等等,以在基板处理期间提供电绝缘但仍可导热。基板支撑插针250可选择性地由支撑插针板254支撑,以致基板支撑插针250在基板支撑组件238内部可移动以在基板载入及卸载期间升降基板240。或者,基板支撑插针250可固定于腔室底部,而导热本体224可垂直移动以使基板支撑插针250通过。
在另一实施例中,当将基板240放置于导热本体224的基板支撑表面234上时,加热元件232或外加热元件232A的至少一外循环适以对准基板240的一外缘。举例来说,当导热本体224的尺寸大于基板240的尺寸时,可在不妨碍导热本体224上的一或多个插针孔(例如,基板支撑插针孔228或对准插针孔304)的位置的情况下,配置外加热元件232A的位置以包围基板240的周围。
如图2A及图2B所示,本发明的一实施例提供位于围绕一或多个基板支撑插针孔228处的外加热元件232A,其远离导热本体224的中心,且不妨碍一或多个基板支撑插针孔228的位置,从而不妨碍用于支撑基板240边缘的基板支撑插针250的位置。另外,本发明的另一实施例提供位于一或多个基板支撑插针孔228与导热本体224的外边缘间的外加热元件232A,以提供对基板240的边缘及周围的加热。
基板支撑组件的冷却结构
如早先所提到的,在大面积基板的基板处理期间,大面积基板的温度调节及保持会产生问题。因此,除加热外,可能需要额外的基板冷却以达到均匀的基板温度分布图。根据本发明的一或多个实施方式,基板支撑组件238另可包含嵌入导热本体224内的冷却结构310。
第3A至3F图说明基板支撑组件238的导热本体224中的冷却结构310的示范配置。冷却结构310包含一或多个冷却通道,其适以保持温度控制并补偿基板处理期间发生的温度变化,例如,当射频等离子体产生于处理腔室202内时,温度的增加或突跳(spike)。举例来说,可有一冷却通道适以冷却基板240的左侧,而另一冷却通道适以冷却基板的右侧。冷却结构310可耦合至一或多个电源374并适以在基板处理期间有效调节基板温度。
在一实施例中,冷却通道嵌入导热本体224内且适以与一或多个加热元件共面。在另一实施例中,各冷却通道可分支为二或多个冷却通路。举例来说,如图3A至图3F所示,各冷却通道可包含冷却通路310A、310B、310C,其适于涵盖基板支撑表面234的全部面积的冷却。另外,嵌入导热本体内的冷却通路310A、310B、310C可彼此共面。再者,冷却通路310A、310B、310C可制造于与加热元件232A、232B相同平面的近处周围。
冷却通路310A、310B、310C的形状可使其适于改变,如第3A至3F图示范所示。总体来说,冷却通路310A、310B、310C可成螺旋、成圈、弯曲、层层卷绕、及/或直线配置。举例来说,冷却通路310A可较接近外加热元件,冷却通路310C可以弯曲形状较接近内加热元件,而冷却通路310B可为圈状并位于冷却通路310A及冷却通路310C之间。
在一实施例中,冷却通路310A、310B、310C可由单一点入口(例如,入口312)延伸并进入单一点出口(例如,出口314),以便由轴242延伸并进入轴242,如第3A至3E图示范所示。不过,入口312及出口314的位置并未受限,且可位于导热本体224及/或轴242内。举例来说,亦可使用一或多个入口及一或多个出口将冷却通道分支为一或多个冷却通路310A、310B、310C,如图3F示范所示。因此,本发明的一实施例藉由使冷却通路聚集为单一入口及单一出口而在多个冷却通路存在时提供单一点冷却控制。举例来说,相同入口-出口群组内的分支冷却通路可由一简单的开/关控制来控制。另外,分支冷却通路可如图所示般以镜像分为两个群组。因此,这些冷却通路的设计提供对冷却结构内部的冷却流体压力、流体流量率、流体阻力的较佳控制。在一实施例中,可以受控的相等压力、相等长度、及/或相等阻力在冷却通路内部流动冷却流体。
在另一实施例中,各冷却通路310A、310B、310C的总长度(L)彼此相同,导致相等的总长度(L1=L2…=LN)。另外,本发明的一实施例提供在冷却通路310A、310B、310C内部流动的冷却流体可以相等的流速配置。因此,如第3A至3F图的例示,一或多个冷却通路310A、310B、310C的结构及图案可在基板支撑组件238的基板支撑表面234的全部面积上传送冷却流体时提供相等的分布及相等的阻力。
冷却通路310A、310B、310C的直径并未受限,且可为任何适当的直径,例如介于约1mm至约15mm,例如约9mm。冷却通路310A、310B、310C的结构可为分布于内加热元件232B及外加热元件232A之间的,举例来说,凹槽、通道、舌状物(tongue)、凹口等。冷却通路310A、310B、310C预期位于相对靠近导热本体224的一热地区或热区处以改善基板支撑组件的总体温度均匀性。
如图3F所示,在一替代的实施例中,冷却及/或加热基板支撑表面至一所欲的温度设定点与基板的温度调节可由一或多个嵌入导热本体内的冷却/加热通道提供。举例来说,可藉由一流体再循环单元以所欲般加热及/或冷却一流体,且经过加热/冷却的流体可在一或多个通道内部流动以加热及/或冷却基板支撑表面。另外,流体再循环单元可位于导热本体外部并连接至一或多个通道,以调整在一或多个通道内部流动的流体温度至所欲的温度设定点。
在一实施例中,于一或多个通道及流体再循环单元间流动的流体可为,举例来说,加热的油、加热的水、冷却的油、冷却的水、加热的气体、冷却的气体、及上述的组合。所欲的温度设定点可有所变化,举例来说,约80℃或更大的温度,例如由约100℃至约200℃。
在另一实施例中,流体再循环单元可包含一温度控制单元,其适以加热及/或冷却流体并调节流体温度至所欲的温度设定点。在温度控制单元中经过加热及/或冷却至所欲的温度设定点的流体可再循环至嵌入基板支撑组件的导热本体中的一或多个通道。在另一实施例中,一或多个嵌入导热本体内的冷却/加热通道可有不同或相同的长度以涵盖基板支撑表面的全部面积的加热及/或冷却。在又另一实施例中,一或多个通道另可各自包含二或多个分支通路,其适于涵盖基板支撑表面的全部面积的加热及冷却。
图4提供具有配置为共面的冷却结构310及加热元件的基板支撑组件的一示范实施例。举例来说,冷却通路310A、310B、310C适于使之齐平,例如使之形成在与加热元件相同平面“A”的近处周围,以在基板处理期间保持较佳的温度控制。
可藉由此技术中在一导热本体内部形成通道及通路的已知技术来形成冷却通路310A、310B、310C。举例来说,冷却结构310及/或冷却通路310A、310B、310C可由锻造两片导热平板使之在对应位置上共同具有凹槽来制造,如此通道及通路由匹配的凹槽形成。冷却通道及通路在它们一形成于导热本体内后便加以密封以确保较佳的导热性并防止冷却流体渗露。
其它用于形成加热元件、冷却通道及冷却通路的技术,例如,焊接、锻接、摩擦搅拌焊接(friction stir welding)、爆炸耦合(explosive bounding)、电子束焊接、及磨耗(abrasion)亦可使用。本发明的另一实施例提供在导热本体224的制造期间,两片在其表面上具有部分凹槽、凹口、通道及通路的导热平板藉由等张压缩(isostatic compression)而压缩或压紧在一起,如此可以均匀压紧的方式形成加热元件、冷却通道及冷却通路。另外,用于一或多个加热元件的一或多个冷却通道及冷却通路的循环、管道系统、或通道可使用任何已知的结合技术,例如焊接、喷砂、高压结合、黏接、锻造等制造并结合于基板支撑组件238的导热本体224中。
冷却结构310及冷却通路310A、310B、310C可由与导热本体224相同的材料制成,例如铝材料。或者,冷却结构310及冷却通路310A、310B、310C可由与导热本体224相异的材料制成。举例来说,冷却结构310及冷却通路310A、310B、310C可由提供热导热性的金属或金属合金材料制成。在另一实施例中,冷却通道136由不锈钢材料制成。不过,亦可使用其它适当的材料或配置。
可流入冷却结构及/或冷却通路的冷却流体包含(但不限于)清洁干燥的空气、压缩空气、气体材料、气体、水、冷却剂、液体、冷却油、及其它适当的冷却气体或液体材料。较佳的是使用气体材料。适当的气体材料可包含清洁干燥的空气、压缩空气、已过滤的空气、氮气、氢气、惰性气体(例如,氩气、氦气等)、及其它气体。即使冷却水可有利地加以使用,使气体材料在一或多个冷却通道及冷却通路的内部流动比在其中使冷却水流动却更为有利,因为气体材料可在没有湿气渗漏影响处理基板及腔室组件上的沉积薄膜的可能性的情况下,以较宽的温度范围提供冷却能力。举例来说,冷却流体(例如,约10℃至约25℃温度的气体材料)可用来使其流入一或多个冷却通道及冷却通路,并提供由室温高至约200℃或更高的高温的温度冷却控制,而冷却水通常操作介于20℃至约100℃间。
除了一或多个耦合至冷却结构310以在基板处理期间调节基板的冷却的电源374外。其它控制器(例如,流体流量控制器)亦可用于控制及调节进入冷却结构310的不同冷却流体或气体的流速及/或压力。其它流动控制组件可包含一或多个流体流动注入阀。另外,当基板由加热元件加热及/或在腔室闲置时间期间,可用一受控的流速操作流至冷却通道及冷却通路内部的冷却流体以在基板处理期间控制冷却效率。举例来说,对一直径约9mm的示范的冷却通道,约25psi至约100psi的压力(例如,约50psi)可用于使一气体冷却材料流动。因此,使用本发明具有加热元件及冷却结构的基板支撑组件238,基板的温度可保持固定,并保持基板的全部大表面面积的均匀温度分布。
基板支撑组件238的导热本体224的温度可由一或多个配置于基板支撑组件238的导热本体224中的热电偶监控。在导热本体224上方的基板的轴向对称温度分布通常被观察到具有一温度图案,其特征为与一中央轴等距离的所有点大致上是一致的;中央轴垂直基板支撑组件238的平面,并延伸穿过基板支撑组件238的中心,且平行基板支撑组件238的轴242(并配置于轴242内部)。
保持基板温度
图5为在处理腔室内控制基板温度的一示范方法500的流程图。在操作中,于步骤510处,基板被放置于处理腔室内的基板支撑组件的基板支撑表面上。在基板处理前及/或期间,基板支撑组件的导热本体顶部上的基板支撑表面的温度保持在约400℃或更低的设定温度点,例如介于约80℃至约400℃,或介于约100℃至约200℃。在步骤520处,使冷却流体、气体、或空气流入冷却结构的冷却通道中。举例来说,冷却流体可以固定的流速流至嵌入基板支撑组件的导热本体中的一或多个冷却通道中。在一实施例中,冷却结构包含二或多个长度相等的分支冷却通路,且在长度相等的分支的冷却通路中流动的冷却流体可保持于固定的流速以涵盖基板支撑表面的全部面积的冷却。
基板的温度可保持在一基板处理工作方式必需的所欲的不同温度设定点及/或范围。举例来说,在基板处理期间,可有不同的基板处理温度设定点用于不同所欲的持续期间。
在步骤530处,本发明的一实施例提供加热元件的电源和冷却结构及/或冷却通道的电源经过调整,如此可在一所欲的持续期间内浆基板支撑组件的基板支撑表面上的基板温度保持在所欲的温度范围。举例来说,可藉由调整连接至加热元件的电源的功率来调整加热元件的加热效率。如另一范例,可藉由调整连接至冷却结构的电源的功率及/或调整在其中流动的冷却流体的流速来调整冷却结构组件的冷却效率。如另一范例,可藉由将其开启及/或关断的组合来调整加热元件及冷却通道的电源。
表1说明根据本发明的一实施例,加热元件的电源和冷却通道的电源的开启与关断的不同组合以控制处理腔室内部的基板温度。可在基板处理及/或非处理时间期间(例如,在感生等离子体时,或任何由等离子体能量产生的额外热能导向基板上时)利用各组合,来调整及保持基板支撑组件的基板支撑表面的温度,以防止基板表面上任何的温度突跳或变化。
表1
 组合1  组合2  组合3  组合4
  用于加热元件的一个或更多电源   关断   开启   开启   关断
  用于冷却通道的一个或更多电源   关断   关断   开启   开启
举例来说,可在基板处理与/或在腔室闲置、非处理、或腔室清洁/维修期间藉由开启流动冷却流体的电源而使冷却气体流入冷却通道。另外,可微调加热元件及冷却结构的不同电源的功率输出。
在一实施例中,可将整个基板表面上的基板温度保持在约100℃至约200℃的固定处理温度。因此,控制器290内的软件设计需要一或多个控制循环以调整加热及/或冷却效率。在运作中,可将基板支撑组件的一或多个加热元件设定在约150℃的设定温度点,而将具有约16℃或其它适当温度的清洁干燥空气或压缩空气的气体冷却材料以固定的流速流入冷却通道以保持基板支撑组件的基板支撑表面的温度。当等离子体或额外的热源位于处理腔室内靠近基板支撑表面顶部处时,使用约50psi压力的冷却材料的固定流动经过测试以保持基板支撑表面的温度固定在约150℃且约+/-2℃的表面温度均匀性。经过测试,甚至约300℃的额外热源的存在将不影响基板支撑表面的温度,如此基板支撑表面经测试,藉由使约16℃的输入温度的冷却流体在本发明的冷却通道内流动而保持基板支撑表面的温度固定在约150℃。冷却后及流出基板支撑组件后的冷却气体经过测试,其输出温度约为120℃。因此,在本发明的冷却通道内部流动的冷却气体呈现非常有效的冷却效应,其反映在冷却气体的输出温度及输入温度之间大于100℃的差异。
表2说明保持基板支撑组件的基板支撑表面的温度的一范例,基板支撑组件具有多个电源(将开启或关断),其配备以分别点燃(ignite)等离子体及调整外加热器、内加热器、及冷却结构。冷却结构可具有多个在相同群组中受控的冷却通路(例如,C1、C2、CN,由一单一入口-出口群组分支)。
表2
  开始   温度升高  基板处理   内部区域太热   外部区域太热   温度冷却   闲置
  加热器   开启   开启  开启   关断   开启/关断   关断   关断
  加热器   开启   开启  开启   开启/关断   关断   关断   关断
  冷却C1+C2+…+Cn   关断   开启/关断  开启/关断   开启   开启   开启   关断
  等离子体功率   关断   开启/关断  开启   开启/关断   开启/关断   关断   关断
尽可能地在接近基板支撑表面的外缘处形成外加热器以对抗辐射损失。内加热器对达到初始的设定温度点来说是有用的。显示两个加热元件作为说明之用。然而,可使用多个加热元件来控制基板支撑组件的导热本体的温度。另外,内加热元件及外加热元件可运作于不同温度。在一实施例中,外加热元件运作的温度高于内加热元件的设定温度。当外加热元件运作于较高温度时,接近外加热元件处可有一热区,而可开启耦合至冷却结构的电源以流入冷却流体。以此方式在基板上产生一大致上均匀的温度分布。
因此,配置一或多个加热元件及一或多个冷却通道及冷却通路于基板支撑组件中以保持基板支撑表面为400℃或更低的均匀温度,例如,介于约100℃至约200℃。举例来说,可藉由电源274来调整加热元件的加热效率,而可藉由电源374及/或在冷却结构中流动的冷却流体的流速来调整冷却结构的冷却效率,例如,一双向加热-冷却温度控制。
因此,基板支撑组件及放置于其上的基板受控制地保持在一所欲的设定温度点。使用本发明的基板支撑组件,在可于基板支撑组件238的导热本体224上观察到设定温度点约+/-5℃或更少的温度均匀性。甚至在处理腔室已处理多个基板后,可观察到约+/-2℃或更少的处理设定温度点的可重复性。在一实施例中,基板温度可保持固定,其具有约+/-10℃温度的正规化温度变化,例如,约+/-5℃的温度变化。
另外,一基座支撑板可置于导热本体下方以提供基板支撑组件及在其上的基板结构性支撑以防止它们由于重力及高温而偏斜,并确保导热本体及基板之间的相对均匀及可重复的接触。因此,本发明的基板支撑组件238中的导热本体提供具有加热及冷却能力的简单设计以控制大面积基板的温度。
在一实施例中,基板支撑组件238适于处理一矩形基板。用于平板显示器的矩形基板的表面面积一般是大的,举例来说,约300mm乘以约400mm或更大的矩形,例如,约370mm×约470mm或更大。处理腔室202、导热本体224、及处理腔室202的相关组件的尺寸并未受限,且通常成比例地大于欲在处理腔室202中处理的基板240的大小及尺寸。举例来说,当处理具有约370mm至约2160mm的宽度及约470mm至约2460mm的长度的大面积方形基板时,导热本体可包含约430mm至约2300mm的宽度及约520mm至约2600mm的长度,而处理腔室202可包含约570mm至约2360mm的宽度及约570mm至约2660mm的长度。如另一范例,基板支撑表面可具有约370mm×约470mm或更大的尺寸。
对平板显示器应用来说,基板可包含在可见光谱中大致上为光学通透的材料,举例来说,玻璃或透明塑料。举例来说,对薄膜晶体管应用来说,基板可为具有高度光学透明度的大面积玻璃基板。然而,本发明同样可应用至任何形式及大小的基板处理。本发明的基板可为圆形、方形、矩形、或多边形以用于平板显示器制造。另外,本发明适用于制造任何装置的基板,例如,平板显示器(FPD)、软性显示器、有机发光二极管(OLED)显示器、软性有机发光二极管(FOLED)显示器、高分子发光二极管(PLED)显示器、液晶显示器(LCD)、有机薄膜晶体管、有源矩阵、无源矩阵、顶部发光装置、底部发光装置、太阳能电池、太阳能面板等,且可位于硅晶圆、玻璃基板、金属基板、塑料薄膜(例如,聚对苯二甲酸乙二酯(polyethylene terephthalate,PET)、聚萘二甲酸乙二脂(polyethylene naphthalate,PEN)等)、塑料环氧薄膜(plastic epoxy film)的任一者上。本发明尤其适合低温PECVD处理,例如那些用于制造软性显示器装置且在基板处理期间需要温度冷却控制的技术。
图6A说明可制造于在此所述的基板上的薄膜晶体管(TFT)结构的横剖面示意图。常见的TFT结构为反向通道蚀刻(back channel etch,BCE)逆迭积型(inverted staggered)(或底部栅极(bottom gate))的TFT结构。BCE处理可提供栅极介电质(氮化硅(SiN))及本征和n+掺杂的非晶硅薄膜在基板上的沉积,例如,选择性地在相同的PECVD抽气运转中。基板101可包含在可见光谱中大致上为光学通透的材料,举例来说,玻璃或透明塑料。基板101可具有不同形状或尺寸。一般而言,对TFT应用来说,基板为具有大于约500mm2的表面面积的玻璃基板。
栅极电极层102形成于基板101上。栅极电极层102包含一导电层,其控制电荷载子在TFT内的移动。栅极电极层102可包含一金属,例如,铝(Al)、钨(W)、铬(Cr)、钽(Ta)、或上述的组合等。可使用习知的沉积、光刻、及蚀刻技术来形成栅极电极层102。在基板101和栅极电极层102之间,可有一选择性的绝缘材料,例如,二氧化硅(SiO2)或氮化硅(SiN),其亦可使用此处所述的PECVD系统的一实施例来形成。接着使用习知技术对栅极电极层102进行光刻图案化及蚀刻以界定栅极电极。
栅极介电层103形成于栅极电极层102上。栅极介电层103可为二氧化硅(SiO2)、氮氧化硅(SiON)、或氮化硅(SiN),其使用根据本发明的PECVD系统的一实施例来沉积。栅极介电层103可形成至一约
Figure G2007900000787D00181
至约
Figure G2007900000787D00182
的厚度范围。
半导体层104形成于栅极介电层103上。半导体层104可包含多晶硅(polysilicon)或非晶硅(α-Si),其可使用此发明中的PECVD系统的一实施例或此技术中已知的其它常用方法来沉积。半导体层104可沉积至一约
Figure G2007900000787D00183
至约的厚度范围。
掺杂半导体层105形成于半导体层104的上方。掺杂半导体层105可包含n型(n+)或p型(p+)掺杂多晶硅(polysilicon)或非晶硅(α-Si),其可使用并入本发明的PECVD系统的一实施例或在此技术中已知的常用方法来沉积。掺杂半导体层105可沉积至一约
Figure G2007900000787D00185
至约
Figure G2007900000787D00186
的厚度范围。掺杂半导体层105的一范例为n+掺杂α-Si薄膜。使用习用技术来对半导体层104及掺杂半导体层105进行光刻图案化及蚀刻以界定这两个薄膜在栅极介电绝缘体上方的一台面,其亦用作储存电容介电质。掺杂半导体层105直接接触半导体层104的部分,形成一半导体接面。
接着将导电层106沉积在曝露表面上。导电层106可包含一金属,例如,铝(Al)、钨(W)、钼(Mo)、铬(Cr)、钽(Ta)、或上述的组合等等。可使用习知的沉积技术来形成导电层106。对导电层106及掺杂半导体层105两者进行光刻图案化以界定TFT的源极和漏极接触。
之后,可沉积钝化层107。钝化层107一致地覆盖曝露表面。钝化层107通常为一绝缘体,并可包含,举例来说,二氧化硅(SiO2)或氮化硅(SiN)。可使用诸如PECVD或其它在此技术中已知的习用方法来形成钝化层107。可沉积钝化层107至一约至约
Figure G2007900000787D00188
的厚度范围。接着使用习用技术对钝化层107进行光刻图案化及蚀刻以在钝化层中打开接触孔。
接着通透导体层108经沉积及图案化以与导电层106接触。通透导体层108包含在可见光谱中大致上为光学通透并可导电的材料。通透导体层108可包含,诸如氧化铟锡(indium tin oxide,ITO)或氧化锌。藉由习知的光刻及蚀刻技术来完成通透导体层108的图案化。可使用并入本发明的等离子体辅助化学气相沉积(PECVD)系统的一实施例来沉积用于液晶显示器(或平板显示器)中的掺杂或未掺杂(本征)非晶硅(α-Si)、二氧化硅(SiO2)、氮氧化硅(SiON)、及氮化硅(SiN)薄膜。
图6B描述根据本发明的一实施例,可制造于在此所述的基板上的硅式薄膜太阳能电池600的示范横剖面图。基板601可加以使用,并可包含在可见光谱中大致上为光学通透的材料,例如,玻璃或透明塑料。基板601可具有不同形状或尺寸。基板601可为金属、塑料、有机材料、硅、玻璃、石英、或聚合物等其它适当材料的薄板。基板601可具有大于约1平方公尺的表面面积,例如,大于约500mm2。举例来说,适于太阳能电池制造的基板601可为具有大于约2平方公尺的表面面积的玻璃基板。
如图6B所示,传送导电氧化物层602可沉积于基板601上。一选择性的介电层(未显示)可沉积在基板601及传送导电氧化物层602间。举例来说,选择性的介电层可为氮氧化硅(SiON)或二氧化硅(SiO2)层。传送导电氧化物层602可包含(但不限于)至少一氧化物层,此氧化物层由二氧化锡(SnO2)、氧化铟锡(ITO)、氧化锌(ZnO)、或上述的组合所构成的群组中选出。可藉由此处所述的CVD处理、PVD处理、或其它适当的沉积处理来沉积传送导电氧化物层602。举例来说,可藉由具有预定的薄膜特性的反应式溅镀沉积处理来沉积传送导电氧化物层602。基板温度控制于约摄氏150度及约摄氏350度间。详细的处理及薄膜特性要求详细揭示于2006年12月21日由Li等人提出申请的发明名称为“Reactive Sputter Deposition of a Transparent Conductive Film”的美国专利申请案第11/614,461号,其全文并入于此以供参照。
光电转换单元614可形成于基板601的一表面上。光电转换单元614一般包含p型半导体层604、n型半导体层608、及作为光电转换层的本征型(intrinsic type,i型)半导体层606。可由例如非晶硅(a-Si)、多晶硅(poly-Si)、及微晶硅(μc-Si)的一材料构成P型半导体层604、n型半导体层608、及本征型(i型)半导体层606,且厚度介于约5nm及约50nm间。
在一实施例中,可藉由此处所述的方法及设备来沉积p型半导体层604、本征型(i型)半导体层606、及n型半导体层608。沉积处理期间,基板温度保持在一预定范围内。在一实施例中,基板温度保持在低于约摄氏450度,以便允许利用具有低熔点的基板(例如,碱性玻璃、塑料及金属)。在另一实施例中,处理腔室中的基板温度保持在介于约摄氏100度至约摄氏450度间的范围。在又另一实施例中,基板温度保持在约摄氏150度至约摄氏400度的范围内,例如,摄氏350度。
在处理期间,将一气体混合物流入处理腔室并用于形成一射频(RF)等离子体及沉积物,举例来说,一p型微晶硅层。在一实施例中,气体混合物包含硅烷基(silane-based)气体、第III族掺杂气体、及氢气(H2)。硅烷基气体的适当范例包含(但不限于)硅甲烷(SiH4)、二硅乙烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)等等。第III族掺杂气体可为一含硼气体,其由硼酸三甲酯(trimethylborate,TMB)、二硼烷(B2H6)、BF3、B(C2H5)3、BH3及B(CH3)3所组成的群组中选出。保持硅烷基气体、第III族掺杂气体、及氢气之间的气体供应比例以控制气体混合物的反应作用,藉此允许在p型微晶硅层中形成所欲比例的结晶及掺杂物浓度。在一实施例中,硅烷基气体为SiH4,而第III族掺杂气体为B(CH3)3。SiH4气体可为1sccm/L及约20sccm/L。可以介于约5sccm/L及500sccm/L间的流速提供氢气。可以介于约0.001sccm/L及约0.05sccm/L间的流速提供B(CH3)3。保持处理压力介于约1Torr至约20Torr间,举例来说,大于约3Torr。可提供介于约15毫瓦/平方厘米(milliWatts/cm2)至约200毫瓦/平方厘米间的射频功率给喷头(showerhead)。
可选择性地在提供给处理腔室202的气体混合物中包含一或多种惰性气体。惰性气体可包含(但不限于)钝气(noble gas),例如氩、氦、氙等等。可以介于0sccm/L及约200sccm/L间的流量率提供惰性气体给处理腔室202。具有大于1平方公尺的上表面面积的基板的处理间隔控制于约400密尔及约1200密尔间,举例来说,介于约400密尔及约800密尔,例如500密尔。
i型半导体层606可为一无掺杂的硅基薄膜,其在受控的处理条件下沉积以提供具有改进的光电转换效率的薄膜特性。在一实施例中,i型半导体层可由i型多晶硅(poly-Si)、i型微晶硅(μc-Si)、或i型非晶硅薄膜(a-Si)组成。在一实施例中,用于沉积,举例来说,一i型非晶硅薄膜的基板温度保持在小于约摄氏400度,例如位于约摄氏150度至约摄氏400度的范围内,例如摄氏200度。详细处理及薄膜特性要求详细揭示于2006年6月23日由Choi等人提出申请的发明名称为“Method and Apparatus for Depositing aMicrocrystalline Silicon Film For Photovoltaic Device”的美国专利申请案第11/426,127号,其全文并入于此以供参照。可使用此处所述的方法及设备来沉积i型非晶硅薄膜,举例来说,藉由以约20∶1或更小的比率提供具有氢气的气体混合物给硅烷气体。可以介于约0.5sccm/L及约7sccm/L间的流速提供硅烷气体。可以介于约5sccm/L及约60sccm/L间的流速提供氢气。可提供介于15毫瓦/平方厘米及约250毫瓦/平方厘米的射频功率给喷头。腔室压力可保持在约0.1Torr及20Torr间,例如在约0.5Torr及约5Torr间。本征型非晶硅层的沉积速率可为约
Figure G2007900000787D00211
/分或更快。
n型半导体层608可为,举例来说,非晶硅层,其可在与i型及n型半导体层相同或相异的处理腔室中沉积。举例来说,可选择一V族元素掺杂至一半导体层形成一n型层。在一实施例中,可由非晶硅薄膜(a-Si)、多晶硅薄膜(poly-Si)、及微晶硅薄膜(μc-Si)制造n型半导体层608,且其厚度介于约5nm及约50nm间。举例来说,可由掺杂磷的非晶硅组成n型半导体层608。
在处理期间,将气体混合物流入处理腔室并用于形成射频等离子体及沉积n型非晶硅层608。在一实施例中,气体混合物包含硅烷基气体、第V族掺杂气体、及氢气(H2)。硅烷基气体的适当范例包含(但不限于)硅甲烷(SiH4)、二硅乙烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)等等。第V族掺杂气体可为一含磷气体,其由PH3、P2H5、PO3、PF3、PF5及PCl3组成的一群组中选出。保持硅烷基气体、第V族掺杂气体、及氢气之间的气体供应比例以控制气体混合物的反应作用,藉此允许在n型非晶层608中形成所欲的掺杂物浓度。在一实施例中,硅烷基气体为硅甲烷(SiH4),而第V族掺杂气体为PH3。可以介于约1sccm/L及约10sccm/L间的流速提供硅甲烷(SiH4)气体。可以介于约4sccm/L及约50sccm/L间的流速提供氢气。可以介于约0.0005sccm/L及约0.0075sccm/L间的流速提供PH3。换句话说,如果在一载气(例如,氢气)中,以0.5%穆尔(molar)或体积浓度来提供磷化氢(phosphine),则可以介于约0.1sccm/L及约1.5sccm/L间的流速提供掺杂物/载气混合物。可提供介于约15毫瓦/平方厘米及约250毫瓦/平方厘米间的射频功率给喷头。腔室压力可保持在约0.1Torr及20Torr间,较佳地介于约0.5Torr及约4Torr间。n型非晶硅缓冲层的沉积速率可为约/分或更快。
选择性地,可在提供给处理腔室202的气体混合物中包含一或多种惰性气体。惰性气体可包含(但不限于)钝气,例如氩、氦、氙等等。可以介于0sccm/L及约200sccm/L间的流量率提供惰性气体给处理腔室202。在一实施例中,具有大于1平方公尺的上表面面积的基板的处理间隔控制于约400密尔及约1200密尔间,举例来说,介于约400密尔及约800密尔,例如500密尔。
在一实施例中,控制用于沉积一n型非晶层的基板温度低于沉积p型非晶层及i型非晶层的温度。由于已经以所欲的结晶体积及薄膜特性将i型非晶层沉积于基板上,可执行一相对较低的处理温度来沉积n型非晶层以防止下方的硅层遭受热损坏及晶粒重建。在一实施例中,以低于约摄氏350度的温度控制基板温度。在另一实施例中,以介于约摄氏100度及约摄氏300度间的温度控制基板温度,例如介于约摄氏150度及约摄氏250度间,举例来说,约摄氏200度。
可将背侧电极616配置在光电转换单元614上。在一实施例中,可由包含传送导电氧化物层610及导电层612的堆栈薄膜形成背侧电极616。可由与传送导电氧化物层602类似的材料制造传送导电氧化物层610。传送导电氧化物层610的适当材料包含(但不限于)二氧化锡(SnO2)、氧化铟锡(ITO)、氧化锌(ZnO)、或上述的组合。导电层612可包含一金属材料,其包含(但不限于)钛、铬、铝、银、金、铜、铂、及上述的组合与合金。可由CVD处理、PVD处理、或其它适当的沉积处理沉积传送导电氧化物层610及导电层612。
由于传送导电氧化物层610沉积在光电转换单元614上,故使用一相对低的处理温度来防止光电转换单元614中的含硅层的热损坏及不希望的晶粒重建。在一实施例中,控制基板温度介于约摄氏150度及约摄氏300度间,例如介于约摄氏200度及约摄氏250度间。或者,可以相反的顺序进行沉积来制成此处所述的光电压装置或太阳能电池。举例来说,可在形成光电转换单元614前先将背侧电极616沉积于基板601上。
虽然图6B的实施例描述单一接面的光电转换单元形成于基板601上,但在光电转换单元614上可形成不同数目的光电转换单元(例如,多于一个)以符合不同的处理要求及装置效能。
在操作过程中,可由环境提供光(例如,阳光或其它光子)给太阳能电池,且光电转换单元614可吸收光能并通过形成于光电转换单元614中的p-i-n接面将能量转换为电能,从而产生电流或能量。
虽然数个体现本发明的教义的较佳的实施例已详细显示及叙述,那些熟悉此技术者可立即设计许多其它经过变化,但仍体现这些教义的实施例。另外,虽然前文直指本发明的实施例,本发明的其它及进一步的实施例可在不偏离其基本范围的情况下加以设计,且其范围由下文的权利要求书所决定。

Claims (19)

1.一种适于支撑一处理腔室内的一大面积基板的基板支撑组件,其包含:
导热本体,其具有一适以支撑该大面积基板于其上的基板支撑表面,该导热本体具有镜像的一第一半部与一第二半部,各个该导热本体的半部具有:
一或多个加热元件,其嵌入该导热本体内;及
一或多个冷却通道,其嵌入该导热本体内,该一或多个冷却通道与该一或多个加热元件位于实质共面。
2.根据权利要求1所述的基板支撑组件,其中该一或多个冷却通道各自包含二或多个分支冷却通路,其适以涵盖该基板支撑表面的全部面积的冷却,且其中该二或多个分支冷却通路适于以相等的总长度嵌入该导热本体内并与该一或多个加热元件共面。
3.根据权利要求2所述的基板支撑组件,其中该二或多个分支冷却通路由一单一点入口延伸进入一单一点出口。
4.根据权利要求1所述的基板支撑组件,其中该基板支撑表面适于矩形的形状,并适于支撑约370mm×约470mm或更大尺寸的一大面积基板。
5.一种适于支撑一处理腔室内的一大面积基板的基板支撑组件,其包含:
一导热本体,具有一矩形形状与一适以支撑该大面积基板于其上的基板支撑表面;
一外加热元件,其嵌入该本体内并置于接近该支撑表面的一周围;
一内加热元件,其嵌入该本体内并置于该外加热元件的内面;及
一或多个冷却通道,其嵌入该本体内,并置于该内加热元件与该外加热元件之间并与其实质共面,该一或多个冷却通道各自具有二或多个相同长度的分支通路,其耦接至一单一入口与一单一出口。
6.根据权利要求5所述的基板支撑组件,其更包含一流体再循环单元,其连接至该一或多个通道且位于该导热本体外部,以调整该一或多个通道内部的流体的温度至该所欲的温度设定点。
7.一种处理一大面积基板的设备,其包含:
一处理腔室;
一基板支撑组件,其适于支撑该大面积基板,且其包含:
一导热本体,其具有一适以支撑该大面积基板于其上的基板支撑表面;
一支撑轴,其耦接至该导热本体的一实质中心;
一或多个加热元件,其嵌入该导热本体内并自该支撑轴延伸;及
二或多个冷却通道,其嵌入该导热本体内以与该一或多个加热元件共面并自该支撑轴延伸;及
一气体分配板组件,其配置于该处理腔室中以在该基板支撑组件上方传送一或多种处理气体。
8.根据权利要求7所述的设备,其中该二或多个冷却通道各自包含二或多个分支冷却通路,其适以涵盖该基板支撑表面的全部面积的冷却,且其中该二或多个分支冷却通路适以相等的总长度嵌入该导热本体内。
9.根据权利要求8所述的设备,其中该二或多个分支冷却通路由一单一点入口延伸进入一单一点出口。
10.根据权利要求1所述的基板支撑组件,其中该一或多个加热元件包括两个加热元件,而该一或多个冷却通道配置于该两个加热元件之间。
11.根据权利要求1所述的基板支撑组件,其中该导热本体包括一矩形形状而该一或多个加热元件包括一邻近该导热本体的一周围的外加热元件与一邻近该导热本体的一中心的内加热元件。
12.根据权利要求11所述的基板支撑组件,其中内加热元件与该外加热元件以一实质对称型态置于该本体内。
13.根据权利要求12所述的基板支撑组件,其中该一或多个冷却通道被配置于该内加热元件与该外加热元件之间。
14.根据权利要求1所述的基板支撑组件,其中该导热本体包括一铝材料。
15.根据权利要求1所述的基板支撑组件,其中该基板支撑组件适于支撑一或多个大面积矩形基板以制造选自下列所构成的群组的装置:太阳能电池、太阳能面板、平板显示器、软性显示器、有机发光二极管显示器、软性有机发光二极管显示器、高分子发光二极管显示器、液晶显示器、有机薄膜晶体管、有源矩阵、无源矩阵、顶部发光装置、底部发光装置、及上述的组合。
16.根据权利要求5所述的基板支撑组件,其中该导热本体具有两个半部,各个半部具有以一相对于另一半部的对称型态配置的二或多个分支通路于该内加热元件与该外加热元件之间。
17.一种适于支撑一处理腔室内的一大面积基板的基板支撑组件,其包含:
一导热本体,其具有一适以支撑该大面积基板于其上的基板支撑表面,该导热本体具有一第一半部与一第二半部,各个半部具有;
一或多个加热元件,其嵌入该导热本体内;及
二或多个分支冷却通路,其适以相等的总长度(L1=L2….=LN)嵌入该导热本体内,各个分支冷却通路具有一不同形状。
18.根据权利要求17所述的基板支撑组件,其中该二或多个分支冷却通路与该一或多个加热元件共平面。
19.根据权利要求17所述的基板支撑组件,其中该第一半部与第二半部中的二或多个分支冷却通路是一相对的对称型态。
CN2007900000787U 2006-08-08 2007-07-23 基板支撑组件 Expired - Lifetime CN201436515U (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82181406P 2006-08-08 2006-08-08
US60/821,814 2006-08-08
US11/776,980 US20080035306A1 (en) 2006-08-08 2007-07-12 Heating and cooling of substrate support
US11/776,980 2007-07-12
PCT/US2007/074132 WO2008021668A2 (en) 2006-08-08 2007-07-23 Heating and cooling of substrate support

Publications (1)

Publication Number Publication Date
CN201436515U true CN201436515U (zh) 2010-04-07

Family

ID=39049461

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007900000787U Expired - Lifetime CN201436515U (zh) 2006-08-08 2007-07-23 基板支撑组件

Country Status (6)

Country Link
US (3) US20080035306A1 (zh)
JP (2) JP2010500760A (zh)
KR (1) KR200465330Y1 (zh)
CN (1) CN201436515U (zh)
TW (1) TWI449121B (zh)
WO (1) WO2008021668A2 (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102758192A (zh) * 2012-06-05 2012-10-31 中国电子科技集团公司第四十八研究所 一种半导体外延片载片盘及其支撑装置及mocvd反应室
CN102903651A (zh) * 2011-07-25 2013-01-30 东京毅力科创株式会社 温度控制单元和系统、基板载置台、处理装置和处理方法
CN103280416A (zh) * 2013-05-31 2013-09-04 深圳市华星光电技术有限公司 一种热处理装置
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
TWI499474B (zh) * 2011-11-28 2015-09-11 Toshiba Machine Co Ltd 工件設定設備及方法
TWI499477B (zh) * 2011-12-01 2015-09-11 Toshiba Machine Co Ltd 工件設定裝置、工件設定方法、及工件固持器移開方法
CN106470529A (zh) * 2015-08-18 2017-03-01 活全机器股份有限公司 具有均匀冷却效果的冷却压合机
CN106544648A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 无气道式控温盘
CN106544650A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 基座分体式控温盘
CN106544649A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 基座一体式控温盘
CN106609365A (zh) * 2015-10-22 2017-05-03 沈阳拓荆科技有限公司 一种半导体镀膜设备用双通道控温装置
CN106894002A (zh) * 2017-03-31 2017-06-27 昆山国显光电有限公司 一种pecvd成膜装置及其成膜方法
CN107272233A (zh) * 2017-07-24 2017-10-20 武汉华星光电技术有限公司 对位装置
CN109423624A (zh) * 2017-08-31 2019-03-05 Asm知识产权私人控股有限公司 冷却装置、气体供应装置及衬底处理设备
CN110010516A (zh) * 2017-11-20 2019-07-12 应用材料公司 加热的基板支撑件
CN110241403A (zh) * 2019-07-23 2019-09-17 芜湖通潮精密机械股份有限公司 一种减小温差的加热器及其制作方法和应用
CN112005357A (zh) * 2018-05-01 2020-11-27 应用材料公司 用于降低接触电阻的基板处理的方法、装置及系统
CN112210767A (zh) * 2020-08-31 2021-01-12 广东鼎泰机器人科技有限公司 一种涂层机
CN112005357B (zh) * 2018-05-01 2024-06-28 应用材料公司 用于降低接触电阻的基板处理的方法、装置及系统

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833885B2 (en) * 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
KR101703511B1 (ko) * 2008-06-27 2017-02-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박막 트랜지스터
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
JP4811881B2 (ja) * 2009-03-18 2011-11-09 東京エレクトロン株式会社 基板熱処理装置
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US20110180233A1 (en) * 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
JP4746700B1 (ja) * 2010-02-16 2011-08-10 シャープ株式会社 真空処理装置
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US20120148760A1 (en) * 2010-12-08 2012-06-14 Glen Eric Egami Induction Heating for Substrate Processing
US9719166B2 (en) 2011-06-21 2017-08-01 Spts Technologies Limited Method of supporting a workpiece during physical vapour deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
NL2009446A (en) * 2011-10-12 2013-04-15 Asml Netherlands Bv Radiation beam welding method, body and lithographic apparatus.
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US20130171769A1 (en) * 2011-12-30 2013-07-04 Innovation & Infinity Global Corp. Manufacturing method of composite poly-silicon substrate of solar cell
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US9478447B2 (en) * 2012-11-26 2016-10-25 Applied Materials, Inc. Substrate support with wire mesh plasma containment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
CN105144052B (zh) * 2013-04-26 2019-02-15 意美森公司 用于柔性显示器的被动刚度和主动变形触觉输出设备
DE102013105320A1 (de) 2013-05-23 2014-11-27 Ev Group E. Thallner Gmbh Vorrichtung und Verfahren zum Beschichten eines Substrats
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
KR101522561B1 (ko) * 2013-08-23 2015-05-26 (주)위지트 온도 균일성이 향상된 서셉터
US9677177B2 (en) * 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
KR20160019954A (ko) * 2014-01-16 2016-02-22 후아웨이 디바이스 컴퍼니 리미티드 액정 디스플레이, 액정 디스플레이에 검사 방법, 및 전자 장치
IL247032B (en) * 2014-02-14 2022-07-01 Applied Materials Inc Gas-cooled substrate support for stable deposition at high temperature
US9338829B2 (en) * 2014-02-14 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Heated platen with improved temperature uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10475687B2 (en) * 2014-11-20 2019-11-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102374079B1 (ko) * 2015-03-13 2022-03-16 주성엔지니어링(주) 기판 처리장치에 구비되는 기판안착부
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
JP2018529236A (ja) * 2015-09-22 2018-10-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積デュアル基板処理システム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3258149A1 (de) * 2016-06-14 2017-12-20 VAT Holding AG Vakuumventil zur regelung eines flusses und zur unterbrechung eines fliessweges
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
WO2018119121A1 (en) * 2016-12-21 2018-06-28 Applied Materials, Inc. Conformal hermetic film deposition by cvd
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018184949A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Method for cleaning a vacuum chamber, apparatus for vacuum processing of a substrate, and system for the manufacture of devices having organic materials
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7278049B2 (ja) * 2018-09-28 2023-05-19 日本特殊陶業株式会社 保持装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7152926B2 (ja) * 2018-10-05 2022-10-13 日本特殊陶業株式会社 保持装置
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP7464692B2 (ja) * 2019-07-26 2024-04-09 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN110415611B (zh) * 2019-07-31 2021-12-07 友达光电(昆山)有限公司 显示面板
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR102297382B1 (ko) * 2019-10-18 2021-09-01 세메스 주식회사 기판 처리 시스템 및 방법
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP7423410B2 (ja) 2020-05-11 2024-01-29 株式会社アルバック プラズマ処理方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
CN112251732B (zh) * 2020-08-31 2023-02-17 广东鼎泰机器人科技有限公司 一种涂层机的载料装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115142045B (zh) * 2021-03-29 2023-12-19 鑫天虹(厦门)科技有限公司 可准确调整温度的承载盘及薄膜沉积装置
WO2022221038A1 (en) * 2021-04-15 2022-10-20 Applied Materials, Inc. Evaporation source cooling mechanism
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116705669B (zh) * 2023-08-04 2023-10-20 盛吉盛半导体科技(北京)有限公司 一种冷却效果均匀的半导体设备用加热灯盘及冷却方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02162747A (ja) * 1988-12-15 1990-06-22 Asutoro Design Kk サーモチャック
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
JPH1050811A (ja) * 1996-03-16 1998-02-20 Miyata R Andei:Kk 半導体基板の温度調節機構
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
WO2002009155A2 (en) * 2000-07-10 2002-01-31 Temptronic Corporation Wafer chuck having with interleaved heating and cooling elements
JP3737470B2 (ja) * 2002-11-07 2006-01-18 株式会社名機製作所 ディスク基板の成形用金型および成形方法
JP2004273619A (ja) * 2003-03-06 2004-09-30 Hitachi High-Technologies Corp 真空処理装置用の試料載置装置
US7314537B2 (en) * 2003-09-30 2008-01-01 Tokyo Electron Limited Method and apparatus for detecting a plasma
KR100674922B1 (ko) * 2004-12-02 2007-01-26 삼성전자주식회사 포커스 링을 냉각하는 냉각 유로를 가지는 웨이퍼지지장치
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903651A (zh) * 2011-07-25 2013-01-30 东京毅力科创株式会社 温度控制单元和系统、基板载置台、处理装置和处理方法
CN102903651B (zh) * 2011-07-25 2015-11-18 东京毅力科创株式会社 温度控制单元和系统、基板载置台、处理装置和处理方法
TWI550753B (zh) * 2011-07-25 2016-09-21 Tokyo Electron Ltd A temperature control unit, a substrate stage, a substrate processing device, a temperature control system, and a substrate processing method
TWI499474B (zh) * 2011-11-28 2015-09-11 Toshiba Machine Co Ltd 工件設定設備及方法
TWI499477B (zh) * 2011-12-01 2015-09-11 Toshiba Machine Co Ltd 工件設定裝置、工件設定方法、及工件固持器移開方法
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
CN102758192A (zh) * 2012-06-05 2012-10-31 中国电子科技集团公司第四十八研究所 一种半导体外延片载片盘及其支撑装置及mocvd反应室
CN103280416A (zh) * 2013-05-31 2013-09-04 深圳市华星光电技术有限公司 一种热处理装置
WO2014190588A1 (zh) * 2013-05-31 2014-12-04 深圳市华星光电技术有限公司 一种热处理装置
CN103280416B (zh) * 2013-05-31 2016-05-04 深圳市华星光电技术有限公司 一种热处理装置
CN106470529A (zh) * 2015-08-18 2017-03-01 活全机器股份有限公司 具有均匀冷却效果的冷却压合机
CN106470529B (zh) * 2015-08-18 2019-09-17 活全机器股份有限公司 具有均匀冷却效果的冷却压合机
CN106544650A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 基座分体式控温盘
CN106544648A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 无气道式控温盘
CN106544649A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 基座一体式控温盘
CN106609365A (zh) * 2015-10-22 2017-05-03 沈阳拓荆科技有限公司 一种半导体镀膜设备用双通道控温装置
CN106894002A (zh) * 2017-03-31 2017-06-27 昆山国显光电有限公司 一种pecvd成膜装置及其成膜方法
CN107272233A (zh) * 2017-07-24 2017-10-20 武汉华星光电技术有限公司 对位装置
CN109423624A (zh) * 2017-08-31 2019-03-05 Asm知识产权私人控股有限公司 冷却装置、气体供应装置及衬底处理设备
CN109423624B (zh) * 2017-08-31 2021-01-05 Asm知识产权私人控股有限公司 冷却装置、气体供应装置及衬底处理设备
CN110010516A (zh) * 2017-11-20 2019-07-12 应用材料公司 加热的基板支撑件
CN112005357A (zh) * 2018-05-01 2020-11-27 应用材料公司 用于降低接触电阻的基板处理的方法、装置及系统
CN112005357B (zh) * 2018-05-01 2024-06-28 应用材料公司 用于降低接触电阻的基板处理的方法、装置及系统
CN110241403A (zh) * 2019-07-23 2019-09-17 芜湖通潮精密机械股份有限公司 一种减小温差的加热器及其制作方法和应用
CN112210767A (zh) * 2020-08-31 2021-01-12 广东鼎泰机器人科技有限公司 一种涂层机
CN112210767B (zh) * 2020-08-31 2023-02-21 广东鼎泰机器人科技有限公司 一种涂层机

Also Published As

Publication number Publication date
KR20090004972U (ko) 2009-05-25
JP3179605U (ja) 2012-11-08
TWI449121B (zh) 2014-08-11
TW200816362A (en) 2008-04-01
US20150364350A1 (en) 2015-12-17
KR200465330Y1 (ko) 2013-02-13
WO2008021668A2 (en) 2008-02-21
JP2010500760A (ja) 2010-01-07
US20120006493A1 (en) 2012-01-12
WO2008021668A3 (en) 2008-09-25
US20080035306A1 (en) 2008-02-14

Similar Documents

Publication Publication Date Title
CN201436515U (zh) 基板支撑组件
TWI584409B (zh) 用於薄基板之可攜式靜電夾盤載體
CN101322251B (zh) 沉积光伏器件用的微晶硅层的方法与设备
JP5813920B2 (ja) 基板上に薄膜を蒸着する方法および基板のインライン真空処理のための装置
JP4556329B2 (ja) 薄膜形成装置
US9982340B2 (en) Shower head apparatus and method for controlling plasma or gas distribution
CN1919768B (zh) 可主动冷却的基板支撑件
US8381677B2 (en) Prevention of film deposition on PECVD process chamber wall
US20110033638A1 (en) Method and apparatus for deposition on large area substrates having reduced gas usage
CN101310036A (zh) 低温聚硅tft用的多层高质量栅介电层
CN1733966A (zh) 用于加热基片的设备和控制加热基片的基座温度的方法
CN102239542A (zh) 用于均匀性控制的射频返回带的调控方法与设备
US20090029502A1 (en) Apparatuses and methods of substrate temperature control during thin film solar manufacturing
CN105189813A (zh) 在准分子激光退火后具有改善的多晶硅质量的多层非晶硅结构
CN105940143A (zh) 用于消除遮蔽框架的气体限制器组件
KR101147658B1 (ko) 플라즈마 처리 장치 및 이를 이용한 방법
TWI455192B (zh) 避免在pecvd製程腔壁上沉積薄膜的設備及方法
CN201313936Y (zh) 常压等离子发生装置
US20100173448A1 (en) High frequency plasma enhanced chemical vapor deposition
KR101430747B1 (ko) 플라즈마를 이용한 기판 처리 장치
US20190382891A1 (en) Method and solution for resolving cgt mura issue
KR102616301B1 (ko) 기판지지유닛 및 이를 포함하는 기판처리장치
KR20160007280A (ko) 기판 열처리 시스템의 가스 공급장치
US20200347499A1 (en) Large-area high-density plasma processing chamber for flat panel displays
TWM365932U (en) Plasma auxiliary chemical vapor deposition equipment

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CX01 Expiry of patent term

Granted publication date: 20100407

CX01 Expiry of patent term