JP2010500760A - 基板支持体の加熱及び冷却 - Google Patents

基板支持体の加熱及び冷却 Download PDF

Info

Publication number
JP2010500760A
JP2010500760A JP2009523882A JP2009523882A JP2010500760A JP 2010500760 A JP2010500760 A JP 2010500760A JP 2009523882 A JP2009523882 A JP 2009523882A JP 2009523882 A JP2009523882 A JP 2009523882A JP 2010500760 A JP2010500760 A JP 2010500760A
Authority
JP
Japan
Prior art keywords
substrate
cooling
substrate support
temperature
large area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009523882A
Other languages
English (en)
Inventor
ロビン エル ティナー
ジョン エム ホワイト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010500760A publication Critical patent/JP2010500760A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25BREFRIGERATION MACHINES, PLANTS OR SYSTEMS; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS
    • F25B29/00Combined heating and refrigeration systems, e.g. operating alternately or simultaneously
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Abstract

処理チャンバ及び処理チャンバ内において基板支持アセンブリ上に位置決めされた基板の温度を制御するための方法を提供する。基板支持アセンブリは、熱伝導体と、この熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、熱伝導体内に埋設された1つ以上の加熱要素と、1つ以上の加熱要素と同一平面となるように熱伝導体内に埋設された2つ以上の冷却チャネルを含む。冷却チャネルを、2つ以上の長さの等しい冷却路に分岐してもよく、分岐冷却路は単一の流入口から単一の流出口に延びており、等しい抵抗冷却が得られる。

Description

発明の背景
(発明の分野)
本発明の実施形態は概して、基板の処理、特には、処理チャンバにおいて基板の温度を調節するための基板支持アセンブリに関する。より具体的には、本発明は、例えば、化学気相蒸着(CVD)、物理気相蒸着(PVD)、エッチング及び基板材料を堆積、エッチング又はアニールするための他の基板処理反応において用いることが可能な方法及び装置に関する。
(関連技術の説明)
薄膜層を基板上に堆積するために、通常、基板は堆積処理チャンバ内において支持され、基板は高温にまで加熱される(数百度等)。ガス又は化学物質をこの処理チャンバ内に注入すると、化学的及び/又は物理的な反応が生じて、薄膜層が基板上に堆積される。この薄膜層は、誘電体層、半導体層、金属層又は他のシリコン含有層などである。
堆積処理は、プラズマ又は他の熱源によって強化し得る。例えば、半導体基板又はガラス基板を処理するためのプラズマ化学気相蒸着処理チャンバ内の基板の温度は、基板をプラズマに曝露することにより及び/又は基板を処理チャンバ内において熱源を用いて加熱することにより、所望の高堆積温度に維持することが可能である。熱源の一例には、熱源又は加熱要素を基板支持構造体(典型的には、基板処理中に基板を保持する)内に埋設することが含まれる。
堆積中、基板表面の温度が全体に亘って均一であることが、基板表面上に堆積される薄膜層の質を確保するのに重要である。基板のサイズが非常に大型になってきているため、基板支持構造体のサイズを大型化する必要があるが、基板を所望の堆積温度にまで加熱する際に多くの問題が生じる。例えば、ガラス基板(薄膜トランジスタ又は液晶ディスプレイ製作用の大型ガラス基板等)への堆積中、基板支持構造体の不本意な反りや基板の不均等な加熱が観察されることがある。
一般に、高い堆積温度にて基板表面全体の温度均一性を実現するほうが、数度の温度差が与える影響がより劇的となる中間堆積温度範囲において基板を中間堆積温度に維持するよりも容易である。例えば、基板表面全体での5℃の温度ムラが150℃の堆積温度を必要とする堆積薄膜層の質に与える影響は、400℃の堆積温度を必要とする薄膜層よりも大きい。
従って、処理チャンバ内において基板表面全体に亘る温度均一性を改善する、改善された基板支持体が求められている。
本発明の実施形態は、基板処理中に基板の温度を調節するための改善された基板支持アセンブリを備えた処理チャンバを提供する。一実施形態において、処理チャンバ内において大面積基板を支持するための基板支持アセンブリが、提供される。基板支持アセンブリは、熱伝導体と、この熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、熱伝導体内に埋設された1つ以上の加熱要素と、この1つ以上の加熱要素と同一平面上にくるように熱伝導体内に埋設された2つ以上の冷却チャネルを含む。
本発明の別の実施形態は、処理チャンバ内において大面積基板を支持するように適合された基板支持アセンブリを提供する。基板支持アセンブリは、熱伝導体と、この熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、熱伝導体内に埋設された1つ以上の加熱要素と、熱伝導体内に等しい全長(L=L・・・=L)にて埋設されるように適合された2つ以上の分岐冷却路を含む。
別の実施形態において、処理チャンバ内において大面積基板を支持するように適合された基板支持アセンブリは、熱伝導体と、この熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、熱伝導体内に埋設され且つ基板支持表面を加熱するため及び/又は冷却するための、所望の設定温度にて流体が流れるように適合された1つ以上のチャネルを含んでいてよい。この実施形態において、熱伝導体内に埋設された1つ以上の冷却/加熱チャネルの長さは、基板支持表面の全領域が加熱される及び/又は冷却されるように様々に異なった長さであってよい。
別の実施形態において、基板を処理するための装置が提供される。本装置は、処理チャンバと、この処理チャンバ内に配置され且つその上で基板を支持するように適合された基板支持アセンブリと、1つ以上の処理ガスを基板支持アセンブリ上方に供給するための、処理チャンバ内に配置されたガス分配板アセンブリを含む。
別の実施形態において、処理チャンバ内において大面積基板の温度を維持するための方法が提供される。本方法は、大面積基板を処理チャンバの基板支持アセンブリの基板支持表面上に準備し、2つ以上の冷却チャネル内に冷却流体を流し、1つ以上の加熱要素のための第1電源及び2つ以上の冷却チャネルのための第2電源を調節し、大面積基板の温度を維持することを含む。
本発明の上述した構成が詳細に理解されるように、上記で簡単に要約された本発明のより具体的な説明が実施形態を参照して行われ、それらの一部は添付図面に図示されている。しかしながら、添付図面は本発明の典型的な実施形態を図示するに過ぎず、本発明は同等に効果的な他の実施形態も含み得るため、本発明の範囲を制限すると解釈されないことに留意すべきである。
本発明の基板支持アセンブリの一実施形態を有する処理チャンバ例の概略断面図である。 本発明の一実施形態による基板支持アセンブリの水平上断面図である。 本発明の一実施形態による基板支持アセンブリの水平上断面図である。 本発明の基板支持アセンブリの一実施形態の水平上断面図である。 本発明の基板支持アセンブリの別の実施形態の水平上断面図である。 本発明の基板支持アセンブリの別の実施形態の水平上断面図である。 本発明の基板支持アセンブリの別の実施形態の水平上断面図である。 本発明の基板支持アセンブリの別の実施形態の水平上断面図である。 本発明の一実施形態による基板支持アセンブリの水平上断面図である。 本発明の一実施形態による基板支持アセンブリの概略断面図である。 本発明の一実施形態による、処理チャンバ内において基板の温度を制御するための方法の一実施形態のフロー図である。 本発明の一実施形態による、処理チャンバ内において基板の温度を制御するための、加熱要素の電源及び冷却チャネルの電源のオン/オフ切り替えの様々な組み合わせを示す図である。 本発明の一実施形態によるボトムゲート型薄膜トランジスタ構造の例示的な概略断面図である。 本発明の一実施形態による薄膜太陽電池構造の例示的な概略断面図である。
詳細な説明
本発明の実施形態は、概して、処理チャンバ内において均一な加熱と冷却を行うための基板支持アセンブリを提供する。例えば、本発明の実施形態は、太陽電池の処理に使用することができる。発明者らは、所望の温度からの逸脱は膜の特性に大きく影響することから、太陽電池の形成においては、基板上に微結晶シリコンを堆積する及び形成する際に基板の温度を制御することが重要なことを発見した。この温度制御問題は、基板が厚いとより困難になるが、これは基板の厚さも又、基板温度の熱調節に影響するからである。一部の基板材料(例えば、太陽電池用の基板)は、慣用の基板材料よりも本質的に厚いため、基板温度の調節は一層困難である。厚い基板を所望の堆積温度にまで加熱するにはずっと多くの時間がかかり、厚い基板は、一旦高温にまで加熱されてしまうと、その冷却により時間がかかる。この結果、処理温度内での基板処理スループットに多大な影響が出てしまう。基板の予備加熱を行うことにより基板処理のスループットを上昇させることはできるものの、プラズマを用いてガラス基板(他のガラス基板よりも厚く大型である薄膜太陽電池製造用の大面積ガラス基板等)の堆積を促進する場合は、基板温度を処理チャンバ内において慎重に調節しなくてはならない。これは、プラズマの存在により、好ましからぬことに、既に予備加熱された基板の温度が、設定された堆積温度よりも高くなることがあるからである。このため、基板の効率的な温度制御が必要とされる。
図1は、システム200の一実施形態の概略断面図である。本発明を、AKT社(カリフォルニア州サンタクララのアプライドマテリアル社の子会社)から入手可能なプラズマ化学気相蒸着(PECVD)システム等の、大面積基板を処理するように構成された化学気相蒸着システムに関連して、以下にて実例を挙げて説明する。しかしながら、本発明は、他のシステム構成(円形基板を処理するように構成されたシステムを含む、エッチシステム、他の化学気相蒸着システム及びチャンバ内における基板温度調節が望ましいその他いずれのシステム等)においても有用であることを理解すべきである。他の製造業者のものを含め、他の処理チャンバを本発明の実施に利用することも考えられる。
システム200は、一般に、処理チャンバ202を含み、処理チャンバは、1つ以上のソース化合物及び/又は前駆体(例えば、とりわけシリコン含有化合物供給源、酸素含有化合物供給源、窒素含有化合物供給源、水素ガス供給源、炭素含有化合物供給源及び/又はこれらの組み合わせ)を供給するためのガス供給源204に連結されている。処理チャンバ202は、処理容積212を部分的に規定する壁部206と底部208とを有する。処理容積212には、典型的には、基板240の処理チャンバ202内外への移動を促進する壁部206のポート及び弁(図示せず)を介してアクセスする。壁部206は蓋アセンブリ210を支持しており、蓋アセンブリはポンピングプレナム214を含み、プレナムは、処理容積212を、ガス及び処理による副生成物を処理チャンバ202から排出するための排気ポート(様々なポンピング構成要素含む。図示せず)に連結している。
蓋アセンブリ210は、典型的には流入ポート280を含み、ガス供給源204によって供給された処理ガスはこのポートを通って処理チャンバ202内に導入される。流入ポート280は、解離フッ素等の洗浄剤を処理チャンバ202に供給してガス分配板アセンブリ218から堆積副生成物及び膜を除去するための洗浄剤供給源282にも連結されている。
ガス分配板アセンブリ218は、蓋アセンブリ210の内側220に連結されている。ガス分配板アセンブリ218は、典型的には、基板240の輪郭に実質的に沿うように構成されており、例えば、大面積ガラス基板の場合は多角形であり、ウェハの場合は円形である。ガス分配板アセンブリ218は穿孔領域216を含んでおり、ガス供給源204から供給された処理前駆体及び他のガスは、この穿孔領域を通って処理容積212に送られる。ガス分配板アセンブリ218の穿孔領域216は、処理チャンバ202内へとガス分配板アセンブリ218を通過するガスが均一に分配されるように構成されている。ガス分配板アセンブリ218は、典型的には、吊架板260に架けられた拡散板258を含む。複数のガス流路262が拡散板258を貫通して形成されており、ガス分配板アセンブリ218を通過して処理容積212内へと流れるガスは既定通りに分配される。拡散板258は、半導体ウェハ製造の場合は円形、ガラス基板(とりわけフラットパネルディスプレイ、OLED及び太陽電池用の基板等)の製造の場合は多角形(長方形等)などである。
拡散板258は、基板240の上方に位置決めし、拡散板重力支持体によって垂直に懸架することができる。一実施形態において、拡散板258は、蓋アセンブリ210の吊架板260によって、弾性懸架部257を介して支持されている。弾性懸架部257は、拡散板258の膨張及び収縮を見越して、拡散板258をその縁部で支持するように適合されている。弾性懸架部257は、拡散板258の膨張及び収縮を円滑に進めるのに役立つ異なる構成を有していてもよい。弾性懸架部257の一例は、2002年11月12日に発行の米国特許第6477980号「Flexibly Suspended Gas Distribution Manifold for A Plasma Chamber」において詳細に開示されており、引用により本願に組み込まれる。
吊架板260により、拡散板258と蓋アセンブリ210の内側220とが離間関係に維持されるため、その間にはプレナム264が画成される。プレナム264により、蓋アセンブリ210を通って流れるガスは拡散板258の幅全体に亘って均一に分配されるため、ガスを中央の穿孔領域216の上方に均一に供給すると、ガス流路262を均等に広がって流れる。
基板支持アセンブリ238は、処理チャンバ202の中央に配置される。基板支持アセンブリ238は、処理中、ガラス基板他等の基板240を支持する。基板支持アセンブリ238は、通常、接地されているため、蓋アセンブリ210と基板支持アセンブリ238との間に位置決めされたガス分配板アセンブリ218(又は、チャンバの蓋アセンブリの内部又はその近傍に位置決めされた他の電極)に電源222から供給されたRF電力により、基板支持アセンブリ238とガス分配板アセンブリ218との間の処理容積212内に存在するガスが励起される。
電源222からのRF電力は、通常、化学気相蒸着法を促進するように基板のサイズに見合ったものが選択される。一実施形態において、約400W以上(約2000W〜約4000W又は約10000W〜約20000W等)のRF電力を電源122に印加して、処理容積140内に電場を発生させることが可能である。例えば、出力密度約0.2ワット/cm以上(例えば、約0.2ワット/cm〜約0.8ワット/cm、又は約0.45ワット/cm)を用いて、本発明の低温基板堆積法に対応させることが可能である。電源122及び整合回路(図示せず)は、処理容積140内において、前駆体ガスから処理ガスのプラズマを発生させ、維持する。好ましくは、13.56MHzの高周波RF電力を使用するが、これは重要ではなく、低周波も使用可能である。更に、セラミック材料又は陽極酸化アルミニウム材料で被覆することにより、チャンバの壁部を保護することが可能である。
システム200は、本願に記載されるようなソフトウェア制御された基板処理法を実行するように適合された制御装置290も含み得る。制御装置290は、システム200の様々な構成要素とのインターフェースをとり、その機能を制御するために組み込まれる(電源、昇降用モータ、熱源、ガス注入及び冷却流体注入のための流量制御装置、真空ポンプ、他の関連チャンバ及び/又は処理機能)。制御装置290は、典型的には、中央演算処理装置(CPU)294、サポート回路296及びメモリ292を含む。CPU294は、様々なチャンバ、装置及びチャンバ周辺機器を制御するために工業環境で使用可能ないずれの形態のコンピュータプロセッサであってもよい。
制御装置290は、ハードディスクドライブなどのメモリ292に格納されたシステム制御ソフトウェアを実行する。また、制御装置は、アナログ及びデジタル入力/出力ボード、インターフェースボード及びステッピングモータ・コントローラボードを含む場合がある。一般に、光学及び/又は磁気センサを用いて、可動式機械アセンブリを移動させたり位置を求めたりする。CPU294に連結されたメモリ292、ソフトウェア又は他のコンピュータ可読性媒体は、容易に入手可能な1つ以上の記憶装置であってよい(ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、ハードディスク、CD、フロッピー(商標名)ディスク又はその他のいずれの形式の記憶記憶格納用のローカル又はリモートデジタルストレージ等)。サポート回路296は、慣用のやり方でCPU294をサポートするためにCPU294に連結される。これらの回路はキャッシュ、電源、クロック回路、入力/出力回路、サブシステム等を含む。
制御装置290を用いて、堆積温度、基板支持体の加熱及び/又は基板の冷却を含め、システム上に配置される温度の制御を行うことができる。制御装置290は、処理チャンバ202によって行われる処理/堆積時間、プラズマに点火するタイミング、処理チャンバ内において温度制御を維持する等の制御にも用いられる。
(処理チャンバの基板支持アセンブリ)
基板支持アセンブリ238は軸242に連結され且つ(図示されるような)上昇処理位置と基板搬送時の下降位置との間で基板支持アセンブリ238の移動を行う昇降システム(図示せず)に接続されている。また、軸242は、基板支持アセンブリ238と処理チャンバ202の他の構成要素とをつなぐ導線や熱電対リード線の導管となる。蛇腹部246は基板支持アセンブリ238に連結されており、処理容積212と処理チャンバ202の外部大気との間を真空シールし且つ基板支持アセンブリ238の垂直運動を円滑にしている。
一般に、処理中、基板支持アセンブリ238の昇降システムを調節して、基板240とガス分配板アセンブリ218との間の間隔を最適化(約400ミリ以上)する。間隔調節機能により、大型基板の面全体に必要とされる膜均一性を維持しつつ、多様な堆積条件に合わせて処理を最適化することが可能となる。本発明が有益となるように適合され得る基板支持アセンブリは、1998年12月1日にホワイト(White)らに発行された、本発明の譲受人に譲渡された米国特許第5844205号及びサジョト(Sajoto)らに2000年3月7日に発行された米国特許第6035101号に記載されており、これらの文献は全て引用によりその全体が本願に組み込まれる。
基板支持アセンブリ238は伝導体224を含み、この伝導体は、基板を処理する間、処理容積212内において、その上にて基板240を支持する基板支持表面234を有している。伝導体224は、熱伝導性を付与する金属又は合金材料から形成することが可能である。一実施形態において、伝導体224は、アルミニウム材料から形成される。しかしながら、他の適した材料も使用可能である。
基板支持アセンブリ238は、基板処理中に、基板支持表面234上に配置された基板240を取り囲むシャドーフレーム248を更に支持している。一般に、シャドーフレーム248により、基板240及び基板支持アセンブリ238の縁部への材料の堆積が防止されるため、基板240が基板支持アセンブリ238に固着することがない。一般に、基板支持アセンブリ238が下の非処理位置(図示せず)に在る場合、シャドーフレーム248は、チャンバ本体部の内壁部に沿って位置決めされている。図1に図示されるように、基板支持アセンブリ238が上の処理位置にある場合、シャドーフレーム248に刻まれた1つ以上の位置合わせ溝と1つ以上の位置合わせピン272とを一致させることにより、シャドーフレーム248を基板支持アセンブリ238の伝導体224に係合させ且つ整列させることが可能である。1つ以上の位置合わせピン272は、伝導体124の外周の上又はその付近に位置された1つ以上の位置合わせピン穴304を貫通するように適合されている。任意で、1つ以上の位置合わせピン272を支持ピン板254により支持し、基板のローディング中及びアンローディング時に伝導体224と共に移動可能にしてもよい。
基板支持アセンブリ238には、複数の基板支持ピン250が納まる複数の基板支持ピン穴228が貫通して形成されている。基板支持ピン250は、典型的には、セラミック又は陽極酸化アルミニウムから構成される。基板支持ピン250を、支持ピン板254により基板支持アセンブリ238に対して作動させて支持表面230から突出させ、基板を基板支持アセンブリ238に対して離間関係でもって載置することができる。或いは、昇降板はなくてもよく、基板支持アセンブリ238が下降された際に、処理チャンバ202の底部208により基板支持ピン250を突出させることが可能である。
温度制御される基板支持アセンブリ238は、1つ以上の電源274に連結された1つ以上の電極及び/又は加熱要素232も含んでいてよく、これらは基板支持アセンブリ238及びその上に位置決めされた基板240とを所定の温度範囲にまで制御しながら加熱する。典型的には、CVD法において、1つ以上の加熱要素232は、基板240を、基板上に堆積される材料についての堆積処理パラメータに応じて、少なくとも室温より高い均一な温度(約60℃以上等)、典型的には約80℃〜少なくとも約460℃に維持する。一実施形態において、1つ以上の加熱要素122は、伝導体224内に埋設されている。
図2A〜2Bは、伝導体224の全体に亘って配置された1つ以上の加熱要素232の平面図である。一実施形態において、加熱要素232は、基板支持アセンブリ238の内側及び外側溝領域に沿って走る外側加熱要素232Aと内側加熱要素232Bとを含む。外側加熱要素232Aは、軸242を通って伝導体224内に進入し、伝導体224の外周を1つ以上の外側ループとして取り巻き、軸242から外に出てよい。同様に、内側加熱要素232Bは、軸242を通って伝導体224内に進入し、伝導体224の中央領域を1つ以上の内側ループとして取り巻き、軸242から外に出てよい。
図2A及び2Bに図示されるように、内側加熱要素232B及び外側加熱要素232Aは、長さと、基板支持アセンブリ238の部位に関しての位置だけが異なる以外は構成が同じであってよい。内側加熱要素232B及び外側加熱要素232Aを基板支持アセンブリ内部に1つ以上の加熱管として形成し、端部を軸242の中空芯部内に適切に配置してもよい。各加熱要素及び加熱管は、その中に埋設された導体リード線又は発熱コイルを含んでいてよい。加えて、他の加熱要素、加熱線パターン又は構成を用いることも可能である。例えば、1つ以上の加熱要素232を、伝導体224の裏側に位置決めする又は固締板により伝導体224に固締することが可能である。1つ以上の加熱要素232は、約80℃以上の既定の温度にまで、抵抗加熱され得る又は他の加熱手段により抵抗加熱され得る。
加えて、内側加熱要素232Bと外側加熱要素232Aの伝導体224における設置経路は、図2Aに図示されるように、多少なりとも平行に近い2重ループにすることが可能である。或いは、内側加熱要素232Bを、図2Bに図示されるように、板状構造体の表面を多少なりとも均等に覆う葉状ループにすることが可能である。この二重ループパターンにより、伝導体224全体に亘って軸を中心としたほぼ対称的な温度分布が得られる一方、表面縁部での熱損失が大きくなる。通常、1つ以上の熱電対330を基板支持アセンブリ238内で用いることが可能である。一実施形態においては、伝導体224の中央領域に1つと外周に1つといったように、2つの熱電対を用いる。別の実施形態においては、伝導体224の中央からその4つの角部へと延びる4つの熱電対を用いる。
図示されるように、ディスプレイに使用するための伝導体224は、正方形又は長方形であってよい。ガラスパネル等の基板240を支持するための基板支持アセンブリ238の例示的な寸法は、幅約30インチ及び長さ約36インチである。しかしながら、本発明の板状構造のサイズは限定的なものではなく、本発明は円形又は多角形等の他の形状も含む。一実施形態において、伝導体224の形状は、幅約26.26インチ、長さ約32.26インチ以上の長方形であり、サイズ約570mmx720mm以上の、フラットパネルディスプレイ用のガラス基板の処理が可能である。別の実施形態において、伝導体224は、幅が例えば約80インチ〜100インチ、長さが例えば約80インチ〜約120インチの長方形である。一例として挙げると、幅約95インチx長さ約108インチの長方形の伝導体を、例えばサイズ約2200mmx2600mm以上のガラス基板の処理に用いることが可能である。一実施形態において、伝導体224は、基板240の形状に沿ったものであり、その寸法は基板240より大きく、基板240の領域を取り囲む。別の実施形態において、伝導体224は、寸法及びサイズにおいて基板240より若干小さいが、依然として基板240に沿った形状である。
基板支持アセンブリ238は、基板240を保持する及び整列させるための追加の機構を含んでいてよい。例えば、伝導体224は、伝導体を貫通し且つ基板240を伝導体224の上方に若干の距離をあけて支持するように適合された複数の基板支持ピン250のための、1つ以上の基板支持ピン穴228を含む。基板支持ピン250を基板240の周縁近くに位置決めし、搬送ロボット又は処理チャンバ202の外に配置された他の搬送機構により、搬送ロボットの動きを妨げることなく、基板240の載置又は除去を円滑に進めることが可能である。一実施形態において、基板支持ピン250を絶縁材料(とりわけセラミック材料、陽極酸化アルミニウム材料等)から形成して、基板処理中の電気絶縁性をもたらしつつ、依然として熱伝導性とすることが可能である。基板をローディング又はアンローディングする際に、基板支持ピン250が基板支持アセンブリ238内で動いて基板240を持ち上げるように、任意で、基板支持ピン250を、支持ピン板254により支持してもよい。或いは、基板支持ピン250をチャンバ底部に固定し、伝導体224を垂直方向に移動させることで基板支持ピン250が伝導体内を通過するようにしてもよい。
別の実施形態において、加熱要素132の少なくとも1つの外側ループつまり外側加熱要素232Aは、基板240が伝導体224の基板支持表面234上に載置された際に、基板240の外周に揃うように構成されている。例えば、伝導体224の寸法が基板240の寸法より大きい場合、外側加熱要素232Aの位置は、伝導体224上の1つ以上のピン穴(例えば、基板支持ピン穴250又は位置合わせピン穴304)の位置を妨害することなく、基板240の外周を取り囲むように構成される。
図2A及び2Bに図示されるように、本発明の一実施形態において、外側加熱要素232Aは、1つ以上の基板支持ピン穴228の周囲に、伝導体224の中心からかなり離れて位置決めされており、1つ以上の基板支持ピン穴228の位置、従って基板240の縁部を支持するための基板支持ピン250の位置を妨げていない。更に、本発明の別の実施形態において、外側加熱要素232Aは、1つ以上の基板支持ピン穴228と伝導体224の外縁との間に位置決めされており、基板240の縁部及び周囲までを加熱する。
(基板支持アセンブリの冷却構造)
上述したように、大面積基板の基板処理中、大面積基板の温度を調節する及び維持するにあたっては問題が生じる。従って、均一な温度プロファイルを達成するためには、加熱に加えて、基板の冷却が更に必要となる場合がある。本発明の1つ以上の態様において、基板支持アセンブリ238は、伝導体224に埋設された冷却構造310を更に含み得る。
図3A〜3Fは、基板支持アセンブリ238の伝導体224内の冷却構造310の例示的な構成を図示している。冷却構造310は、温度制御を維持し、基板処理中に起こり得る温度ムラ(RFプラズマを処理チャンバ202内で発生させた際の温度上昇又は急上昇)を補正するように構成された1つ以上の冷却チャネルを含む。例えば、基板240の左側を冷却するように構成された1つの冷却チャネルと、基板の右側を冷却するように構成された別の冷却チャネルが在る。冷却構造310は、1つ以上の電源374に連結することが可能であり、基板処理中に、基板の温度を効率的に調節するように構成されている。
一実施形態において、冷却チャネルは伝導体224内に埋設されており、1つ以上の加熱要素と同一平面上にくるように構成されている。別の実施形態において、各冷却チャネルは、2つ以上の冷却路に分岐されている。例えば、図3A〜3Fに図示されるように、各冷却チャネルは、基板支持表面234の冷却が全領域に亘るように適合された冷却路310A、310B、310Cを含む。加えて、熱伝導体内に埋設された冷却路310A、310B、310Cは、互いに同一平面上に在ってよい。更に、冷却路310A、310B、310Cは、加熱要素132A、132Bと同じ面の近傍付近にくるように製造することができる。
冷却路310A、310B、310Cの形状は、図3A〜3Fに例示的に図示されるように、様々に適合させることが可能である。全体として、冷却路310A、310B、310Cは、螺旋、ループ、曲線、蛇行及び/又は直線形状に構成することができる。例えば、冷却路310Aは外側加熱要素により近く、冷却路310Cは内側加熱要素により近い曲線形状であり、冷却路310Bは、冷却路310Aと冷却路310Bとの間でループを描く。
一実施形態において、冷却路310A、310B、310Cを、図3A〜3Eに例示的に図示されているように、単一の流入口(例えば、流入口312)から単一の流出口(例えば、流出口314)へと延ばし、軸242から出して軸242に戻すことが可能である。しかしながら、流入口312及び流出口314の位置に制限はなく、伝導体224及び/又は軸242内の場合もある。例えば、図3Fに例示的に図示されるように、1つ以上の流入口及び1つ以上の流出口を用いて、冷却チャネルを1つ以上の冷却路310A、310B、310Cに分岐させることも可能である。従って、本発明の一実施形態においては、複数の冷却路を単一の流入口及び単一の流出口に集中させることにより、複数の冷却路の存在下における一点冷却制御を行う。例えば、同じ流入口・流出口を共有する分岐冷却路は、単純なオン/オフ制御によって制御することが可能である。加えて、分岐冷却路は、図に描かれるように、左右対称の2つの群に分けることが可能である。結果として、このように設計された冷却路は、冷却構造内における冷却流体の圧力、流体流量、流体抵抗のより良好な制御をもたらす。一実施形態においては、冷却流体を、冷却路内に、制御された同一圧力、同一距離に亘って及び/又は同一抵抗にて流す。
別の実施形態において、各冷却路310A、310B、310Cの全長(L)は互いに同じであるため、冷却流体が流れる総距離は同じとなる(L=L・・・・L)。加えて、本発明の一実施形態において、冷却路310A、310B、310Cの内部を流れる冷却流体は、同一流量となるように構成される。従って、1つ以上の冷却路310A、310B、310Cの構造及びパターンにより、図3A〜3Fに例示されるように、基板支持アセンブリ238の基板支持表面234の全領域に亘って、冷却流体は同じ分布及び同じ抵抗でもって送られる。
冷却路310A、310B、310Cの直径に制限はなく、約1mm〜約15mm等のいずれの適切な直径であってもよい(例えば、9mm)。冷却路310A、310B、310Cの構造は、内側加熱要素232Bと外側加熱要素232Aとの間に割り当てられた、例えば、溝、チャネル、凸部、凹部等である。冷却路310A、310B、310Cを伝導体224の高温領域又は高温ゾーンに比較的近い位置に設けることにより、基板支持アセンブリの全体的な温度均一性を改善することが考えられる。
図3Fに図示されるように、代替の実施形態において、基板支持表面を所望の温度設定点にまで冷却する及び/又は加熱すること並びに基板の温度を調節することは、熱伝導体内に埋設された1つ以上の冷却/加熱チャネルによって行うことが可能である。例えば、流体を、流体再循環ユニットにより要望に応じて加熱し及び/又は冷却し、この加熱/冷却された流体を1つ以上のチャネル内に流すことで基板支持表面を加熱する及び/又は冷却する。加えて、流体再循環ユニットを熱伝導体の外部に設置し、1つ以上のチャネルと接続し、この1つ以上のチャネル内を流れる流体の温度を所望の温度設定点に調節することが可能である。
一実施形態において、1つ以上のチャネルと流体再循環ユニットとの間を流れる流体は、例えば、熱せられたオイル、熱水、冷却されたオイル、冷水、熱せられたガス、冷ガス及びこれらの組み合わせである。望ましい温度設定点は様々であり、例えば、約80℃以上(約100℃〜約200℃等)である。
別の実施形態において、流体再循環ユニットは、流体を加熱し及び/又は冷却し、流体の温度を望ましい温度設定点にまで調節するために設置された温度制御ユニットを含んでいる。温度制御ユニット内において望ましい温度設定点にまで加熱される及び/又は冷却される流体は、基板支持アセンブリの熱伝導体内に埋設された1つ以上のチャネルに再循環させることが可能である。別の実施形態において、熱伝導体内に埋設された1つ以上の冷却/加熱チャネルは、様々に異なる長さ又は同じ長さでもって、基板支持表面の全領域の加熱及び/又は冷却を担っている。更に別の実施形態において、1つ以上のチャネルのそれぞれは、基板支持表面の全領域が加熱される及び冷却されるように適合された2つ以上の分岐路を更に含む。
図4は、同一平面上にくるように構成された冷却構造310と加熱要素とを有する基板支持アセンブリの例示的な一実施形態である。例えば、冷却路310A、310B、310Cを加熱要素の高さに合わせることにより(同一平面Aの近くに形成する等)、基板処理中の良好な温度制御を保つ。
冷却路310A、310B、310Cは、熱伝導体内にチャネル及び流路を形成するための、当該分野において既知の技法によって形成することが可能である。例えば、冷却構造310及び/又は冷却路310A、310B、310Cは、溝を備えた2枚の伝導板を対応する位置で合わせて鍛造することにより形成することが可能であり、チャネル及び流路は、合わせた溝部から形成される。熱伝導体内に冷却チャネル及び流路が形成されたら、これらを封止してより良好な熱伝導性を確保し、冷却流体の漏れを防止する。
加熱要素、冷却チャネル及び冷却路を形成するための他の技法(溶接、鍛接、摩擦攪拌溶接、爆着、電子ビーム溶接、磨削等)も使用可能である。本発明の別の実施形態においては、伝導体224の製作中、溝、凹部、チャネル及び流路の部位をその表面上に備えた2枚の伝導板を、静水圧圧縮(isostatic compression)により圧縮又は締め固めると、加熱要素、冷却チャネル及び冷却路が、均等に締め固められる形で形成される。加えて、1つ以上の加熱要素並びに1つ以上の冷却チャネル及び冷却路用のループ、管系又はチャネルを、既知の接合技法(とりわけ溶接、サンドブラスト、高圧接合、接着剤による接合、鍛造等)を用いて製作し、基板支持アセンブリ238の伝導体224に接合してもよい。
冷却構造310及び冷却路310A、310B、310Cは、伝導体224と同じ材料(アルミニウム材料等)から形成することが可能である。或いは、冷却構造310及び冷却路310A、310B、310Cを、伝導体224とは異なる材料から形成することが可能である。例えば、冷却構造310及び冷却路310A、310B、310Cは、熱伝導性を付与する金属又は合金材料から形成される。別の実施形態において、冷却チャネル136は、ステンレススチール材料から形成される。しかしながら、他の適した材料又は構成も用いることが可能である。
冷却構造及び/又は冷却路に流す冷却流体には、以下に限定されるものではないが、清浄な乾燥空気、圧縮空気、ガス状材料、ガス、水、冷却液、液体、冷却オイル及び他の適した冷却ガス又は液体材料が含まれる。好ましくは、ガス状材料を用いる。適したガス状材料には、清浄な乾燥空気、圧縮空気、濾過空気、窒素ガス、水素ガス、不活性ガス(例えば、アルゴンガス、ヘリウムガス等)及び他のガスが含まれる。例え冷却水を使用するほうが都合がよくとも、1つ以上の冷却チャネル及び冷却路にガス状材料を流すほうが冷却水を流すよりも有益であるが、これは、ガス状材料だとより広い温度範囲に亘って冷却能を得ることができ、水漏れが処理基板上に堆積される膜の質やチャンバ構成要素に影響を及ぼす恐れがないからである。例えば、約10℃〜約25℃のガス状材料等の冷却流体を1つ以上の冷却チャネル及び冷却路に流すことにより、室温〜約200℃又はそれを越える高温に亘っての冷却制御を行うことができるが、冷却水は、通常、約20℃〜約100℃で用いられる。
基板処理中に基板の冷却調節を行うための、冷却構造310に連結された1つ以上の電源374に加え、他の制御装置(流体流量制御装置等)も用いて、冷却構造310に流れ込む様々な冷却流体又はガスの流量及び/又は圧力を制御する及び調節することが可能である。他の流量制御構成要素は、1つ以上の流体流注入バルブを含んでいてよい。更に、冷却チャネル及び冷却路に冷却流体を制御された流量にて流すことにより、基板が加熱要素によって加熱されている基板処理中及び/又はチャンバのアイドル時間中に、冷却効率を制御することが可能である。例えば、直径約9mmの例示的な冷却チャネルの場合、約25psi〜約100psi(約50psi等)の圧力を用いて、ガス状冷却材料を流す。従って、加熱要素と冷却構造を有する本発明の基板支持アセンブリ238を用いて基板の温度を一定に保つことが可能であり、基板の広い表面領域全体に亘って均一な温度分布が保たれる。
基板支持アセンブリ238の伝導体224の温度は、基板支持アセンブリ238の伝導体224内に配置された1つ以上の熱電対によってモニタすることが可能である。伝導体224上の基板の、軸を中心として対称である温度分布には、通常、基板支持アセンブリ238の中心を面に対して垂直に通って延びる、基板支持アセンブリ238の軸242に平行な(及びその内部に位置される)中心軸から等距離の全ての点について実質的に均一であることを特徴とする温度パターンが観察される。
(基板温度の維持)
図5は、処理チャンバ内において基板の温度を制御するための一例示的な方法500のフロー図である。運転中、基板は、工程510において、処理チャンバの内の基板支持アセンブリの基板支持表面上に位置決めされる。基板処理前及び/又は基板処理中、基板支持アセンブリの伝導体の上にある基板支持表面の温度は、約400℃以下の設定温度(約80℃〜約400℃又は約10℃〜約200℃)に維持される。工程520において、冷却流体、ガス又は空気を冷却構造の冷却チャネルに流す。例えば、冷却流体を、基板支持アセンブリの伝導体内に埋設された1つ以上の冷却チャネルに一定流量で流す。一実施形態において、冷却構造は同じ長さの2つ以上の分岐冷却路を含んでおり、この同じ長さの分岐冷却路を流れる冷却流体の流量を一定に維持することにより、基板支持表面の領域全体の冷却を行う。
基板の温度は、基板処理計画によって必要とされる様々な望ましい設定温度及び/又は範囲に保つことが可能である。例えば、基板処理中、設定基板処理温度及びその温度について望ましい処理時間が異なる場合がある。
工程530では、本発明の一実施形態においては、加熱要素の電源並びに冷却構造及び/又は冷却チャネルの電源を調節することにより、基板支持アセンブリの基板支持表面上の基板の温度を、所望の温度範囲にて所望の時間に亘って維持する。例えば、加熱要素の加熱効率は、加熱要素に接続された電源の電力を調節することにより調節可能である。別の例として、冷却構造要素の冷却効率は、冷却構造に接続された電源の電力を調節すること及び/又は冷却構造を流れる冷却流体の流量を調節することにより調節可能である。別の例として、加熱要素及び冷却チャネル用の電源は、これらの電源のオン/オフを組み合わせることにより調節可能である。
図5Bは、本発明の一実施形態による、処理チャンバ内において基板の温度を制御するための、加熱要素の電源及び冷却チャネルの電源のオン/オフ切替えの様々な組み合わせを示している。各組み合わせを用いて、基板処理中及び/又は非処理時間中に(プラズマを誘発させる時又はプラズマのエネルギーから発生した更なる熱が基板に向けられる時等)、基板支持アセンブリの基板支持表面の温度を調節する及び維持することにより、基板表面の温度の急上昇又は温度ムラを防止することが可能である。
例えば、基板処理時間中及び/又は、或いは、チャンバアイドル時間、非処理時間又はチャンバ洗浄/保全時間中に、冷却流体を流すための電源をオンにして冷却ガスを冷却チャネルに流す。加えて、加熱要素及び冷却構造用の様々な電源の出力を微調節することができる。
一実施形態においては、基板の温度を、基板の表面全体に亘って約100℃〜約200℃の一定処理温度に維持する。この結果、加熱及び/又は冷却効率を調節するために、1つ以上の制御ループが、制御装置290のソフトウェア設計に必要となる。運転中、基板支持アセンブリの1つ以上の加熱要素は約150℃の設定温度に設定され、約16℃又は他の適した温度の清浄な乾燥空気又は圧縮空気であるガス状冷却材料を冷却チャネルに一定流量で流すことにより、基板支持アセンブリの基板支持表面の温度を維持する。プラズマ又は追加の熱源が処理チャンバ内部の基板支持表面の上付近に存在する場合、冷却材料を圧力約50psiで一定して流すと、基板支持表面の温度が常に約150℃、表面温度均一性+/−2℃に維持されることが判明した。約300℃もの追加の熱源が存在したとしても基板支持表面の温度に影響はなく、本発明の冷却チャネル内に注入温度約16℃の冷却流体を流すことにより、基板支持表面が常に約150℃に維持されることが判明した。冷却後の基板支持アセンブリから流れ出た後の冷却ガスの流出温度は約120℃であると判明した。従って、本発明の冷却チャネル内部流れる冷却ガスは、非常に効率的な冷却効果を示しており、このことは、冷却ガスの流出時の温度と注入時の温度との間に100℃を越える差があることに反映されている。
表1は、(オン、オフ切替えされる)複数の電源(それぞれプラズマの点火並びに外側ヒータ、内側ヒータ及び冷却構造の調節用)を有する基板支持アセンブリの基板支持表面の温度の維持例を示す。冷却構造は、同じグループ内で制御される複数の冷却路(例えば、単一の流入・流出グループから分岐したC、C・・・C)を有していてよい。
Figure 2010500760
外側ヒータを、可能な限り基板支持表面の外縁に近いところに形成して放射損失に対処することができる。初期設定温度に到達させるには、内側ヒータが有用であるかもしれない。説明上、2つの加熱要素を用いているが、複数の加熱要素を用いて基板支持アセンブリの伝導体の温度を制御することが可能である。加えて、内側加熱要素及び外側加熱要素は、異なる温度で作動させてもよい。一実施形態において、外側加熱要素は、内側加熱要素の設定温度よりも高い温度で作動させられる。外側加熱要素をより高温で作動させると外側加熱要素付近が高温領域となるため、冷却構造に連結された電源をオンにして、冷却流体を流す。このようにして、実質的に均一な温度分布が得られる。
従って、1つ以上の加熱要素並びに1つ以上の冷却チャネル及び冷却路を基板支持アセンブリ内に配置することにより、基板支持表面は、400℃以下(約100℃〜約200℃等)の均一な温度に維持される。例えば、二元加熱・冷却温度制御におけるように、加熱要素の加熱効率は、電源274によって調節可能であり、冷却構造の冷却効率は、電源374及び/又び冷却構造内を流れる冷却流体の流量により調節可能である。
この結果、基板支持アセンブリ及びその上に位置決めされた基板は、加減されて所望の設定温度に維持される。本発明の基板支持アセンブリを用いると、設定温度の約+/−5℃以下の温度均一性を、基板支持アセンブリ238の伝導体224について観察することができる。処理チャンバによって複数の基板が処理された後であっても、約+/−2℃以下の処理設定温度再現性を観察することができる。一実施形態において、基板の温度は、約+/−10℃の正規化された温度ムラでもって(約+/−5℃の温度ムラ等)、一定に維持される。
加えて、ベース支持板を伝導体の下に位置決めして基板支持アセンブリ及びその上の基板の構造的支持体とすることにより、重力及び高温によりこれらが撓むのを防止し、伝導体と基板との間の比較的均一で再現性のある接触を確保してもよい。従って、本発明の基板支持アセンブリ138内の伝導体は、大面積基板の温度を制御するための加熱及び冷却能を備えた単純なデザインとなる。
一実施形態において、基板支持アセンブリ238は、長方形の基板を処理するように適合されている。フラットパネルディスプレイ用の長方形の基板の表面積は通常、広く、例えば約300mmx約400mm以上(例えば、約370mmx約470mm以上)の長方形である。処理チャンバ202、伝導体224及び処理チャンバ100の関連する構成要素の寸法に制限はなく、一般に、処理チャンバ100内で処理される基板112のサイズ及び寸法に比例して大きくなる。例えば、幅約370mm〜約2160mm及び長さ約470mmx約2460mmの正方形の大面積基板を処理する場合、伝導体の幅は約430mmx約2300mm及び長さ約520mmx約2600mmとなり、処理チャンバ202は幅約570mmx約2360mm及び長さ約570mmx約2660mmとなる。別の例として、基板支持表面は、約370mmx約470mm以上の寸法を有する。
フラットパネルディスプレイ用途の場合、基板が、可視スペクトルにおいて基本的に光学的に透明な材料(例えば、ガラス又は透明プラスチック)を含んでいる場合がある。例えば、薄膜トランジスタ用途の場合、基板は高い光透過性を有する大面積ガラス基板である。しかしながら、本発明は、どのようなタイプ及びサイズの基板の処理にも同等に適用可能である。本発明の基板は、フラットパネルディスプレイを製造する場合、円形、正方形、長方形又は多角形が可能である。加えて、本発明は、フラットパネルディスプレイ(FPD)、フレキシブルディスプレイ、有機発光ダイオード(OLED)ディスプレイ、フレキシブル有機発光ダイオード(FOLED)ディスプレイ、高分子発光ダイオード(PLED)ディスプレイ、液晶ディスプレイ(LCD)、有機薄膜トランジスタ、アクティブマトリクス、パッシブマトリクス、トップエミッション型素子、ボトムエミッション型素子、太陽電池、ソーラーパネル等の装置を製造するための基板に応用され、とりわけシリコンウェハ、ガラス基板、金属基板、プラスチックフィルム(例えば、ポリエチレンテレフタレート(PET)、ポリエチレンナフタレート(PEN)等)、プラスチックエポキシフィルムのいずれについてのものであってもよい。本発明は、低温PECVD法(基板処理中に冷却制御を行うことが望ましい、フレキシブルディスプレイ装置の製作に用いられる技法等)に特に適している。
図6Aは、記載されたような基板の上に形成可能な薄膜トランジスタ(TFT)構造の概略断面図である。一般的なTFT構造は、バックチャネルエッチ(BCE)タイプの逆スタガ型(つまりボトムゲート型)TFT構造である。BCE法では、ゲート誘電体(SiN)、真性シリコン及びn+ドープ非晶質シリコン膜を基板上に堆積する(例えば、任意により、同じPECVDポンプダウンランにて)。基板101は、例えばガラスや透明プラスチック等の、可視スペクトルにおいて基本的に光学的に透明な材料を含み得る。基板101の形状又は寸法は様々であってよい。通常、TFTに適用する場合、基板は約500mmを超える表面積のガラス基板である。
ゲート電極層102が、基板101上に形成される。ゲート電極層102は、TFTにおいて電荷キャリアの動きを制御する導電層を含む。ゲート電極層102は、例えば、とりわけアルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)又はこれらの組み合わせ等の金属を含んでいてよい。ゲート電極層102は、慣用の堆積、リソグラフィ及びエッチング技法を用いて形成することができる。基板101とゲート電極層102との間に、任意の絶縁材料が在ってもよく(例えば、二酸化シリコン(SiO)又は窒化シリコン(SiN)等)、この絶縁材料も、本願に記載のPECVDシステムの実施形態を使用して形成することができる。次に、慣用の技法を用いてゲート電極層102にリソグラフィによるパターン加工を施し、エッチングし、ゲート電極を画成する。
ゲート誘電体層103が、ゲート電極層102上に形成される。ゲート誘電体層103は、本発明によるPECVDシステムの実施形態を使用して堆積された二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)又は窒化ケイ素(SiN)であってよい。ゲート誘電体層103は、約100Å〜約6000Åの範囲の厚さに形成することができる。
半導体層104が、ゲート誘電体層103上に形成される。半導体層104は、多結晶シリコン(ポリシリコン)又は非晶質シリコン(α−Si)を含んでいてよく、この発明に組み込まれているPECVDシステムの実施形態又は当該分野において既知の他の慣用の方法を使用して堆積することができる。半導体層104は、約100Å〜約3000Åの範囲の厚さに堆積することができる。
ドープ半導体層105が、半導体層104上に形成される。ドープ半導体層105は、n型(n+)又はp型(p+)ドープ多結晶(ポリシリコン)又は非晶質シリコン(α−Si)を含んでいてよく、この発明に組み込まれているPECVDシステムの実施形態又は当該分野において既知の他の慣用の方法を使用して堆積することができる。ドープ半導体層105は、約100Å〜約3000Åの範囲内の厚さに堆積することができる。ドープ半導体層105の一例は、n+ドープα−Si膜である。半導体層104及びドープ半導体層105を、慣用の技法を用いてリソグラフィパターン加工し、エッチングすることにより、蓄電キャパシタ誘電体としての役割も果たすゲート誘電絶縁体上にこれら2つの膜のメサを画成する。ドープ半導体層105は、半導体層104の一部と直接接触し、半導体接合部を形成する。
次に導電層106が、露出した表面上に堆積される。導電層106は、例えば、とりわけアルミニウム(Al)、タングステン(W)、モリブデン(Mo)、クロム(Cr)、タンタル(Ta)及びこれらの組み合わせ等の金属を含み得る。導電層106は慣用の堆積技法を用いて形成することができる。導電層106とドープ半導体層105の双方にリソグラフィパターン加工を施すことにより、TFTのソース及びドレインコンタクトを画成することができる。
その後、パッシベーション層107を堆積してよい。パッシベーション層107は、露出面をぴったりと被覆する。パッシベーション層107は一般に絶縁体であり、例えば二酸化ケイ素(SiO)又は窒化ケイ素(SiN)を含む。パッシベーション層107は、例えばPECVD又は当該分野において既知である他の慣用の方法を用いて形成される。パッシベーション層107は、約1000Å〜約5000Åの範囲の厚さに堆積することができる。次に、パッシベーション層107に、慣用の技法を用いてリソグラフィパターン加工及びエッチングを施し、パッシベーション層にコンタクトホールを開ける。
次に、透明導電層108を堆積し、パターン加工を施し、導電層106と接触させる。透明導電層108は、可視スペクトルにおいて基本的に光学的に透明であり且つ導電性である材料を含む。透明導電層108は、例えば、とりわけインジウムスズ酸化物(ITO)又は酸化亜鉛を含む。透明導電層108のパターン形成は、慣用のリソグラフィ技法及びエッチング技法によって達成される。液晶ディスプレイ(又はフラットパネル)に使用されているドープ又は非ドープ(真性)非晶質シリコン(α−Si)、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)及び窒化ケイ素(SiN)の膜は全て、この発明に組み込まれているプラズマ化学気相蒸着(PECVD)システムの実施形態を使用して堆積することができる。
図6Bは、本発明の一実施形態による、記載の基板上に形成可能なケイ素系薄膜太陽電池600の例示的な断面図である。基板601が使用され、基板は、可視スペクトルにおいて基本的に光学的に透明である材料(例えば、ガラス又は透明プラスチック等)を含み得る。基板601の形状又は寸法は様々であってよい。基板601は、適した他の材料の中でもとりわけ金属、プラスチック、有機材料、シリコン、ガラス、石英又は高分子の薄いシートである。基板601は、約1mを越える(約500mmを越える等)の表面積を有し得る。例えば、太陽電池製作に適した基板601は、約2mを越える表面積を有するガラス基板である。
図6Bに図示されるように、透過伝導性酸化物層602を、基板601上に堆積することができる。任意の誘電体層(図示せず)を、基板601と透過伝導性酸化物層602との間に配置してもよい。例えば、任意の誘電体層はSiON又は酸化ケイ素(SiO)層である。透過伝導性酸化物層602は、以下に限定されるものではないが、酸化スズ(SnO)、インジウムスズ酸化物(ITO)、酸化亜鉛(ZnO)又はこれらの組み合わせから成る群から選択される少なくとも1つの酸化物層を含んでいてよい。透過伝導性酸化物層602は、本願に記載されるように、CVD法、PVD法又は他の適切な堆積法によって堆積することができる。例えば、透過伝導性酸化物層602は、既定の膜特性でもって反応性スパッタ堆積法によって堆積される。基板温度は約150℃〜約350℃に制御される。詳細な処理要件及び膜特性要件は、リー(Li)らによって2006年12月21日に出願された米国特許出願第11/614461号「Reactive Sputter Deposition of a Transparent Conductive Film(透明導電膜の反応性スパッタ蒸着)」に詳細に開示されており、参照により本願に組み込まれる。
光電変換ユニット614は、基板601の表面上に形成することが可能である。光電変換ユニット614は、典型的には、p型半導体層604、n型半導体層608及び光電変換層としての真性型(i型)半導体層606を含む。p型半導体層604、n型半導体層608及び真性型(i型)半導体層606は、厚さ約5nm〜約50nmの、非晶質シリコン(a−Si)、多結晶シリコン(ポリSi)及び微結晶シリコン(μc−Si)等の材料を含み得る。
一実施形態において、p型半導体層604、真性型(i型)半導体層606及びn型半導体層608は、本願に記載の方法及び装置により堆積することができる。堆積処理中の基板温度は、既定の範囲に維持される。一実施形態においては、基板温度を約450℃未満に維持することにより、低融点の基板(アルカリガラス、プラスチック及び金属等)の利用が可能になる。別の実施形態において、処理チャンバ内の基板温度は、約100℃〜約450℃の範囲に維持される。更に別の実施形態において、基板温度は約150℃〜約400℃(350℃等)の範囲に維持される。
処理中、ガス混合物を処理チャンバ内に流してRFプラズマの形成に用い、例えばp型微結晶シリコン層を堆積する。一実施形態において、ガス混合物は、シラン系ガス、グループIIIドープガス及び水素ガス(H)を含む。シラン系ガスの適切な例には、以下に限定されるものではないが、モノシラン(SiH)、ジシラン(Si)、四フッ化ケイ素(SiF)、四塩化ケイ素(SiCl)及びジクロロシラン(SiHCl)等が含まれる。グループIIIドープガスは、ホウ酸トリメチル(TMB)、ジボラン(B)、BF、B(C3、BH及びB(CHから成る群から選択されるホウ素含有ガスであってよい。シラン系ガス、グループIIIドープガス及びHガス間の供給ガス比を維持することにより、ガス混合物の反応挙動を制御し、p型微結晶シリコン層に形成される結晶とドーパントの濃度を望ましい割合にする。一実施形態において、シラン系ガスはSiHであり、グループIIIドープガスはB(CHである。SiHガスは、1sccm/L〜約20sccm/Lである。Hガスは、流量約5sccm/L〜500sccm/Lで供給される。B(CHは、流量約0.001sccm/L〜約0.05sccm/Lで供給される。処理圧は、約1Torr〜約20Torr(例えば、約3Torrより高い等)にて維持される。約15ミリワット/cm〜約200ミリワット/cmのRF電力をシャワーヘッドに供給する。
任意で、処理チャンバ202に供給されるガス混合物に、1つ以上の不活性ガスを含めてもよい。不活性ガスは、以下に限定されるものではないが、希ガス(Ar、He、Xe等)を含み得る。不活性ガスは、処理チャンバ202に、流量約0sccm/L〜約200sccm/Lで供給することができる。1mより大きい上表面積を有する基板の場合の処理間隔は、約400ミリ〜約1200ミリ、例えば、約400ミリ〜約800ミリ(500ミリ等)に制御される。
i型半導体層606は、改善された光電変換効率を有する膜特性を付与する、制御された処理条件下において堆積された非ドープ・シリコン系膜が可能である、。一実施形態において、i型半導体層は、i型多結晶シリコン(ポリSi)、i型微結晶シリコン(μc−Si)又はi型非晶質シリコン膜(a−Si)を含み得る。一実施形態において、例えばi型非晶質シリコン膜を堆積するための基板温度は、約400℃未満、例えば約150℃〜約400℃の範囲(200℃等)に維持される。詳細な処理要件及び膜特性要件は、チェ(Choi)らにより2006年6月23日に出願された米国特許出願第11/426127号「Method and Apparatus For Depositing a Microcrystalline Silicon Film For Photovoltaic Device(光起電装置用微結晶シリコン膜を堆積するための方法及び装置)」に詳細に開示されており、参照により本願に組み込まれる。i型非晶質シリコン膜は、本願に記載されるような方法及び装置、例えば、水素ガスのシランガスに対する比が約20:1以下のガス混合物を供給することにより堆積することができる。シランガスは、流量約0.5sccm/L〜約7sccm/Lで供給することができる。水素ガスは、流量約5sccm/L〜約60sccm/Lで供給することができる。15ミリワット/cm〜約250ミリワット/cmのRF電力をシャワーヘッドに給電することができる。チャンバの圧力は、約0.1Torr〜20Torr(約0.5Torr〜約5Torr等)に維持することができる。真性型非晶質シリコン層の堆積速度は、約100Å/分以上であってよい。
n型半導体層608は、例えば、i型及びn型半導体層と同じ又は異なる処理チャンバにおいて堆積された非晶質シリコン層である。例えば、グループVの元素を選択して半導体層にドープし、n型層とする。一実施形態において、n型半導体層608は、非晶質シリコン膜(a−Si)、多結晶膜(ポリSi)及び微結晶膜(μc−Si)によって、厚さ約5nm〜約50nmで形成される。例えば、n型半導体層608は燐ドープ非晶質シリコンを含む。
処理中、ガス混合物を処理チャンバ内に流してRFプラズマの形成に用い、n型非晶質シリコン層608を堆積する。一実施形態において、ガス混合物は、シラン系ガス、グループVドープガス及び水素ガス(H)を含む。シラン系ガスの適切な例には、以下に限定されるものではないが、モノシラン(SiH)、ジシラン(Si)、四フッ化ケイ素(SiF)、四塩化ケイ素(SiCl)及びジクロロシラン(SiHCl)等が含まれる。グループVドープガスは、PH、P、PO、PF、PF及びPClから成る群から選択される燐含有ガスであってよい。シラン系ガス、グループVドープガス及びHガス間の供給ガス比を維持することにより、ガス混合物の反応挙動を制御し、n型非晶質層608内において形成されるドーパント濃度を所望のものとする。一実施形態において、シラン系ガスはSiHであり、グループVドープガスはPHである。SiHガスは、流量1sccm/L及び約10sccm/Lで供給される。Hガスは、流量約4sccm/L〜約50sccm/Lで供給される。PHは、流量約0.0005sccm/L〜約0.0075sccm/Lで供給される。つまり、ホスフィンを0.5モル%又は体積%濃度でキャリアガス(Hガス等)に供給する場合、ドーパント/キャリアガス混合物は流量約0.1sccm/Lから約1.5sccm/Lにて供給される。約15ミリワット/cm〜約250ミリワット/cmのRF電力をシャワーヘッドに給電することができる。チャンバの圧力は、約0.1Torr〜約20Torr、好ましくは約0.5Torr〜約4Torrに維持することができる。n型非晶質シリコンバッファ層の堆積速度は、約200Å/分以上であってよい。
任意で、処理チャンバ202に供給されるガス混合物に、1つ以上の不活性ガスを含めてもよい。不活性ガスは、以下に限定されるものではないが、希ガス(Ar、He、Xe等)を含み得る。不活性ガスは、処理チャンバ202に、流量約0sccm/L〜約200sccm/Lで供給することができる。一実施形態において、1mより大きい上表面積を有する基板の場合の処理間隔は、約400ミリ〜約1200ミリ、例えば、約400ミリ〜約800ミリ(500ミリ等)に制御される。
一実施形態において、n型非晶質層を堆積するために制御された基板温度は、p型非晶質層及びi型非晶質層を堆積するための温度よりも低い温度に制御される。i型非晶質層は、所望の結晶体積及び膜特性でもって基板上に堆積されているため、n型非晶質層の堆積に比較的低い処理温度を用いることにより、その下のシリコン層への熱損傷と結晶の再構築を防止する。一実施形態において、基板温度は約350℃未満に制御される。別の実施形態において、基板温度は、約150℃〜約250℃等の約100℃〜約300℃(例えば、約200℃)に制御される。
背面電極616は、光電変換ユニット614上に配置することができる。一実施形態において、背面電極616は、透過伝導性酸化物層610と導電層612を含む積層膜によって形成することができる。透過伝導性酸化物層610は、透過伝導性酸化物層602と同様の材料から形成してもよい。透過伝導性酸化物層610に適した材料は、以下に限定されるものではないが、スズ酸化物(SnO)、インジウムスズ酸化物(ITO)、酸化亜鉛(ZnO)又はこれらの組み合わせを含む。導電層612は、以下に限定されるものではないが、Ti、Cr、Al、Ag、Au、Cu、Pt並びにこれらの組み合わせ及び合金を含めた金属材料を含み得る。透過伝導性酸化物層610及び導電層612は、CVD法、PVD法又は他の適した堆積法によって堆積することができる。
透過伝導性酸化物層610は光電変換ユニット614上に堆積されるため、比較的低い処理温度を用いることにより、光電変換ユニット614内のシリコン含有層への熱損傷及び望ましくない結晶再構築を防止する。一実施形態において、基板温度は約150℃〜約300℃(約200℃〜約250℃等)に制御される。或いは、本願に記載されるような光起電装置又は太陽電池において、堆積を逆の順番で行ってもよい。例えば、光電変換ユニット614を形成する前に、背面電極616を最初に基板601上に堆積する。
図6Bの実施形態は、基板601上に形成された単一接合光電変換ユニットについてのものであるが、異なる数の光電変換ユニット(例えば、2つ以上)を光電変換ユニット614上に形成して、異なる処理要件とデバイス性能を満たしてもよい。
運転中、太陽電池には環境から光(例えば、太陽光又は他の光子)が供給され、光電変換ユニット614は光エネルギーを吸収すると、光電変換ユニット614内に形成されたpin接合を介して光エネルギーを電気エネルギーに変換し、電気又はエネルギーを発生させる。
本発明の開示を組み込んだ好ましい実施形態の幾つかを図示し、詳細に説明してきたが、当業者ならば、これらの開示を取り入れつつ他にも多くの様々な実施形態を容易に創作することが可能である。加えて、上記は本発明の実施形態についてのものであるが、本発明の基本的な範囲から逸脱することなく本発明のその他の及び更に別の実施形態を創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。

Claims (21)

  1. 大面積基板を支持するように適合された装置であり、
    熱伝導体を有する基板支持アセンブリと、
    熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、
    熱伝導体内に埋設された1つ以上の加熱要素と、
    1つ以上の加熱要素と同一平面となるように熱伝導体内に埋設された2つ以上の冷却チャネルとを備える装置。
  2. 2つ以上の冷却チャネルのそれぞれは、基板支持表面の全領域の冷却を行うように適合された2つ以上の分岐冷却路を備え、2つ以上の分岐冷却路は、熱伝導体内に等しい全長で埋設され且つ1つ以上の加熱要素と同一平面となるように構成されている請求項1記載の装置。
  3. 2つ以上の分岐冷却路が、単一の流入口から単一の流出口に延びて等しい抵抗冷却が得られる請求項2記載の装置。
  4. 冷却流体は、2つ以上の分岐冷却路内を等しい流量で流される請求項2記載の装置。
  5. 冷却ガス、冷却液体、水、清浄な乾燥空気、圧縮空気、冷却オイル及びこれらの組み合わせから成る群から選択された冷却流体が、2つ以上の冷却チャネル内に流される請求項1記載の装置。
  6. 2つ以上の冷却チャネルは、鍛造、溶接、摩擦攪拌溶接、爆着、電子ビーム溶接、磨削及びこれらの組み合わせから成る群から選択された技法によって形成される請求項1記載の装置。
  7. 基板支持表面が矩形であり且つ約370mmx約470mm以上の寸法を有する大面積基板を支持するように適合されている請求項1記載の装置。
  8. 基板支持アセンブリは、太陽電池、ソーラーパネル、フラットパネルディスプレイ(FPD)、フレキシブルディスプレイ、有機発光ダイオード(OLED)ディスプレイ、フレキシブル有機発光ダイオード(FOLED)ディスプレイ、高分子発光ダイオード(PLED)ディスプレイ、液晶ディスプレイ(LCD)、有機薄膜トランジスタ、アクティブマトリクス、パッシブマトリクス、トップエミッション型素子、ボトムエミッション型素子及びこれらの組み合わせから成る群から選択された装置を製造するための1つ以上の大面積矩形基板を支持するように構成されている請求項1記載の装置。
  9. 大面積基板を支持するように適合された装置であり、
    熱伝導体を有する基板支持アセンブリと、
    熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、
    熱伝導体内に埋設され且つ基板支持表面を加熱する及び冷却するための、所望の温度設定点にて流体が流れるように適合された1つ以上のチャネルを備える装置。
  10. 1つ以上のチャネルに接続され且つ熱伝導体の外部に配置された、1つ以上のチャネル内を流れる流体の温度を所望の温度設定点に調節するための流体再循環ユニットを更に備える請求項9記載の装置。
  11. 流体は1つ以上のチャネルと流体再循環ユニットとの間に流され、流体は、熱せられたオイル、熱水、冷却されたオイル、冷水、熱せられたガス、冷ガス及びこれらの組み合わせから成る群から選択される請求項10記載の装置。
  12. 1つ以上のチャネル内の流体は、約100℃〜約200℃の所望の温度設定点にて流される請求項9記載の装置。
  13. 大面積基板を処理するための装置であり、
    処理チャンバと、
    大面積基板を支持するように適合された基板支持アセンブリを備え、基板支持アセンブリは、
    熱伝導体と、
    熱伝導体の表面上に在り且つその上で大面積基板を支持するように適合された基板支持表面と、
    熱伝導体内に埋設された1つ以上の加熱要素と、
    1つ以上の加熱要素と同一平面となるように熱伝導体内に埋設された2つ以上の冷却チャネルとを備え、
    前記装置は処理チャンバ内に配置された、1つ以上の処理ガスを基板支持アセンブリ上に供給するためのガス分配板アセンブリを更に備えている装置。
  14. 2つ以上の冷却チャネルのそれぞれは、基板支持表面の全領域の冷却を行うように構成された2つ以上の分岐冷却路を備え、2つ以上の分岐冷却路は、等しい全長にて熱伝導体内に埋設されるように構成された請求項13記載の装置。
  15. 2つ以上の分岐冷却路が、単一の流入口から単一の流出口に延びて等しい抵抗冷却が得られるように構成されている請求項14記載の装置。
  16. 処理チャンバ内において大面積基板の温度を維持するための方法であり、
    大面積基板を処理チャンバの基板支持アセンブリの基板支持表面上に準備することを含み、基板支持アセンブリは、
    大面積基板を支持するように適合された基板支持表面をその上に有する熱伝導体と、
    熱伝導体内に埋設された1つ以上の加熱要素と、
    1つ以上の加熱要素と同一平面となるように熱伝導体内に埋設された2つ以上の冷却チャネルとを備え、
    前記方法は、2つ以上の冷却チャネル内に冷却流体を流し、
    1つ以上の加熱要素のための第1電源及び2つ以上の冷却チャネルのための第2電源を調節し、大面積基板の温度を維持することを更に含む方法。
  17. 大面積基板の温度は、第1電源及び第2電源のオン/オフの組み合わせによって一定に維持される請求項16記載の方法。
  18. 大面積基板の温度は、約100℃〜約200℃の設定温度に、設置温度に対して約+/−5℃以下の温度均一性でもって維持される請求項16記載の方法。
  19. 2つ以上の分岐冷却路は、熱伝導体内に等しい全長にて且つ1つ以上の加熱要素と同一平面となるように埋設される請求項16記載の方法。
  20. 冷却ガス、冷却液体、水、清浄な乾燥空気、圧縮空気、冷却オイル及びこれらの組み合わせから成る群から選択された冷却流体は、2つ以上の分岐冷却路内を等しい流量で流される請求項16記載の方法。
  21. 2つ以上の分岐冷却路は、単一の流入点から単一の流出点に延びている請求項16記載の方法。
JP2009523882A 2006-08-08 2007-07-23 基板支持体の加熱及び冷却 Pending JP2010500760A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US82181406P 2006-08-08 2006-08-08
US11/776,980 US20080035306A1 (en) 2006-08-08 2007-07-12 Heating and cooling of substrate support
PCT/US2007/074132 WO2008021668A2 (en) 2006-08-08 2007-07-23 Heating and cooling of substrate support

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012005258U Continuation JP3179605U (ja) 2006-08-08 2012-08-28 基板支持体の加熱及び冷却

Publications (1)

Publication Number Publication Date
JP2010500760A true JP2010500760A (ja) 2010-01-07

Family

ID=39049461

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009523882A Pending JP2010500760A (ja) 2006-08-08 2007-07-23 基板支持体の加熱及び冷却
JP2012005258U Expired - Lifetime JP3179605U (ja) 2006-08-08 2012-08-28 基板支持体の加熱及び冷却

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012005258U Expired - Lifetime JP3179605U (ja) 2006-08-08 2012-08-28 基板支持体の加熱及び冷却

Country Status (6)

Country Link
US (3) US20080035306A1 (ja)
JP (2) JP2010500760A (ja)
KR (1) KR200465330Y1 (ja)
CN (1) CN201436515U (ja)
TW (1) TWI449121B (ja)
WO (1) WO2008021668A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011102263A1 (ja) * 2010-02-16 2011-08-25 シャープ株式会社 真空処理装置
JP2012521652A (ja) * 2009-03-24 2012-09-13 ラム リサーチ コーポレーション デチャック時における電位スパイクを抑制する方法及び装置
JP2013026561A (ja) * 2011-07-25 2013-02-04 Tokyo Electron Ltd 温度制御ユニット、基板載置台、基板処理装置、温度制御システム、及び基板処理方法
JP2013084936A (ja) * 2011-10-12 2013-05-09 Asml Netherlands Bv 放射ビーム溶接方法、本体及びリソグラフィ装置
KR101522561B1 (ko) * 2013-08-23 2015-05-26 (주)위지트 온도 균일성이 향상된 서셉터
JP2017227325A (ja) * 2016-06-14 2017-12-28 バット ホールディング アーゲー 流量を制御するためのおよび流路を遮断するための真空バルブ
JP2020061401A (ja) * 2018-10-05 2020-04-16 日本特殊陶業株式会社 保持装置
JP2020514529A (ja) * 2016-12-21 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdによる共形密封膜堆積
JP7423410B2 (ja) 2020-05-11 2024-01-29 株式会社アルバック プラズマ処理方法

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833885B2 (en) * 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
CN102077331B (zh) * 2008-06-27 2014-05-07 株式会社半导体能源研究所 薄膜晶体管
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
JP4811881B2 (ja) * 2009-03-18 2011-11-09 東京エレクトロン株式会社 基板熱処理装置
US20110180233A1 (en) * 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US20120148760A1 (en) * 2010-12-08 2012-06-14 Glen Eric Egami Induction Heating for Substrate Processing
US9719166B2 (en) 2011-06-21 2017-08-01 Spts Technologies Limited Method of supporting a workpiece during physical vapour deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
JP5961366B2 (ja) * 2011-11-28 2016-08-02 東芝機械株式会社 ワーク設置装置およびワーク設置方法
JP5798020B2 (ja) * 2011-12-01 2015-10-21 東芝機械株式会社 ワーク設置装置およびワーク設置方法
US20130171769A1 (en) * 2011-12-30 2013-07-04 Innovation & Infinity Global Corp. Manufacturing method of composite poly-silicon substrate of solar cell
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
CN102758192B (zh) * 2012-06-05 2014-08-20 中国电子科技集团公司第四十八研究所 一种半导体外延片载片盘及其支撑装置及mocvd反应室
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US9478447B2 (en) * 2012-11-26 2016-10-25 Applied Materials, Inc. Substrate support with wire mesh plasma containment
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
KR20160002941A (ko) * 2013-04-26 2016-01-08 임머숀 코퍼레이션 플렉서블 디스플레이를 위한 수동 강성 및 능동 변형 햅틱 출력 장치
DE102013105320A1 (de) * 2013-05-23 2014-11-27 Ev Group E. Thallner Gmbh Vorrichtung und Verfahren zum Beschichten eines Substrats
CN103280416B (zh) * 2013-05-31 2016-05-04 深圳市华星光电技术有限公司 一种热处理装置
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9677177B2 (en) * 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
KR20160019954A (ko) * 2014-01-16 2016-02-22 후아웨이 디바이스 컴퍼니 리미티드 액정 디스플레이, 액정 디스플레이에 검사 방법, 및 전자 장치
IL247032B (en) 2014-02-14 2022-07-01 Applied Materials Inc Gas-cooled substrate support for stable deposition at high temperature
US9338829B2 (en) * 2014-02-14 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Heated platen with improved temperature uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US9872341B2 (en) * 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102374079B1 (ko) * 2015-03-13 2022-03-16 주성엔지니어링(주) 기판 처리장치에 구비되는 기판안착부
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
CN106470529B (zh) * 2015-08-18 2019-09-17 活全机器股份有限公司 具有均匀冷却效果的冷却压合机
CN106544649A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 基座一体式控温盘
CN106544650A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 基座分体式控温盘
CN106544648A (zh) * 2015-09-16 2017-03-29 沈阳拓荆科技有限公司 无气道式控温盘
WO2017052958A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Large area dual substrate processing system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106609365A (zh) * 2015-10-22 2017-05-03 沈阳拓荆科技有限公司 一种半导体镀膜设备用双通道控温装置
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10648080B2 (en) 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN106894002A (zh) * 2017-03-31 2017-06-27 昆山国显光电有限公司 一种pecvd成膜装置及其成膜方法
CN109154065B (zh) * 2017-04-07 2021-09-03 应用材料公司 用于清洁真空腔室的方法、用于真空处理基板的设备和用于制造具有有机材料的装置的系统
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
CN107272233A (zh) * 2017-07-24 2017-10-20 武汉华星光电技术有限公司 对位装置
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7278049B2 (ja) * 2018-09-28 2023-05-19 日本特殊陶業株式会社 保持装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN110241403A (zh) * 2019-07-23 2019-09-17 芜湖通潮精密机械股份有限公司 一种减小温差的加热器及其制作方法和应用
JP7464692B2 (ja) * 2019-07-26 2024-04-09 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110415611B (zh) * 2019-07-31 2021-12-07 友达光电(昆山)有限公司 显示面板
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR102297382B1 (ko) * 2019-10-18 2021-09-01 세메스 주식회사 기판 처리 시스템 및 방법
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN112251732B (zh) * 2020-08-31 2023-02-17 广东鼎泰机器人科技有限公司 一种涂层机的载料装置
CN112210767B (zh) * 2020-08-31 2023-02-21 广东鼎泰机器人科技有限公司 一种涂层机
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115142045B (zh) * 2021-03-29 2023-12-19 鑫天虹(厦门)科技有限公司 可准确调整温度的承载盘及薄膜沉积装置
US20220333231A1 (en) * 2021-04-15 2022-10-20 Applied Materials, Inc. Evaporation source cooling mechanism
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116705669B (zh) * 2023-08-04 2023-10-20 盛吉盛半导体科技(北京)有限公司 一种冷却效果均匀的半导体设备用加热灯盘及冷却方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02162747A (ja) * 1988-12-15 1990-06-22 Asutoro Design Kk サーモチャック
JPH1032238A (ja) * 1996-04-19 1998-02-03 Applied Komatsu Technol Kk 被加熱型基板支持構造体
JPH1050811A (ja) * 1996-03-16 1998-02-20 Miyata R Andei:Kk 半導体基板の温度調節機構
JP2004505443A (ja) * 2000-07-10 2004-02-19 テンプトロニック コーポレイション 交互配置された加熱および冷却要素と交換可能な上面アセンブリと硬膜層表面とをもつ熱プレートを有するウェーハチャック
JP2004155070A (ja) * 2002-11-07 2004-06-03 Meiki Co Ltd ディスク基板の成形用金型および成形方法
JP2004273619A (ja) * 2003-03-06 2004-09-30 Hitachi High-Technologies Corp 真空処理装置用の試料載置装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US7314537B2 (en) * 2003-09-30 2008-01-01 Tokyo Electron Limited Method and apparatus for detecting a plasma
KR100674922B1 (ko) * 2004-12-02 2007-01-26 삼성전자주식회사 포커스 링을 냉각하는 냉각 유로를 가지는 웨이퍼지지장치
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02162747A (ja) * 1988-12-15 1990-06-22 Asutoro Design Kk サーモチャック
JPH1050811A (ja) * 1996-03-16 1998-02-20 Miyata R Andei:Kk 半導体基板の温度調節機構
JPH1032238A (ja) * 1996-04-19 1998-02-03 Applied Komatsu Technol Kk 被加熱型基板支持構造体
JP2004505443A (ja) * 2000-07-10 2004-02-19 テンプトロニック コーポレイション 交互配置された加熱および冷却要素と交換可能な上面アセンブリと硬膜層表面とをもつ熱プレートを有するウェーハチャック
JP2004155070A (ja) * 2002-11-07 2004-06-03 Meiki Co Ltd ディスク基板の成形用金型および成形方法
JP2004273619A (ja) * 2003-03-06 2004-09-30 Hitachi High-Technologies Corp 真空処理装置用の試料載置装置

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012521652A (ja) * 2009-03-24 2012-09-13 ラム リサーチ コーポレーション デチャック時における電位スパイクを抑制する方法及び装置
WO2011102263A1 (ja) * 2010-02-16 2011-08-25 シャープ株式会社 真空処理装置
JP2011168810A (ja) * 2010-02-16 2011-09-01 Sharp Corp 真空処理装置
JP2013026561A (ja) * 2011-07-25 2013-02-04 Tokyo Electron Ltd 温度制御ユニット、基板載置台、基板処理装置、温度制御システム、及び基板処理方法
JP2013084936A (ja) * 2011-10-12 2013-05-09 Asml Netherlands Bv 放射ビーム溶接方法、本体及びリソグラフィ装置
US9409251B2 (en) 2011-10-12 2016-08-09 Asml Netherlands B.V. Radiation beam welding method, body and lithographic apparatus
KR101522561B1 (ko) * 2013-08-23 2015-05-26 (주)위지트 온도 균일성이 향상된 서셉터
JP2017227325A (ja) * 2016-06-14 2017-12-28 バット ホールディング アーゲー 流量を制御するためのおよび流路を遮断するための真空バルブ
JP7128611B2 (ja) 2016-06-14 2022-08-31 バット ホールディング アーゲー 流量を制御するためのおよび流路を遮断するための真空バルブ
JP2020514529A (ja) * 2016-12-21 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdによる共形密封膜堆積
JP2020061401A (ja) * 2018-10-05 2020-04-16 日本特殊陶業株式会社 保持装置
JP7423410B2 (ja) 2020-05-11 2024-01-29 株式会社アルバック プラズマ処理方法

Also Published As

Publication number Publication date
WO2008021668A3 (en) 2008-09-25
WO2008021668A2 (en) 2008-02-21
JP3179605U (ja) 2012-11-08
US20150364350A1 (en) 2015-12-17
US20080035306A1 (en) 2008-02-14
US20120006493A1 (en) 2012-01-12
CN201436515U (zh) 2010-04-07
TW200816362A (en) 2008-04-01
TWI449121B (zh) 2014-08-11
KR200465330Y1 (ko) 2013-02-13
KR20090004972U (ko) 2009-05-25

Similar Documents

Publication Publication Date Title
JP3179605U (ja) 基板支持体の加熱及び冷却
US8381677B2 (en) Prevention of film deposition on PECVD process chamber wall
US8709162B2 (en) Active cooling substrate support
US9343347B2 (en) Portable electrostatic chuck carrier for thin substrates
US20120040489A1 (en) Method, apparatus and system of manufacturing solar cell
KR20110101227A (ko) 태양 전지 적용을 위한 실리콘 표면의 건식 세정
JP2010529682A (ja) 均一なシリコン膜を堆積させる装置及びそれを製造する方法
KR20120063484A (ko) 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구
US20090029502A1 (en) Apparatuses and methods of substrate temperature control during thin film solar manufacturing
US20100136261A1 (en) Modulation of rf returning straps for uniformity control
CN102239542A (zh) 用于均匀性控制的射频返回带的调控方法与设备
TW202102066A (zh) 接地帶組件
JP2007266094A (ja) プラズマcvd装置及びプラズマcvdによる半導体薄膜の成膜方法
KR101147658B1 (ko) 플라즈마 처리 장치 및 이를 이용한 방법
WO2020222771A1 (en) Support pin apparatus for substrate processing chambers
US7589031B2 (en) Method of avoiding haze formation on surfaces of silicon-containing PECVD-deposited thin films
TWI455192B (zh) 避免在pecvd製程腔壁上沉積薄膜的設備及方法
US20100173448A1 (en) High frequency plasma enhanced chemical vapor deposition
KR101430747B1 (ko) 플라즈마를 이용한 기판 처리 장치
TWM365932U (en) Plasma auxiliary chemical vapor deposition equipment
Sun et al. 56.4: Invited Paper: Scaling‐Up PECVD System for Large‐Size Substrate Processing
JP2000049101A (ja) 薄膜形成装置及び薄膜形成方法
JPH1055970A (ja) 反応室のクリーニング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120527

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120718

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120726

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120807