JP2010529682A - 均一なシリコン膜を堆積させる装置及びそれを製造する方法 - Google Patents

均一なシリコン膜を堆積させる装置及びそれを製造する方法 Download PDF

Info

Publication number
JP2010529682A
JP2010529682A JP2010511392A JP2010511392A JP2010529682A JP 2010529682 A JP2010529682 A JP 2010529682A JP 2010511392 A JP2010511392 A JP 2010511392A JP 2010511392 A JP2010511392 A JP 2010511392A JP 2010529682 A JP2010529682 A JP 2010529682A
Authority
JP
Japan
Prior art keywords
distribution plate
gas distribution
gas
substrate support
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010511392A
Other languages
English (en)
Other versions
JP2010529682A5 (ja
Inventor
スー ヤン チェ,
テ キョン ウォン,
ボム スー パーク,
ジョン エム. ホワイト,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010529682A publication Critical patent/JP2010529682A/ja
Publication of JP2010529682A5 publication Critical patent/JP2010529682A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • H01L31/1824Special manufacturing methods for microcrystalline Si, uc-Si
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/545Microcrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】 ソーラーセル用途のためのシリコン膜を堆積させる基板支持アセンブリとガス分配プレートとの間に勾配間隔が作られた方法及び装置を提供する。
【解決手段】 一実施形態において、ソーラーセル用途のための膜を堆積させる装置は、処理チャンバと、処理チャンバ内に配置され且つ上部に四辺形の基板を支持するように構成された基板支持体と、基板支持体の上で処理チャンバ内に配置されたガス分配プレートを含んでもよく、ここで、ガス分配プレートの底面は、縁と角を含む周辺を持ち、また、ガス分配プレートの角は、ガス分配プレートの縁より基板支持体に近い。
【選択図】 図2

Description

開示の背景
発明の分野
[0001]本発明の実施形態は、一般的には、ガス分配プレートアセンブリ及び処理チャンバ内でそれを製造する方法に関する。
背景技術の説明
[0002]光電池(PV)装置又はソーラーセルは、太陽光を直流(DC)電力に変換するデバイスである。PV又はソーラーセルは、典型的には、1つ以上のp-i-n接合部を有する。各々の接合部は、一方の側がp型領域として示され、もう一方の側がn型領域として示される、半導体材料の中に2つの異なる領域を備えている。PVセルのp-i-n接合部が(光子のエネルギーからなる)太陽光にさらされると、PV効果によって太陽光は直接電気に変換される。PVソーラーセルは、所定量の電力を発生させ、セルは、望ましい量のシステム電力を分配するサイズのモジュールに敷設される。PVモジュールは、多数のPVソーラーセルを接続することによって作られ、その後、個々のフレームとコネクタでパネルに結合される。
[0003]PVソーラーセルは、典型的には、大きな透明基板上に形成された光電変換ユニットを含む。光電変換ユニットは、透明基板上に連続して配置されたp型と真性型(i型)とn型のシリコン層を含む。光電変換ユニットを形成するために利用可能なシリコン膜には、多結晶シリコン(poly-Si)膜と、微結晶シリコン(μc-Si)膜と、アモルファスシリコン(a-Si)膜が含まれてもよい。プラズマ増強型化学気相堆積(PECVD)は、通常、透明基板上にシリコン膜を堆積させるために使われる。PECVDプロセスは、透明基板を含む真空チャンバへ前駆ガス又はガス混合物を導入することによって行われる。前駆ガス又はガス混合物は、透明基板の表面に向かって分配プレートから供給される。RF電力は、チャンバ内に配置される分配プレート及び/又は基板支持アセンブリに印加されて、前駆ガス又はガス混合物の中にプラズマを形成するので、透明基板の表面上に望ましい膜特性のシリコン層を堆積させる。
[0004]より大きなソーラーセル基板に対する要求が増大し続けるにつれて、大きな基板表面の上に、PECVDプロセス中に均一なプラズマ及び/又はプロセスガスフローを維持することは、ますます困難になってきた。プロセスの不均一性による大きな基板上に堆積される膜の中央部分と縁部分との間の膜特性の変動は、大きく且つ効率の良いソーラーセルを生産するのにかなりの難題が存在する。基板サイズが増大するにつれて、縁と中央の特性の変動がより問題になってきた。
[0005]それ故、化学気相堆積プロセスによって大面積基板上に膜特性の均一な分配を持つ膜を堆積させるための改善された装置が求められている。
[0006]ソーラーセル用途のシリコン膜を堆積させる基板支持アセンブリとガス分配プレートとの間に勾配間隔が画成された方法及び装置を提供する。一実施形態において、ソーラーセル用途の膜を堆積させる装置は、処理チャンバと、処理チャンバ内に配置され且つその上に四辺形基板を支持するように構成される基板支持体と、基板支持体の上に処理チャンバ内に配置されるガス分配プレートと、を含んでもよく、ここで、ガス分配プレートの底面は、縁と角を含む周辺を持ち、ガス分配プレートの角は、ガス分配プレートの縁より基板支持体に近い。
[0007]他の実施形態において、ソーラーセル用途の膜を堆積させる装置は、処理チャンバと、処理チャンバ内に配置され且つその上に四辺形基板を支持するように構成された基板支持体と、基板支持体の上に処理チャンバ内に配置されるガス分配プレートと、を含んでもよく、また、ガス分配プレートの底面は、縁と角を含む周辺を持ち、ガス分配プレートの縁は凹形である。
[0008]更に他の実施形態において、チャンバ内でソーラーセル用途のシリコン膜を堆積させる方法は、基板支持体に向いているガス分配プレートを持つチャンバ内に基板を準備するステップであって、ガス分配プレートの角と基板支持体との間の間隔がガス分配プレートの縁の中間点と基板支持体との間の間隔より近い、前記ステップと、ガス分配プレートを通って処理ガスを流し込むステップと、基板上にシリコン膜を堆積させるステップと、を含んでもよい。
[0009]更に他の実施形態において、チャンバ内でソーラーセル用途のシリコン膜を堆積させる方法は、ガス分配プレートが基板支持アセンブリに向いているチャンバ内に基板を準備するステップであって、ガス分配プレートと基板支持アセンブリがこれらの間で画成された勾配間隔を持つ、前記ステップと、ガス分配プレートを通って形成された複数のアパーチャを通ってチャンバ内へガス混合物を供給するステップであって、ガス混合物のシランガスと水素ガスの比が1:20〜1:200である、前記ステップと、基板上にシリコン膜を堆積させるステップと、を含んでもよい。
[0010]本発明の上記特徴が詳細に実現され得るように、上で簡単に纏めた本発明のより詳しい説明を実施形態によって参照することができ、その一部は添付の図面に示されている。
図1は、本発明による処理チャンバの一実施形態を示す概略断面図である。 図2は、本発明による曲率表面を持つガス分配プレートの例示的実施形態の断面図である。 図3Aは、湾曲面を持ったガス分配プレート110を製造するためのプロセスフローの異なる段階におけるガス分配プレート110を示す断面図である。 図3Bは、湾曲面を持ったガス分配プレート110を製造するためのプロセスフローの異なる段階におけるガス分配プレート110を示す断面図である。 図4は、ガス分配プレートの中に形成されたアパーチャの実施形態を示す図である。 図5Aは、プレートの中に異なる構成の第二の穴を持つアパーチャを示す図である。 図5Bは、プレートの中に異なる構成の第二の穴を持つアパーチャを示す図である。 図5Cは、プレートの中に異なる構成の第二の穴を持つアパーチャを示す図である。 図5Dは、プレートの中に異なる構成の第二の穴を持つアパーチャを示す図である。 図5Eは、プレートの中に異なる構成の第二の穴を持つアパーチャを示す図である。 図5Fは、プレートの中に異なる構成の第二の穴を持つアパーチャを示す図である。 図6は、プレート600の中に形成された異なる構成を持ったアパーチャを有する湾曲したガス分配プレート600を示す他の実施形態である。 図7は、勾配間隔がチャンバ内に配置された湾曲した基板支持アセンブリによって作られてもよい他の実施形態を示す図である。 図8は、勾配間隔がチャンバ内に配置された湾曲した基板支持アセンブリによって作られてもよい他の実施形態を示す図である。 図9Aは、湾曲したガス分配プレートの異なる実施形態を示す平面図である。 図9Bは、湾曲したガス分配プレートの異なる実施形態を示す平面図である。
[0020]理解を容易にするために、可能な場合には、図に共通する同一要素を示すために同一符号を用いている。一実施形態の要素と特徴を更に列挙することなく有益に他の実施形態に組み込まれてもよいことが企図されている。
[0021]しかしながら、添付の図面は本発明の例示的実施形態のみを示しているので、本発明の範囲を制限するものとみなされるべきでなく、本発明は他の同等に有効な実施形態を許容してもよいことは留意すべきである。
詳細な説明
[0022]ソーラーセルのような大面積用途のシリコン膜を堆積させる方法及び装置を本発明において提供する。一実施形態において、装置は、ガス分配プレートと基板支持アセンブリとの間に画成された縁と角との勾配間隔を持っている。基板表面とガス分配プレートとの間に作られた間隔勾配は、ガス分配プレートを通って基板表面に供給されるプロセスガス及び/又はそこから形成されたプラズマの縁と角との分配の柔軟な制御を与える。制御された間隔勾配は、基板上に堆積された膜の厚さ及び/又はプロファイルを調整する能力を高める。ガス分配プレートと基板との間の異なる横方向の間隔によって作られた間隔勾配は、また、基板の幅の上で膜特性の変動を制御することを容易にするプロセス制御に寄与する。
[0023]図1は、ソーラーセルの一つ以上の膜又は他の大面積デバイスが形成されてもよいプラズマ増強型化学気相堆積(PECVD)チャンバ100の一実施形態の概略断面図である。適切な一プラズマ化学気相堆積チャンバは、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できる。他の製造業者のものを含む他の堆積チャンバが本発明を実施するのに用いられてもよいことは企図されている。
[0024]チャンバ100は、通常は、プロセス容積106を固定した壁102と底部104を含む。ガス分配プレート110と基板支持アセンブリ130は、プロセス容積106を画成する。プロセス容積106は、基板140がチャンバ100の内外に搬送することができるように壁102を通って形成されたバルブ108によって接続される。
[0025]基板支持アセンブリ130は、基板140を上部で支持する基板受容面132とステム134とを含む。ステム134は、基板支持アセンブリ130を基板搬送と処理位置との間で上下するリフトシステム136に結合されている。シャドーフレーム133は、必要により、基板140の周辺の上に載置されて、処理時に基板140の縁上の堆積を防止する。リフトピン138は、基板支持アセンブリ130を通って移動可能に配置され、基板140を基板受容面132から隔置するように適合されている。基板支持アセンブリ130もまた、望ましい温度に基板支持アセンブリを維持するように用いられる加熱素子及び/又は冷却素子139を含んでいてもよい。基板支持アセンブリ130もまた、基板支持アセンブリ130の周辺の周りにRF接地を与える接地ストラップ131を含んでいてもよい。接地ストラップの例は、2000年2月15日に発行されたLawらの米国特許第6,024,044号、2006年12月20日に出願のParkらの米国特許出願第11/613,934号に開示され、これらの開示内容は本明細書の全体で援用されている。
[0026]ガス分配プレート110は、その周辺でサスペンション114によってバッキングプレート112に結合されている。ガス分配プレート110は、また、一つ以上の中央支持体116によってバッキングプレート112に結合されて、ガス分配プレート110のたるみ(sag)を防止し更に/又は真直度/曲率を制御することを援助することができる。一実施形態において、ガス分配プレート110は、異なる寸法を持った異なる構成であってもよい。一つの例示的実施形態において、ガス分配プレート110は、四辺形のガス分配プレートである。ガス分配プレート110は、基板支持アセンブリ130上に配置された基板の上面118に向いている複数のアパーチャ111が中に形成された下流表面150を持っている。一実施形態において、アパーチャ111は、ガス分配プレート110にわたり、形、数、密度、寸法、分配が異なってもよい。アパーチャ111の直径は、約0.01インチ〜約1インチに選択されてもよい。ガス源120は、バッキングプレート112に結合されて、バッキングプレート112を通って、次に、ガス分配プレート110の中に形成されたアパーチャ111を通ってプロセス容積106にガスを供給する。
[0027]真空ポンプ109は、チャンバ100に結合されて、プロセス容積106を望ましい圧力に制御する。RF電源122は、バッキングプレート112及び/又はガス分配プレート110に結合されて、RF電力を供給して、ガス分配プレート110と基板支持アセンブリ130との間に電界が作られるので、ガス分配プレート110と基板支持アセンブリ130との間のガスからプラズマを生成させることができる。種々のRF周波数、例えば、約0.3MHz〜約200MHzの周波数が用いられてもよい。一実施形態において、RF電源は、13.56MHzの周波数で供給される。ガス分配プレートの例は、2002年11月12日に発行されたWhiteらの米国特許第6,477,980号、2005年11月17日に公開されたChoiらの米国特許公開第20050251990号、2006年3月23日に公開されたKellerらの米国特許公開第2006/0060138号に開示され、これらの開示内容は本明細書の全体で援用されている。
[0028]一実施形態において、ガス分配プレート110の下流表面150の縁は、プレート110の縁と角と、基板受容面132の間、結果として、プレート110と基板140の表面118との間に距離勾配が画成されるように湾曲されていてもよい。湾曲面150の形(例えば、凸面、平面又は凹面)は、個々のプロセス要求を満たすように選択されてもよい。例えば、膜特性の均一性が、必ずしも基板の幅にわたる厚さの均一性に対応しないことを見出した。特に、基板の幅にわたる結晶分率(fraction)の均一性(即ち、結晶化した膜のパーセント)が膜厚の均一性から切り離されていることを見出した。それ故、結晶分率の不均一性は、基板の角において最もよく見られる。それ故、縁と角との間隔勾配は、基板の縁にわたる膜特性の均一性を調整するために用いられてもよく、それによって基板の角における特性の不均一性が修正される。更に、縁と角との間隔もまた、制御されるので、膜特性の分配均一性が基板の縁と角との間で制御されることになる。一実施形態において、ガス分配プレート110の凹に湾曲した縁は、ガス分配プレート110の縁の中央部分がプレート110の角より基板表面118から離間されるように用いられ、それによって基板の角に相対して基板140の縁に沿って供給されるガス流量が減少し、それによって基板140上に形成される膜プロファイル及び/又は膜特性が調整されてもよい。他の実施形態において、ガス分配プレート110の凸に湾曲した縁は、ガス分配プレート110の角が分配プレート110の縁より基板表面118から間隔が更に遠くに離間されるように用いられ、それによって基板の縁に相対して基板140の角に沿って供給されるガスフロー量が増加し、それによって基板140上に形成される膜プロファイル及び/又は膜特性が調整されてもよい。
[0029]遠隔プラズマ源124、例えば、誘導結合遠隔プラズマ源が、ガス源とバッキングプレートとの間に結合されていてもよい。基板を処理する間に、洗浄ガスが遠隔プラズマ源124においてエネルギーが与えられて、チャンバ要素を洗浄するために用いられるプラズマが遠隔で供給されてもよい。洗浄ガスは、更に、電源122によってガス分配プレート110に供給されるRF電力によって励起されてもよい。適切な洗浄ガスとしては、NF、F、及びSFが挙げられるが、これらに限定されない。遠隔プラズマソースの例は、1998年8月4日に発行されたShangらの米国特許第5,788,778号に開示されている。
[0030]一実施形態において、チャンバ100内で処理されてもよい基板140は、表面積が10,000cm以上、例えば、40,000cm以上、例えば、55,000cm以上であってもよい。処理後、基板が切断されて、より小さなソーラーセル又は他のデバイスを形成してもよいことは理解される。
[0031]一実施形態において、加熱素子及び/又は冷却素子139が設定されて、約400℃以下、例えば、約100℃〜約400℃、又は約150℃〜約300℃、例えば、約200℃の堆積中の基板支持アセンブリ温度を示してもよい。
[0032]基板受容面132上に配置される基板の上面とガス分配プレート110との間の堆積中のわずかな(nominal)間隔は、通常は、400ミル〜約1,200ミル、例えば、400ミル〜約800ミル、又は望ましい堆積結果を与えるガス分配プレート110にわたって他の距離に変えてもよい。凹面下流表面ガス分配プレート110が用いられる一つの例示的実施形態施形態において、プレート110の縁の中央部分と基板受容面132との間の間隔は、約400ミル〜約1400ミルであり、プレート110の角と基板受容面132との間の間隔は、約300ミル〜約1200ミルである。
[0033]シリコン膜を堆積するために、シリコンベースのガスと水素ベースのガスが提供される。適切なシリコンベースのガスとしては、シラン(SiH)、ジシラン(Si)、四フッ化シリコン(SiF)、四塩化シリコン(SiCl)、ジクロロシラン(SiHCl)、及びこれらの組み合わせが挙げられるがこれらに限定されない。適切な水素ベースのガスとしては水素ガス(H)が挙げられるがこれに限定されない。p型シリコン層のp型ドーパントは、各々がIII族元素、例えば、ホウ素又はアルミニウムを含んでもよい。一実施形態において、ホウ素は、p型ドーパントとして用いられる。ホウ素含有供給源の例としては、トリメチルボレート(TMB)、ジボラン(B)、BF、B(C)、BH、BF、及びB(CH)及び類似の化合物が挙げられる。一実施形態において、TMBは、p型ドーパントとして用いられる。n型シリコン層のn型ドーパントは、各々がV族元素、リン、ヒ素、又はアンチモンを含んでいてもよい。リン含有供給源の例としては、ホスフィン及び類似の化合物が挙げられる。ドーパントは、典型的には、キャリヤガス、例えば、水素、アルゴン、ヘリウム、及び他の適切な化合物と供給される。本明細書に開示されるプロセス法において、水素ガスの全流量が与えられる。それ故、水素ガスがキャリヤガスとして、例えば、ドーパントとして提供される場合には、キャリヤガス流量を水素の全流量から差し引いて、どのくらいの追加の水素ガスをチャンバに提供するかを決定しなければならない。
[0034]図2は、本発明の一実施形態による曲率表面を持つガス分配プレート110の例示的実施形態の断面図である。ガス分配プレート110は、バッキングプレート112に向いている上側220と基板支持アセンブリ130に向いている対向する下流側150を持っている。一実施形態において、ガス分配プレート110の下流側150は、基板支持アセンブリ130の表面132に相対して凹面を持っていてもよい。ガス分配プレート110の湾曲下流側150は、ガス分配プレート110の縁部分208より基板支持面132から内向きに離れて伸長する中央部分210を持っている。ガス分配プレート110の下流側150は、プレート110の縁208と4つの角を含む周辺を持っている。ガス分配プレート110の下流側150が湾曲しているので、ガス分配プレート110の角は、基板支持アセンブリ130の上面132に近い。
[0035]図9Aに示されるガス分配プレート902の一実施形態を更に参照すると、ガス分配プレート902は、角922、924、926、928と縁906、908、910、912を含む周辺を持っている。プレート902を通って形成されるアパーチャは、明瞭にするために示されていないことは留意されたい。プレート902の縁906の中央914は、プレート902の縁908、910と角922、924、926、928より基板支持アセンブリ130から更に間隔が離れている。角922、924、926、928を通るアパーチャは、縁906の中央914を通って形成されたアパーチャと比べて長さが長いので、角912、914、926、928を通るフローと比較して縁906の中央914までプレート902を通ってより多くのプロセスガスが分配されるように大きなフローコンダクタンスを持っている。プラズマ増強型CVDプロセスを用いるポリシリコンを堆積させる場合、結晶体積及び/又は結晶分率の均一性の増加は、プレートの周辺の周りに均一な間隔を持つガス分配プレートと比較して縁と角との間隔勾配を持つガス分配プレートを用いて得られることが見出された。図9Aに示される実施形態は、プレート902の2つの縁のみで画成された縁と角との間隔勾配が示しているが、図9Bは、角960、962、964、966に比べて4つの縁950、952、954、956の各々に沿って画成された間隔勾配を持つガス分配プレート904の他の実施形態を示している。更に、ガス分配プレート902、904は、ガス分配プレート902、904の平坦側が上に向きつつ間隔勾配が基板に向いて示されているが、ガス分配プレート902、904の平坦側は基板に向けられてもよく又はガス分配プレート902、904の両側が縁と角との間隔勾配を含んでいてもよい。
[0036]図2に戻って参照すると、中央部分210の下流表面から基板支持面132までの距離206は、縁部分208から基板支持面132までの距離204より大きく、凹面を形成する。中央部分210の下流表面から基板支持面132までの距離206は、約400ミル〜約1400ミルに制御され、縁部分208の下流表面から基板支持面132までの距離204は、約300ミル〜約1200ミルに制御される。他の実施形態において、中央部分210における下流表面からの距離206と縁部分208における距離204との間の長さの差は、約50ミル〜約500ミルに制御される。
[0037]複数のアパーチャ111は、開口部がガス分配プレート110の上側220と下流側150上に形成されたプレート110の中に形成される。アパーチャ111は、異なるプロセス要求を満たすために異なる構成、形、特徴、数を持ってもよい。図2に示される実施形態において、アパーチャ111は、第二の穴214に結合された第一の穴212を含み、ガス源120からのガスが基板支持アセンブリ130まで貫通して通過することを可能にする流路を集合的に形成する。第一の穴212は、ガス分配プレート110の上側220の中に形成された上開口部230を持つ。第一の穴212は、第一の深さ226に伸び、上開口部230から下開口部232まで伸びている。下開口部232は、第二の穴214の上開口部234に結合している。第二の穴214は、第二の深さ228を持ち、ガス分配プレート110の上開口部234から下流表面150上に形成された下開口部236まで伸びている。図2に示される実施形態は、第一の穴212と第二の穴214を含むアパーチャ111を示しているが、アパーチャ111は、必要に応じて単一の真っ直ぐな孔、又は他の任意の異なる構成であってもよい。
[0038]一実施形態において、第二の穴は、ホロー陰極効果が起こらない範囲で選択された直径238を持っている。例えば、堆積中、プラズマを生成して、チャンバ内に供給されるガス混合物をイオン化し、穴が特定の直径を持つ場合にプラズマが第二の穴214に入ることになる。第二の穴214の中に形成される直径の選択された範囲において、プラズマはガス分配プレート110内の第二の穴214中に存在し、それによって電子放出、電子の振動運動、ガスのイオン化が増加し、その結果、いわゆる“ホロー陰極効果”が生じて、処理中に残存するプラズマを援助する。対照的に、第二の穴214が範囲より小さい直径又は範囲より大きい直径で選ばれる実施形態において、プラズマは第二の穴214に残ることができず、それによってプラズマが第二の穴214中に残ることを防止すると共に望ましくない過剰反応及び/又は過剰堆積が排除される。一実施形態において、第二の穴214の直径238は、約0.01インチ〜約0.8インチの直径を持っている。
[0039]ホロー陰極効果が望ましい一部の実施形態において、第二の穴214の直径238は、中に作られるホロー陰極効果を可能にするのに充分な約0.05インチ〜約0.5インチの間の直径を持つように選択されてもよい。
[0040]下流表面150が凹面を持つように湾曲されることになるので、ガス分配プレート110の中央部分210の中に形成される第二の穴214は、ガス分配プレート110の縁部分208の中に形成される深さ240より深さ228が短くなる。ガス分配プレート110の下流表面150が異なる構成、例えば、凸面を持つことになる実施形態において、第一の穴と第二の穴の双方の長さ、深さ、直径は異なってもよい。ホロー陰極勾配(HCG)(例えば、プレート110にわたる勾配を作るために長さ又は直径が異なる穴)と間隔勾配双方が望ましい一部の他の実施形態において、湾曲した下流表面150は、プレート110と基板支持アセンブリ130との間の間隔勾配を与えだけでなく、第二の穴214を機械加工して、異なる長さを形成し、それによって基板表面に望ましいホロー陰極勾配と間隔勾配が同時に作られる。
[0041]下流表面150と基板支持アセンブリ130との間の距離が基板支持面132にわたって徐々に変化するので、基板表面上に堆積するように構成される膜も同様に変動してもよい。異なる膜を堆積させることは、チャンバ内に異なるRF電力、ガスフロー、前駆物質化学種、プロセス圧を適用して、プロセスを可能にすることになる。プラズマはチャンバにわたって分配が異なるので、基板表面上に堆積される膜は、異なるプロファイルを持つことになる。更に、RF電力から発生する定在波効果も、チャンバ内のプラズマとイオン分配とガスフローに影響し、それによって基板表面にわたりプラズマの不均一性が生じることになる。ガス分配プレート110の湾曲した下流表面150と基板支持面132との間に作られる勾配間隔は、チャンバ内の不均一なプラズマ及び/又はガス、イオン分配を相殺することができるように設け、それによって膜特性と膜プロファイルの均一性を調整して、異なるプロセス要求を満たす方法が提供される。
[0042]図3A-図3Bは、異なる製造段階におけるガス分配プレート110を示す断面図である。図3Aに示されるように、複数のアパーチャ111がプレート110の中に予めドリルで穴が開けられてもよい。アパーチャ111は、上記図2のように完成したプレート110の中に第一の穴212、218と第二の穴214、216が形成されている。プレート110の中央部分310に形成される第一の穴212と第二の穴214は、縁部分312に形成される第一の穴218と第二の穴216と同一のものである。プレート110は、凹面306へ機械加工されるか或いは他の方法で形成される平坦下流表面302を持っている。機械加工プロセスは、図3Bに示されるように、プレート110の下流表面302からプレート110の一部を除去し、縁部分312より薄いプレート110の中央部310を持つプレート110の凹面306が作られる。プレート110の湾曲面306は、チャンバ100内にプレート110を取り付ける際に湾曲面306と基板支持アセンブリ130との間に徐々に変化する距離を作っている。弦の深さ304は、湾曲面306と(想像線302で図示されている)元の平坦な表面との間に約0.05インチ〜約1インチで作られ、それによって湾曲面306と向いている基板支持アセンブリとの間に徐々に変化する距離が作られる。湾曲面306と元の平坦な表面(想像線302で図示されている)との間に形成される弦の深さ304は、プレート110のサイズに相対して小さい。一実施形態において、最大の弦の深さ305は、プレート110の特徴的な長さの約3パーセント以下の長さ、例えば、約0.1パーセント〜約2.0パーセントに制御されてもよい。弦の深さ304を矩形プレート又は円形プレートと比較するために、特徴的な長さは“相当半径(equivalnet radius)”であると見なされる。円形ディフューザの場合、相当半径はプレートの半径に等しい。正方形プレート又は矩形プレートの場合、相当半径は対角線の1/2である。寸法が約2200mm×1870mmのプレートの実施形態において、相当半径は約1440mmであり、最大の弦の深さ304は約28.4mmである。
[0043]一実施形態において、第二の穴214の構成は、機械加工プロセス後に変更されてもよい。プレート110のより多量の中央部分310が機械加工されて、湾曲面306が作られてもよい。第二の穴214の一部が機械加工されて、それによって第二の穴214の深さ及び/又は長さが短くなってもよい。それ故、中央部分310における機械加工された第二の穴214は、縁部分208における第二の穴216より深さ及び/又は長さが短い。
[0044]他の実施形態において、アパーチャ320は、プレート110の湾曲面306が機械加工された後にドリルで穴が開けられてもよい。アパーチャのドリルでの穴あけプロセスと機械加工プロセスの順序は、異なる製造要求に従って変動してもよい。
[0045]他の実施形態において、熱アニールプロセスがプレート110上で行われて、その下流表面上の湾曲面を形成してもよい。熱アニールプロセスは、プレート110を炉のようなエンクロージャの中に設けて、プレート110を熱処理することによって行われてもよい。プレート110が加熱され軟化するので、プレート110は重力によって引っ張られてたるみ、プレート110の下流表面上に望ましい湾曲面を形成する。異なる製造方法の例は、2006年10月12日に公開されたChoiらの米国特許公開第2006/0228496号に開示されている。湾曲面を形成するための熱アニールプロセスは、図3A-図3Bに記載される機械加工プロセスによって形成される表面曲率とは対照的に、より小さな曲率がプレート110上に形成されるように望まれる実施形態において用いられる場合がある。
[0046]図4は、ガス分配プレート400の中に形成されたアパーチャ412を示す実施形態である。アパーチャ412は、異なる深さ、直径、形等を持つ異なる方法によってプレート400の中に形成されてもよい。一実施形態において、アパーチャ412は、コンピュータ数値制御(CNC)機械加工によってプレート400の中に形成されてもよい。ある種の望ましい構成を持ったアパーチャ412の分配は、プレート400の中に予めドリルで穴が開けられてもよい。一実施形態において、アパーチャの一部は、プレートの一部に予めドリルで穴が開けられてもよい。その後、他のアパーチャが、プレート400にわたって望ましい分配が完成するまでプレート400の残りの部分にドリルで穴が開けられてもよい。一実施形態において、アパーチャ412は、第二の深さ404を持つ第二の穴410を接続している第一の深さ402を持つ第一の穴408を持っている。第二の穴410は、処理中に基板支持アセンブリ130に向くように構成されるプレート400の下流表面414に形成される。一実施形態において、第一の穴408の深さは、約0.2インチ〜2インチであり、第二の穴の深さは、約0.1インチ〜約1インチである。第二の穴410は、ホロー陰極効果が起こらない望ましい範囲内で選択された直径406を持っている。一実施形態において、第二の穴410の直径406は、プラズマが第二の穴410の中に存在してホロー陰極効果を作ることができない約0.05インチ未満の範囲で選ばれてもよい。他の実施形態において、第二の穴410の直径408は、第二の穴410の中で電子振動を防止する約0.5インチを超える範囲で選択され、それによってホロー陰極効果が第二の穴410の中で作られることを防止してもよい。ホロー陰極効果が望ましい場合がある一部の実施形態において、第二の穴410の直径408は、約0.05インチ〜約0.5インチに制御されてもよい。
[0047]図5A-図5Fは、プレートの中に形成される第二の穴501-506の構成が異なるアパーチャ507-512を示す図である。第二の穴501-506は、異なる構成、例えば、正方形501、段差壁形502、円錐形503、ラッパ形504、多段差壁形505、円いラッパ形506等を持ってもよい。第一の穴513-518は、異なるプロセス要求を満たすために変動してもよい。
[0048]図6は、異なる構成を持ったアパーチャ608がプレート600の中に形成された湾曲したガス分配プレート600の他の実施形態を示す図である。アパーチャ608は、その間に形成されたオリフィス604により接続された第一の穴606と第二の穴602を持っている。第一の穴606は、プレート600の上側612上に第一開口部610が形成されたプレート600の上部に形成される。第二の穴602は、基板支持アセンブリ130に向かって開口部616が開放されたプレート600の湾曲した下流側614に形成される。第二の穴602の開口部616は、望ましい角度でラッパ状に広がり、それによって基板表面にわたるプロセスガスの均一分配を援助する。第二の穴602の構成は、その中にホロー陰極効果を作らないように制御されてもよい。或いはまた、第二の穴602の構成は、ホロー陰極効果を可能にするか又は阻止するいかなる方法で制御されてもよい。
[0049]図7は、勾配間隔がチャンバ100内に配置された湾曲した基板支持アセンブリ702によって作られてもよい他の実施形態を示す図である。基板支持アセンブリ702は、支持アセンブリ702の縁部分706より厚い中央部分708と、縁部分706より薄い角720を持つ、凹面のような湾曲面704を持ってもよい。或いはまた、基板支持アセンブリ702は、凸面又は凹面の基板支持面を持ってもよい。例えば、中央部分708は、縁部分706の上に持ち上がっていてもよい。基板支持体の凸形もまた、基板と基板表面との間に空気が封入される(entrapped)ことを防止するのを援助する。基板支持アセンブリ702が湾曲していてもよいので、チャンバ内に配置された基板支持アセンブリ702とガス分配プレート716との間の距離は、望ましい処理結果を得るように選択されてもよい。一実施形態において、基板支持アセンブリ702の中央部分708とガス分配プレート716との間の距離710は、基板支持アセンブリ702の縁部分706からプレート716の間の距離より大きくてもよく又は逆もまた同じである。一実施形態において、基板支持アセンブリ702の中央708と縁部分706との間の厚さの差(即ち、中央708は縁706より厚いか又は薄い)は、約0.05インチ〜約0.5インチであってもよい。図7に示される実施形態において、ガス分配プレート716は、基板支持アセンブリ706の凸面に向いている湾曲した下流表面を持っている。或いはまた、ガス分配プレート716は、異なるプロセス要求を満たすように選択された任意の異なる種類の構成を持っていてもよい。例えば、第一の下流表面を持つガス分配プレートは、凸面又は凹面基板支持面と用いられる。基板支持アセンブリ702とガス分配プレート716の表面曲率は、必要に応じて異なる組み合わせを持たせてもよい。
[0050]図8に示される例示的な実施形態において、勾配間隔は、下流表面812がほぼ平面のガス分配プレート816を持つチャンバ100内に配置された湾曲した基板支持アセンブリ802によって作られてもよい。基板支持アセンブリ802が、基板支持アセンブリ802の縁部分806と角820より厚い中央部分808を持つ凸面804を持つように湾曲されているので、基板支持アセンブリ802の中央部分808とガス分配プレート816との間の距離810は、基板支持アセンブリ802の縁部分806からプレート816までの距離814より小さくなり、縁部分806とガス分配プレート816との間の距離は、角820とプレート816との間の距離より小さい。或いはまた、ガス分配プレート816と基板支持アセンブリ802は、任意の構成の形態であってもよく、必要に応じて個々の任意のプロセスのその任意の組み合わせであってもよい。
[0051]湾曲したガス分配アセンブリ及び/又は湾曲した基板支持アセンブリを用いるソーラーセル用途のシリコン膜を堆積させる例示的な実施形態において、堆積プロセスは、アモルファス層又は微結晶層を堆積させるように構成されていてもよい。微結晶層は、ソーラーセルデバイスのp-i-n接合部内に形成されたi型層であってもよい。p-i-n接合部は、上に堆積された第1透明導電酸化物(TCO)層を持つガラス基板上に形成されていてもよい。更に、第二透明導電酸化物TCO層は、p-i-n接合部の最上部に堆積されて、望ましいソーラーセルデバイスを形成してもよい。或いはまた、微結晶層は、他のデバイスを形成するために用いてもよい。真性型微結晶シリコン層を堆積させる実施形態において、シランガスと水素ガスの比が約1:20〜約1:200のガス混合物が、チャンバ100内に供給されてもよい。ガス分配プレートと基板支持アセンブリとの間に画成された勾配間隔は、弦の深さが約0.05インチ〜約0.5インチを持つように選択される。或いはまた、勾配間隔は、ガス分配プレートと基板支持アセンブリとの間の距離が約300ミル〜約1400ミルを持つように選択されてもよい。シランガスは、約0.5sccm/L〜約5sccm/Lの流量で供給されてもよい。水素ガスは、約40sccm/L〜約400sccm/Lの流量で供給されてもよい。一部の実施形態において、シランガス流量は、堆積中に第一流量から第二流量に増加させてもよい。一部の実施形態において、水素ガス流量は、堆積中に第一流量から第二流量に減少さてもよい。約300ミリワット/cm以上、好ましくは600ミリワット/cm以上のRF電力が、ガス分配プレートに与えられてもよい。一部の実施形態において、電力密度は、堆積中に第一電力密度から第二電力密度に減少されてもよい。チャンバの圧力は、約1トール〜約100トール、例えば、約3トール〜約20トール、例えば、約4トール〜約12トールに維持される。或いはまた、堆積中の圧力は、所定の期間の処理後、第一圧力から第二圧力に増加させるように1つ以上のステップに分けられてもよい。真性形微結晶シリコン層の堆積速度は、約200オングストローム/分以上、望ましくは500オングストローム/分であってもよい。微結晶真性層を堆積させるための方法及び装置は、“光電池装置の微結晶シリコン層を堆積させるための方法及び装置”と称する2006年6月23日に出願された米国特許出願第11/426,127号に開示され、本開示内容と矛盾しない範囲で全明細書に援用されている。微結晶シリコン真性層は、約20パーセント〜約80パーセント、例えば、約55パーセント〜約75パーセントの結晶分率を持っている。
[0052]従って、ソーラーセル用途に適したシリコン膜を堆積させるために勾配間隔が基板支持アセンブリとガス分配プレートとの間に作られた装置が提供される。改良された装置は、有利には、基板上に堆積された膜プロファイルと膜特性のより良好な制御を与え、それによって膜品質、光電変換効率、デバイス性能が向上する。特に、基板の角における結晶体積と結晶分率は、従来の実施より改善されている。
[0053]上記は本発明の実施形態に関するものであるが、本発明の他の多くの実施形態が本発明の基本範囲から逸脱せずに構成されてもよく、本発明の範囲は以下の特許請求によって決定される。
100…チャンバ、102…壁、104…底部、106…プロセス容積、108…バルブ、109…真空ポンプ、110…ガス分配プレート、111…アパーチャ、112…バッキングプレート、114…サスペンション、116…中央支持体、118…上面、120…ガス源、122…RF電源、124…遠隔プラズマ源、130…基板支持アセンブリ、131…接地ストラップ、132…基板受容面、133…シャドウフレーム、134…ステム、136…リフトシステム、138…リフトピン、139…加熱素子又は冷却素子、140…基板、150…下流表面、204…距離、206…距離、208…縁部分、210…中央部分、212…第一の穴、214…第二の穴、216…第二の穴、218…第一の穴、220…上側、228…第二の深さ、230…上開口部、232…下開口部、238…直径、240…深さ、302…下流表面、304…弦の深さ、306…凹面、310…中央部分、312…縁部分、320…アパーチャ、400…プレート、402…第一の深さ、404…第二の深さ、408…第一の穴、410…第二の穴、412…アパーチャ、414…下流表面、501…正方形、502…段差壁形、503…円錐形、504…ラッパ形、505…多段差壁形、506…丸いラッパ形、507…アパーチャ、508…アパーチャ、509…アパーチャ、510…アパーチャ、511…アパーチャ、512…アパーチャ、600…ガス分配プレート、602…第二の穴、606…第一の穴、608…アパーチャ、610…第一開口部、612…上側、614…下流側、616…開口部、702…基板支持アセンブリ、704…湾曲面、706…縁部分、708…中央部分、710…距離、716…ガス分配プレート、720…角、802…基板支持アセンブリ、804…凸面、806…縁部分、808…中央部分、810…距離、816…ガス分配プレート、820…角、902…ガス分配プレート、906…縁、908…縁、910…縁、912…縁、914…中央部、922…角、924…角、926…角、928…角、950…縁、952…縁、954…縁、956…縁、960…角、962…角、964…角、966…角。

Claims (23)

  1. ソーラーセル用途に適した膜を堆積させる装置であって、
    処理チャンバと、
    該処理チャンバ内に配置され且つ上部に四辺形基板を支持するように構成された基板支持体と、
    該基板支持体の上に該処理チャンバ内に配置されたガス分配プレートであって、該ガス分配プレートの底面が縁と角を含む周辺を持ち、また、該ガス分配プレートの該角が該ガス分配プレートの該縁より該基板支持体に近い、前記ガス分配プレートと、
    を備える、前記装置。
  2. 該ガス分配プレートの該底面が、更に、該ガス分配プレートの該縁より該基板支持体から更に離間されている中央を備えている、請求項1に記載の装置。
  3. 該基板支持体が、更に、
    湾曲した上面、
    を備えている、請求項1に記載の装置。
  4. 該ガス分配プレートを通って形成される複数のアパーチャ、
    を更に備える、請求項1に記載の装置。
  5. 該アパーチャの直径が、約0.01インチ〜約1インチである、請求項4に記載の装置。
  6. 該ガス分配プレートの中央の厚さが、該ガス分配プレートの該縁の厚さより大きい、請求項5に記載の装置。
  7. 該ガス分配プレートが、湾曲した下流表面を持っている、請求項1に記載の装置。
  8. 該湾曲面が、該ガス分配プレート上にあり、弦の深さが約0.05インチ〜約1インチである、請求項7に記載の装置。
  9. 該湾曲面が、該ガス分配プレート上に形成され、弦の深さが該プレートの長さの約3パーセントを超えない、請求項7に記載の装置。
  10. ソーラーセル用途に適した膜を堆積させる装置であって、
    処理チャンバと、
    該処理チャンバ内に配置され且つ上部に四辺形の基板を支持するように構成される基板支持体と、
    該基板支持体の上に該処理チャンバ内に配置されたガス分配プレートであって、該ガス分配プレートの底面が、縁と角を含む周辺を持ち、また、該ガス分配プレートの該縁が、凹面である、前記ガス分配プレートと、
    を備える、前記装置。
  11. 該ガス分配プレートの該角の厚さが、該縁の中間点での該ガス分配プレートの厚さより大きく、また、該ガス分配プレートの中央の厚さが、該縁の該中間点での該厚さより小さい、請求項10に記載の装置。
  12. 該ガス分配プレートが、更に、
    貫通して形成される複数のアパーチャであって、該ガス分配プレートの該縁に沿って位置する該アパーチャのフローコンダクタンスが、該ガス分配プレートの角に位置する該アパーチャのコンダクタンスより大きく且つ中央に位置する該アパーチャのコンダクタンスより小さい、前記複数のアパーチャ、
    を備える、請求項10に記載の装置。
  13. ソーラーセル用途に適したシリコン膜を堆積させる方法であって、
    基板支持体に向いているガス分配プレートを持つ処理チャンバ内に基板を準備するステップであって、該ガス分配プレートの角と該基板支持体との間の間隔が、該ガス分配プレートの縁の中間点と該基板支持体との間の間隔より近い、前記ステップと、
    該ガス分配プレートに処理ガスを流し込むステップと、
    該基板上にシリコン膜を堆積させるステップと、
    を含む、前記方法。
  14. 該ガス分配プレートにガスを流し込むステップが、更に、
    該処理ガスが該チャンバ内に提供される該ガス分配プレートのアパーチャの中にプラズマ放電が形成することを防止する段階、
    を含む、請求項13に記載の方法。
  15. 該シリコン膜が、微結晶シリコン層である、請求項13に記載の方法。
  16. 該ガス分配プレートにガスを流し込むステップが、更に、
    該ガス分配プレートを通って形成される複数のアパーチャを通って、シリコンを含有するガス混合物を供給する段階であって、該アパーチャの直径が、約0.01インチ〜約1インチである、前記段階、
    を含む、請求項13に記載の方法。
  17. 該ガス混合物を供給する段階が、更に、
    シランガスと水素ガスを1:2〜1:200の比で供給すること、
    を含む、請求項16に記載の方法。
  18. 該微結晶シリコン層が、ソーラーセルデバイスに用いるのに適したp型層上に堆積されたi型層である、請求項13に記載の方法。
  19. ソーラーセル用途に適したシリコン膜を堆積させる方法であって、
    基板支持アセンブリに向いているガス分配プレートを持つ処理チャンバ内に基板を準備するステップであって、該ガス分配プレートと該基板支持アセンブリが、間に画成された縁と角との勾配間隔を持つ、前記ステップと、
    該ガス分配プレートを通って形成された複数のアパーチャを通って該チャンバ内にガス混合物を供給するステップであって、該ガス混合物のシランガスと水素ガスとの比が1:20〜1:200である、前記ステップと、
    該基板上にシリコン膜を堆積させるステップと、
    を含む、前記方法。
  20. 該勾配間隔が、該基板支持アセンブリに向いている該ガス分配プレートの湾曲面によって画成されている、請求項19に記載の方法。
  21. 該勾配間隔が、該ガス分配プレートに向いている該基板支持アセンブリの湾曲面によって画成されている、請求項19に記載の方法。
  22. 該シリコン膜が、微結晶シリコン層である、請求項19に記載の方法。
  23. 該微結晶シリコン層が、ソーラーセルデバイスに用いるのに適したp型層上に堆積されたi型層である、請求項22に記載の方法。
JP2010511392A 2007-06-07 2008-06-06 均一なシリコン膜を堆積させる装置及びそれを製造する方法 Pending JP2010529682A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/759,542 US8142606B2 (en) 2007-06-07 2007-06-07 Apparatus for depositing a uniform silicon film and methods for manufacturing the same
PCT/US2008/066210 WO2008154446A2 (en) 2007-06-07 2008-06-06 An apparatus for depositing a uniform silicon film and methods for manufacturing the same

Publications (2)

Publication Number Publication Date
JP2010529682A true JP2010529682A (ja) 2010-08-26
JP2010529682A5 JP2010529682A5 (ja) 2011-07-21

Family

ID=40096124

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010511392A Pending JP2010529682A (ja) 2007-06-07 2008-06-06 均一なシリコン膜を堆積させる装置及びそれを製造する方法

Country Status (6)

Country Link
US (1) US8142606B2 (ja)
JP (1) JP2010529682A (ja)
KR (1) KR20100034737A (ja)
CN (1) CN101688297A (ja)
TW (1) TW200908361A (ja)
WO (1) WO2008154446A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012142445A (ja) * 2010-12-28 2012-07-26 Sharp Corp プラズマcvd装置
JP2013044017A (ja) * 2011-08-24 2013-03-04 Fujifilm Corp 成膜装置
JP2017112371A (ja) * 2015-12-14 2017-06-22 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッドアセンブリ
KR101804126B1 (ko) 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
JP2022535285A (ja) * 2019-06-07 2022-08-05 アプライド マテリアルズ インコーポレイテッド 湾曲面を有する面板

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20110244128A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Flow plate utilization in filament assisted chemical vapor deposition
KR101144068B1 (ko) * 2010-04-20 2012-05-23 주성엔지니어링(주) 박막형 태양전지의 제조 장치 및 제조 방법
CN101928002A (zh) * 2010-08-05 2010-12-29 应盛荣 一种等离子体还原四氟化硅生产多晶硅的方法
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103014661A (zh) * 2011-09-20 2013-04-03 吉富新能源科技(上海)有限公司 设计喷洒气流以镀膜均匀硅薄膜
CN103022269A (zh) * 2011-09-22 2013-04-03 吉富新能源科技(上海)有限公司 利用硼参杂制作p型非晶半导体以改善穿隧效应膜层
CN103022270A (zh) * 2011-09-26 2013-04-03 吉富新能源科技(上海)有限公司 制作SiOx膜层以改善堆叠太阳能薄膜穿隧效应
CN103022271A (zh) * 2011-09-28 2013-04-03 吉富新能源科技(上海)有限公司 制作p型穿隧层以改善双层堆叠太阳能之np介面
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
CN103060775B (zh) * 2013-01-30 2014-12-03 中国科学院苏州纳米技术与纳米仿生研究所 用于cvd设备的多面漏斗型进气装置及cvd设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102081284B1 (ko) * 2013-04-18 2020-02-26 삼성디스플레이 주식회사 증착장치, 이를 이용한 유기발광 디스플레이 장치 제조 방법 및 유기발광 디스플레이 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160093477A1 (en) * 2014-09-25 2016-03-31 Apple Inc. Durable 3d geometry conformal anti-reflection coating
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20190055648A1 (en) * 2016-01-06 2019-02-21 Toshiba Mitsubishi-Electric Insustrial Systems Cor Gas supply apparatus
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109196959B (zh) * 2016-05-27 2020-12-08 东芝三菱电机产业系统株式会社 活性气体生成装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180062101A (ko) * 2016-11-30 2018-06-08 엘지디스플레이 주식회사 샤워헤드 및 이를 포함하는 롤투롤 플라즈마 처리장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108385071B (zh) * 2017-02-03 2020-08-21 台湾积体电路制造股份有限公司 气体供应装置及方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
CN110191976B (zh) * 2017-07-18 2021-12-10 京东方科技集团股份有限公司 蒸发坩埚和蒸发设备
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR20200030591A (ko) * 2017-08-11 2020-03-20 어플라이드 머티어리얼스, 인코포레이티드 열화학 기상 증착(cvd) 균일성을 개선하기 위한 장치 및 방법들
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
AT520629B1 (de) * 2018-05-22 2019-06-15 Sico Tech Gmbh Injektor aus Silizium für die Halbleiterindustrie
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP2019207912A (ja) * 2018-05-28 2019-12-05 東京エレクトロン株式会社 上部電極アセンブリ、処理装置及び上部電極アセンブリの製造方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20230148393A (ko) * 2018-07-27 2023-10-24 어플라이드 머티어리얼스, 인코포레이티드 열 증착을 위한 가스 분배 판
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TWI725444B (zh) * 2019-06-04 2021-04-21 金碳洁股份有限公司 循環式磊晶沉積系統及其氣體分流模組
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
TWI764270B (zh) * 2020-09-09 2022-05-11 葛來益科技有限公司 適用於化學氣相沉積的氣體擴散裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093368A1 (en) * 2020-09-21 2022-03-24 Applied Materials, Inc. Wafer non-uniformity tweaking through localized ion enhanced plasma (iep)
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114737172A (zh) * 2022-04-21 2022-07-12 成都高真科技有限公司 一种化学气相沉积装置
CN116864580B (zh) * 2023-09-05 2023-12-01 眉山琏升光伏科技有限公司 一种制备太阳能电池微晶硅膜层的工艺及设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11350143A (ja) * 1998-06-02 1999-12-21 Toshiba Corp 成膜装置
JP2000269146A (ja) * 1999-03-18 2000-09-29 Nippon Asm Kk プラズマcvd成膜装置
JP2000277439A (ja) * 1999-03-25 2000-10-06 Kanegafuchi Chem Ind Co Ltd 結晶質シリコン系薄膜のプラズマcvd方法およびシリコン系薄膜光電変換装置の製造方法
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP2006128446A (ja) * 2004-10-29 2006-05-18 Ulvac Japan Ltd プラズマcvd方法及び装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0130955B1 (ko) * 1992-10-07 1998-04-14 쓰지 하루오 박막 트랜지스터의 제조방법 및 액정표시장치의 제조방법
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP2005123466A (ja) * 2003-10-17 2005-05-12 Sharp Corp シリコン系薄膜光電変換装置の製造方法およびその方法により製造されたシリコン系薄膜光電変換装置
KR101021876B1 (ko) 2004-01-19 2011-03-17 주성엔지니어링(주) 액정표시소자 제조장치의 샤워헤드
KR100592682B1 (ko) 2004-02-23 2006-06-28 주성엔지니어링(주) 표시장치용 기판 제조장비 및 그 가스분사장치
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR20060020215A (ko) 2004-08-31 2006-03-06 김종진 옹기 정수통
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11350143A (ja) * 1998-06-02 1999-12-21 Toshiba Corp 成膜装置
JP2000269146A (ja) * 1999-03-18 2000-09-29 Nippon Asm Kk プラズマcvd成膜装置
JP2000277439A (ja) * 1999-03-25 2000-10-06 Kanegafuchi Chem Ind Co Ltd 結晶質シリコン系薄膜のプラズマcvd方法およびシリコン系薄膜光電変換装置の製造方法
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP2006128446A (ja) * 2004-10-29 2006-05-18 Ulvac Japan Ltd プラズマcvd方法及び装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012142445A (ja) * 2010-12-28 2012-07-26 Sharp Corp プラズマcvd装置
JP2013044017A (ja) * 2011-08-24 2013-03-04 Fujifilm Corp 成膜装置
KR101804126B1 (ko) 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
JP2017112371A (ja) * 2015-12-14 2017-06-22 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッドアセンブリ
JP2022535285A (ja) * 2019-06-07 2022-08-05 アプライド マテリアルズ インコーポレイテッド 湾曲面を有する面板
US11851759B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Faceplate having a curved surface

Also Published As

Publication number Publication date
CN101688297A (zh) 2010-03-31
WO2008154446A2 (en) 2008-12-18
US8142606B2 (en) 2012-03-27
KR20100034737A (ko) 2010-04-01
US20080305246A1 (en) 2008-12-11
TW200908361A (en) 2009-02-16
WO2008154446A3 (en) 2009-02-26

Similar Documents

Publication Publication Date Title
JP2010529682A (ja) 均一なシリコン膜を堆積させる装置及びそれを製造する方法
KR100960756B1 (ko) 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법및 장치
US7741144B2 (en) Plasma treatment between deposition processes
US7648892B2 (en) Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US9441295B2 (en) Multi-channel gas-delivery system
US7919398B2 (en) Microcrystalline silicon deposition for thin film solar applications
JP3179605U (ja) 基板支持体の加熱及び冷却
US20130012030A1 (en) Method and apparatus for remote plasma source assisted silicon-containing film deposition
KR20100016382A (ko) 태양 전지를 위한 실리콘 질화물 패시베이션
JP2010524262A (ja) 接触抵抗の低い光電池装置を形成する方法
US20130112264A1 (en) Methods for forming a doped amorphous silicon oxide layer for solar cell devices
JP2006216921A (ja) 光電変換装置の製造方法および光電変換装置
JP2007266094A (ja) プラズマcvd装置及びプラズマcvdによる半導体薄膜の成膜方法
KR20110074854A (ko) 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치
KR101373746B1 (ko) 플라즈마를 이용한 기판 처리 장치
US20120100311A1 (en) Apparatus for forming deposited film and method for forming deposited film
TWI475708B (zh) 利用流量梯度設計以沉積均勻矽膜之方法與設備

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110603

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110603

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120327

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120821

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925