KR20120063484A - 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구 Download PDF

Info

Publication number
KR20120063484A
KR20120063484A KR1020127006967A KR20127006967A KR20120063484A KR 20120063484 A KR20120063484 A KR 20120063484A KR 1020127006967 A KR1020127006967 A KR 1020127006967A KR 20127006967 A KR20127006967 A KR 20127006967A KR 20120063484 A KR20120063484 A KR 20120063484A
Authority
KR
South Korea
Prior art keywords
head portion
gas
substrate
plasma
gas supply
Prior art date
Application number
KR1020127006967A
Other languages
English (en)
Inventor
마사히데 이와사키
토시히사 노자와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120063484A publication Critical patent/KR20120063484A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Abstract

플라즈마 처리 장치(31)는, 처리 용기(32)와, 처리 용기(32) 내로 플라즈마 처리용의 가스를 공급하는 플라즈마 처리용 가스 공급부(33)와, 그 위에 피처리 기판(W)을 보지하는 보지대(34)와, 처리 용기(32) 내에 플라즈마를 발생시키는 플라즈마 발생 기구(39)와, 보지대(34)의 상방측이 되는 제 1 위치 및 제 1 위치와 상이한 제 2 위치로 이동 가능하고 가스를 공급 가능한 헤드부(62)를 포함하며, 헤드부(62)가 제 1 위치에 배치되었을 때에 헤드부(62)와 보지대(34)와의 사이에 형성되는 소용적 영역에서 피처리 기판(W) 상에 성막 가스를 흡착시키는 가스 공급 기구(61)를 구비한다.

Description

플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구{PLASMA PROCESSING APPARATUS AND GAS SUPPLY MECHANISM FOR PLASMA PROCESSING APPARATUS}
본 발명은, 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구에 관한 것이며, 특히 반도체 소자의 제조에 이용되는 플라즈마 처리 장치 및 이러한 플라즈마 처리 장치에 이용되는 플라즈마 처리 장치용 가스 공급 기구에 관한 것이다.
종래, LSI(Large Scale Integrated circuit) 또는 CCD(Charge Coupled Device), MOS(Metal Oxide Semiconductor) 트랜지스터 등으로 대표되는 반도체 소자의 게이트 산화막 등으로의 고내압 특성 또는 우수한 리크 특성이 요구되는 절연층을 형성하는 경우, 열 CVD(Chemical Vapor Deposition)법을 이용하는 것이 일반적이었다. 그러나 높은 절연성이 요구되는 실리콘 산화막을 성막하는 경우에, 전술 한 열 CVD에 의한 실리콘 산화막의 성막에 따르면 실리콘 기판을 고온에 노출시킬 필요가 있다. 그렇게 하면, 비교적 저융점인 물질, 예를 들면, 저융점인 금속 또는 고분자 화합물에 의해 이미 실리콘 기판 상에 도전층 등이 형성되어 있는 경우, 저융점 금속의 용해 등이 발생한다는 문제가 있었다.
한편 근래의 디바이스의 고집적화의 관점에서, 3 차원 구조 등으로의 단차 피복성 또는 균일성, 절연막 내 및 계면에 불순물 또는 물리 결함이 없는 고품질의 막질이 요구되고 있다. 이들을 해결하는 방법으로서, 기판 표면에 원자 단위 상당으로 반응 가스를 주기적으로 공급함으로써 성막하여 고정밀도의 막 두께 제어를 행할 수 있는 ALD(Atomic Layer Deposition)법이 효과적인 수단 중 하나라는 것이 알려져 있다. 그러나 ALD 법으로 형성한 막의 막질은, 열 CVD 법으로 형성한 막의 막질과 비교했을 때 내압 특성 또는 리크 특성이 불충분하였다. 이들 문제를 해결하고 한층 더 효율적으로 고품질의 막을 형성하는 수단으로서, 플라즈마 에너지를 이용한 PE - ALD(Plasma - Enhanced ALD) 법이 주목받고 있다(May 15 2008 ASM Semi Mfg China ALD Article.pdf(비특허 문헌1)).
May 15 2008 ASM Semi Mfg China ALD Article.pdf
PE - ALD 법에 따른 처리는 이하에 나타내는 (1) ~ (3)의 흐름으로 행해진다. 즉 PE - ALD 법에 따른 처리는, (1) 기판 표면 혹은 하지(下地)막에 박막을 이루는 원자를 포함하는 제 1 가스를 공급하여 화학 흡착시키는 공정, (2) 상기 공정에서 물리 흡착시킨 잉여 가스를 제거하는 공정, (3) 박막을 이루는 원자를 포함하는 제 2 가스를 이용한 플라즈마 처리에 의해 원하는 박막을 형성하는 공정으로 이루어진다.
문제가 되는 것은, (1), (2)의 공정과 (3)의 공정에서 처리 용기에 요구되는 성능이 상이하다는 것이다. PE - ALD 법에 있어서 스루풋 개선은 장치 개발에 있어서 중요한 과제 중 하나였다.
구체적으로, (1) 및 (2)의 공정에서는 가스 치환 특성이 중요하다. 예를 들면, 기판 상에 가스를 공급하여 화학 흡착을 행하는 경우를 생각해 본다. 가스를 흡착 포화시키기 위해서는 처리 용기 내의 압력을 일정한 압력으로 승압(昇壓)시킬 필요가 있다. 여기서, 처리 용기 내에서의 승압 전과 승압 후의 압력차를 ΔP, 가스의 공급 유량을 Q, 처리 용기의 용적을 V, 승압에 필요로 하는 시간을 t로 하면, t = ΔP × V / Q의 관계를 가진다.
일정한 ΔP를 승압시키는 경우, 시간(t)을 작게 하기 위해서는 가스의 공급 유량(Q)을 크게 하는 것도 효과적이다. 그러나, 공급 가스인 원재료의 소비량이 많아져 러닝 코스트(running cost)에 영향을 주므로 바람직하지 않다.
또한 물리 흡착된 잉여 가스를 제거하는 공정을 고려하면, 일정 압력 상태에서 배기 및 가스 치환을 행할 필요가 있다. 여기서, 처리 용기 내의 초기 압력을 P0, 도달 압력을 P1, 처리 용기의 용적을 V, 배기 속도를 S, 시간을 t로 하면, P1 = P0 × exp (- (S / V) t)의 관계를 가진다.
일정한 초기 압력(P0)과 도달 압력(P1)의 경우, 시간(t)을 작게 하기 위해서는 배기 속도(S)를 크게 하는 것이 효과적이다. 그러나, 배기 속도(S)를 크게 하기 위해서는 고속 대용량의 펌프가 필요해져 장치 비용의 상승 또는 장치 자체의 대형화에 이르므로 바람직하지 않다.
이와 같이, 어느 경우에도 시간(t)을 작게 하기 위해서는 처리 용기의 용적(V)을 작게 하는 것이 효과적이다.
그러나 (3)의 공정에 관해서, 플라즈마의 발화성, 방전 안정성, 기판으로의 데미지 등을 고려하면, 양질의 플라즈마의 생성에는 일정 이상의 처리 용기의 용적이 필요하다. 즉, 처리 용기의 용적을 줄이는 데도 한계가 있다.
또한 가스 공급과 플라즈마 처리에 동일한 처리 용기를 이용함으로써, 처리 용기의 내벽면은 기판 적산(積算) 매수와 동일한 성막 또는 반응 생성물의 부착이 발생된다. 이러한 반응 생성물 등은 내벽면으로부터 박리되어 파티클의 원인이 된다. 따라서 일정 시간마다 처리 용기를 클리닝할 필요가 있어, 생산 효율에 있어서 바람직하지 않다.
본 발명의 목적은, 효율적으로 고품질의 막을 성막할 수 있는 플라즈마 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은, 효율적으로 고품질의 막을 성막할 수 있는 플라즈마 처리 장치용 가스 공급 기구를 제공하는 것이다.
본 발명에 따른 플라즈마 처리 장치는, 하방측에 위치하는 저부(底部) 및 저부의 외주(外周)측에서부터 상방측으로 연장되는 측벽을 포함하고, 밀봉 가능하며, 그 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와, 처리 용기 내에 배치되고 그 위에 피처리 기판을 보지(保持)하는 보지대와, 처리 용기 내에 플라즈마를 발생시키는 플라즈마 발생 수단과, 보지대의 상방측이 되는 제 1 위치 및 제 1 위치와 상이한 제 2 위치로 이동 가능하고 가스를 공급 가능한 헤드부를 포함하며, 헤드부가 제 1 위치에 배치되었을 때에 헤드부와 보지대와의 사이에 형성되는 소용적 영역에서 성막 가스를 공급하여 피처리 기판 상에 성막 가스를 흡착시키는 가스 공급 기구를 구비한다.
이와 같이 구성함으로써, 성막 가스를 피처리 기판 상에 흡착시킬 때에 보지대와 헤드부와의 사이에 형성되는 소용적 영역에서 성막 가스의 공급을 행할 수 있다. 그렇게 하면, 성막 가스의 공급량의 저감을 도모할 수 있다. 또한, 성막 시에 대용적인 처리 용기 전체의 압력 조정이 아니라 소용적 영역에서 소유량으로 압력 조정을 행할 수 있기 때문에, 압력 조정의 단시간화를 도모할 수 있다. 따라서, 성막을 효율적으로 행할 수 있다. 또한 플라즈마 처리를 행할 때에도, 처리 용기의 내벽면이 성막 가스에 노출되지 않기 때문에 처리 용기의 내벽면으로의 반응 생성물의 부착을 억제할 수 있다. 그렇게 하면, 처리 용기의 내벽면을 클리닝하는 공정 수를 줄일 수 있다. 또한, 파티클의 발생을 억제할 수도 있다. 따라서, 이러한 플라즈마 처리 장치에 따르면 효율적으로 고품질의 막을 성막할 수 있다.
바람직하게는, 헤드부는 대략 원판 형상의 원판부를 포함하고, 헤드부가 제 1 위치에 배치되었을 때에 원판부는 보지대의 상방측을 덮는다.
또한, 헤드부는 처리 용기 내에서 수평 방향으로 연장되는 봉 형상부를 포함하고, 봉 형상부는 보지대 상에 보지된 피처리 기판 상의 영역을 수평 방향으로 이동 가능하도록 구성해도 좋다.
더 바람직하게는, 가스 공급 기구는 헤드부 중 헤드부가 제 1 위치에 배치되었을 때에 보지대 상에 보지된 피처리 기판과 대향하는 위치에 설치되며, 성막 가스를 공급하는 가스 공급홀을 포함한다.
더 바람직하게는, 가스 공급 기구는 헤드부가 제 1 위치에 배치되었을 때에 헤드부와 보지대와의 사이에 형성되는 소용적 영역의 배기를 행하는 배기 기구를 포함한다.
더 바람직한 일실시예로서, 배기 기구는 헤드부 중 헤드부가 제 1 위치에 배치되었을 때에 보지대 상에 보지된 피처리 기판과 대향하는 위치에 설치되며, 보지대와 헤드부와의 사이에 형성되는 소용적 영역의 배기를 행하는 배기홀을 포함한다.
또한, 헤드부가 제 1 위치에 배치되었을 때에 헤드부와 보지대와의 사이에 형성되는 소용적 영역의 용적은, 처리 용기의 용적의 50% 이하인 것이 바람직하다.
더 바람직하게는, 헤드부는 상하 방향 및 수평 방향 중 적어도 어느 일방 방향으로 이동 가능하다.
더 바람직하게는, 가스 공급 기구는, 측벽측에서부터 연장되며 내방측 부분이 헤드부에 연결되어 헤드부를 지지하는 지지부를 포함한다.
또한, 헤드부 및 지지부의 온도를 조정 가능한 온도 조정 기구를 포함하도록 구성해도 좋다.
또한 가스 공급 기구는, 측벽측에서부터 연장되며 내방측 부분이 헤드부에 연결되어 헤드부를 지지하는 지지부를 포함하는 구성이고, 배기 기구는, 지지부의 내부에, 배기된 배기 가스의 통로가 되는 배기로를 포함하고, 가스 공급 기구는, 지지부의 내부에, 공급하는 가스의 통로가 되는 가스 공급로를 포함하고, 가스 공급로는 가스 배기로의 내측이 되도록 다중으로 형성되어 있어도 좋다.
또한, 보지대 상으로의 피처리 기판의 지지 및 보지대 상에 지지된 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구를 구비하도록 구성해도 좋다.
또한 헤드부는, 지지부의 외방측 단부(端部)를 중심으로 회전 가능하도록 구성해도 좋다.
더 바람직하게는, 처리 용기에는, 측벽의 일부가 외방측으로 연장되도록 하여 형성되어 있으며 헤드부를 수용 가능한 수용부가 형성되어 있다.
여기서, 수용부 내의 영역과 수용부 외의 영역을 차단 가능한 차단 기구를 구비하도록 구성해도 좋다.
더 바람직한 일실시예로서, 차단 기구는 측벽의 내방측의 벽면을 따라 이동 가능한 차폐판(遮蔽板)을 포함한다.
또한, 처리 용기는 제 1 처리 용기와 제 1 처리 용기와는 상이한 제 2 처리 용기를 구비하고, 헤드부는 제 1 처리 용기와 제 2 처리 용기와의 사이를 이동 가능하도록 구성해도 좋다.
더 바람직하게는, 보지대는 상하 방향 및 수평 방향 중 적어도 어느 일방 방향으로 이동 가능하다.
더 바람직하게는, 플라즈마 발생 수단은, 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기와, 보지대와 대향하는 위치에 설치되어 마이크로파를 처리 용기 내로 도입하는 유전체창을 포함한다.
더 바람직한 일실시예로서, 플라즈마 발생 수단은, 복수의 슬롯홀이 형성되어 있으며 유전체창의 상방측에 배치되어 마이크로파를 유전체창으로 방사하는 슬롯 안테나판을 포함한다.
본 발명의 다른 국면에서, 플라즈마 처리 장치용 가스 공급 기구는, 하방측에 위치하는 저부 및 저부의 외주측에서부터 상방측으로 연장되는 측벽을 포함하고, 밀봉 가능하며, 그 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와, 처리 용기 내에 배치되고 그 위에 피처리 기판을 보지하는 보지대와, 처리 용기 내에 플라즈마를 발생시키는 플라즈마 발생 수단을 구비하는 플라즈마 처리 장치에 구비된다. 플라즈마 처리 장치용 가스 공급 기구는, 보지대의 상방측이 되는 제 1 위치 및 제 1 위치와 상이한 제 2 위치로 이동 가능하고 가스를 공급 가능한 헤드부를 포함하며, 헤드부가 제 1 위치에 배치되었을 때에 헤드부와 보지대와의 사이에 형성되는 소용적 영역에서 성막 가스를 공급하여 피처리 기판 상에 성막 가스를 흡착시킨다.
이러한 플라즈마 처리 장치용 가스 공급 기구에 따르면, 효율적으로 고품질의 막을 성막할 수 있다.
바람직하게는, 제 1 위치에 배치되었을 때에 보지대 상에 보지된 피처리 기판과 대향하는 위치에, 보지대와 헤드부와의 사이에 형성되는 소용적 영역의 배기를 행하는 배기홀을 포함한다.
이러한 플라즈마 처리 장치에 따르면, 성막 가스를 피처리 기판 상에 흡착시킬 때에 보지대와 헤드부와의 사이에 형성되는 소용적 영역에서 성막 가스의 공급을 행할 수 있다. 그렇게 하면, 성막 가스의 공급량의 저감을 도모할 수 있다. 또한, 성막 시에 대용적인 처리 용기 전체의 압력 조정이 아니라 소용적 영역에서 소유량으로 압력 조정을 행할 수 있기 때문에, 압력 조정의 단시간화를 도모할 수 있다. 따라서, 성막을 효율적으로 행할 수 있다. 또한 플라즈마 처리를 행할 때에도, 처리 용기의 내벽면이 성막 가스에 노출되지 않기 때문에 처리 용기의 내벽면으로의 반응 생성물의 부착을 억제할 수 있다. 그렇게 하면, 처리 용기의 내벽면을 클리닝하는 공정 수를 줄일 수 있다. 또한, 파티클의 발생을 억제할 수도 있다. 따라서, 이러한 플라즈마 처리 장치에 따르면 효율적으로 고품질의 막을 성막할 수 있다.
또한 이러한 플라즈마 처리 장치용 가스 공급 기구에 따르면, 효율적으로 고품질의 막을 성막할 수 있다.
도 1은 MOS 형 반도체 소자의 일부를 도시한 개략 단면도이다.
도 2는 본 발명의 일실시예에 따른 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 3은 도 2에 도시한 플라즈마 처리 장치에 포함되는 슬롯 안테나판을 판 두께 방향에서 본 도면이다.
도 4는 유전체창의 하면에서부터의 거리와 플라즈마의 전자 온도와의 관계를 나타낸 그래프이다.
도 5는 유전체창의 하면에서부터의 거리와 플라즈마의 전자 밀도와의 관계를 나타낸 그래프이다.
도 6은 도 2에 도시한 플라즈마 처리 장치에 포함되는 헤드부의 일부를 도 2 중의 화살표(III)의 방향에서 본 도면이다.
도 7은 도 6에 도시한 헤드부의 일부를 도시한 단면도이다.
도 8은 도 2에 도시한 플라즈마 처리 장치에서 헤드부가 수용부에 수용된 상태를 도시한 개략 단면도이다.
도 9는 도 2에 도시한 플라즈마 처리 장치에서 성막할 때의 대표적인 처리의 공정을 나타낸 순서도이다.
도 10은 처리 용기 전체에서의 가스 유량과 소정의 압력에 도달할 때까지의 도달 시간과의 관계를 나타낸 그래프이다.
도 11은 보지대와 헤드부와의 사이에 형성되는 소용적 영역에서의 가스 유량과 소정의 압력에 도달할 때까지의 도달 시간과의 관계를 나타낸 그래프이다.
도 12는 RLSA를 이용한 PE - ALD로 성막을 행한 라이너막의 단면을 확대하여 나타낸 현미경 사진이며, 애스펙트비가 약 6인 경우를 나타낸다.
도 13은 RLSA를 이용한 PE - ALD로 성막을 행한 라이너막의 단면을 확대하여 나타낸 현미경 사진이며, 애스펙트비가 약 3인 경우를 나타낸다.
도 14는 헤드부가 상하 방향으로 회전하도록 하여 이동하는 플라즈마 처리 장치의 일부를 도시한 개략 단면도이다.
도 15는 가스 배기홀이 형성되어 있는 헤드부의 일부를 판 두께 방향에서 본 도면이며, 도 6에 상당한다.
도 16은 가스 배기홀이 형성되어 있는 헤드부의 일부를 도시한 단면도이며, 도 7에 상당한다.
도 17은 헤드부가 수평 방향으로 이동 가능한 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 18은 헤드부가 수평 방향으로 회전 가능한 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 19는 제 1 및 제 2 처리 용기를 왕복 가능한 헤드부를 구비하는 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 20은 헤드부가 봉 형상부를 포함하는 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 21은 봉 형상부의 일부를 도시한 사시도이다.
도 22는 봉 형상부가 수평 방향으로 이동 가능한 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 23은 봉 형상부가 수평 방향으로 회전 가능한 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 24는 가스 배기홀을 구비하는 봉 형상부의 일부를 도시한 사시도이다.
도 25는 도 17에 도시한 지지부 중 근원부(根元部) 부근의 일부의 구성을 도시한 개략 단면도이다.
도 26은 도 17에 도시한 지지부 중 근원부 부근의 일부의 구성을 도시한 개략 단면도이며, 도 25에 도시한 단면을 90 도 회전시킨 경우의 단면에 상당한다.
도 27은 도 17에 도시한 지지부 중 근원부 부근의 일부의 구성을 도시한 개략 단면도이며, 도 26 중의 XXVII - XXVII 단면에 상당한다.
도 28은 플라즈마 처리 시스템의 구성을 개략적으로 도시한 개략도이다.
도 29는 회전 가능한 지지대 부근을 개략적으로 도시한 개략 사시도이다.
도 30은 고정된 지지대 부근을 개략적으로 도시한 개략 사시도이다.
도 31은 핀에 의한 피처리 기판(W)의 지지 및 분리를 행할 때의 보지대의 일부를 도시한 개략 단면도이며, 보지대 상에 피처리 기판(W)이 지지된 상태를 도시한다.
도 32는 핀에 의한 피처리 기판(W)의 지지 및 분리를 행할 때의 보지대의 일부를 도시한 개략 단면도이며, 핀의 상측 단부(端部)에 피처리 기판(W)을 실은 상태를 도시한다.
도 33은 핀에 의한 피처리 기판(W)의 지지 및 분리를 행할 때의 보지대의 일부를 도시한 개략 단면도이며, 재치부의 상면과 피처리 기판(W)의 하면이 대향한 위치에 있는 상태를 도시한다.
도 34는 핀에 의한 피처리 기판(W)의 지지 및 분리를 행할 때의 보지대의 일부를 도시한 개략 단면도이며, 재치부에 피처리 기판(W)을 재치한 상태를 도시한다.
이하, 본 발명의 실시예를 도면을 참조하여 설명한다. 먼저, 본 발명의 일실시예에 따른 플라즈마 처리 장치에 의해 제조되는 반도체 소자의 구성에 대하여 설명한다. 도 1은 본 발명의 일실시예에 따른 플라즈마 처리 장치에 의해 제조되는 MOS 형 반도체 소자의 일부를 도시한 개략 단면도이다. 또한, 도 1에 도시한 MOS 형 반도체 소자에서 도전층을 해칭으로 도시하였다.
도 1을 참조하면, MOS 형 반도체 소자(11)에는, 실리콘 기판(12) 상에 소자 분리 영역(13), p 형 웰(14a), n 형 웰(14b), 고농도 n 형 불순물 확산 영역(15a), 고농도 p 형 불순물 확산 영역(15b), n 형 불순물 확산 영역(16a), p 형 불순물 확산 영역(16b) 및 게이트 산화막(17)이 형성되어 있다. 게이트 산화막(17)을 사이에 두고 형성되는 고농도 n 형 불순물 확산 영역(15a) 및 고농도 p 형 불순물 확산 영역(15b) 중 어느 일방은 드레인이 되고 타방은 소스가 된다.
또한, 게이트 산화막(17) 상에는 도전층이 되는 게이트 전극(18)이 형성되어 있고, 게이트 전극(18)의 측부에는 절연막이 되는 게이트 측벽부(19)가 형성된다. 또한, 상기한 게이트 전극(18) 등이 형성된 실리콘 기판(12) 상에는 절연막(21)이 형성된다. 절연막(21)에는 고농도 n 형 불순물 확산 영역(15a) 및 고농도 p 형 불순물 확산 영역(15b)과 연결되는 콘택트홀(22)이 형성되고, 콘택트홀(22) 내에는 매립 전극(23)이 형성된다. 또한 그 위에 도전층이 되는 메탈 배선층(24)이 형성된다. 또한 절연층이 되는 층간 절연막(도시하지 않음) 및 도전층이 되는 메탈 배선층을 교호로 형성하고, 마지막에 외부와의 접점이 되는 패드(도시하지 않음)를 형성한다. 이와 같이 MOS 형 반도체 소자(11)가 형성되어 있다.
본 발명에 따른 플라즈마 처리 장치에 의해 제조되는 반도체 소자에는, 후술하는 바와 같이 피처리 기판 상에 성막 가스를 흡착시켜 플라즈마 처리를 행함으로써 형성된 실리콘 산화막이 예를 들면 게이트 산화막(17)으로서 포함된다. 또한, 본 발명에 따른 플라즈마 처리 장치에 의해 성막되는 절연막은 상기한 게이트 산화막을 구성하는 실리콘 산화막으로서, 피처리 기판 상에 성막 가스를 흡착시켜 플라즈마 처리함으로써 성막되어 있다.
이어서, 본 발명의 일실시예에 따른 플라즈마 처리 장치의 구성 및 동작에 대하여 설명한다.
도 2는 본 발명의 일실시예에 따른 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다. 또한, 도 3은 도 2에 도시한 플라즈마 처리 장치에 포함되는 슬롯 안테나판을 하방측, 즉 도 2 중의 화살표(III)의 방향에서 본 도면이다. 또한 도 2에서는, 이해의 용이의 관점에서 부재의 일부의 해칭을 생략하였다.
도 2 및 도 3을 참조하면, 플라즈마 처리 장치(31)는, 그 내부에서 피처리 기판(W)에 플라즈마 처리를 행하는 처리 용기(32)와, 처리 용기(32) 내로 플라즈마 처리용의 반응 가스를 공급하는 플라즈마 처리용의 가스 공급부(33)와, 그 위에 피처리 기판(W)을 보지(保持)하는 원판 형상의 보지대(34)와, 처리 용기(32) 내에 플라즈마를 발생시키는 플라즈마 발생 기구(39)와, 플라즈마 처리 장치(31) 전체를 제어하는 제어부(도시하지 않음)를 구비한다. 제어부는, 플라즈마 처리용의 가스 공급부(33)에서의 가스 유량, 처리 용기(32) 내의 압력 등 플라즈마 처리 장치(31) 전체의 제어를 행한다.
처리 용기(32)는, 보지대(34)의 하방측에 위치하는 저부(底部)(41)와, 저부(41)의 외주(外周)에서부터 상방향으로 연장되는 측벽(42)을 포함한다. 측벽(42)은 일부를 제외하고 대략 원통 형상이다. 처리 용기(32)의 저부(41)에는, 그 일부를 관통하도록 배기용의 배기홀(43)이 형성되어 있다. 처리 용기(32)의 상부측은 개구되어 있으며, 처리 용기(32)의 상부측에 배치되는 덮개부(44), 후술하는 유전체창(36) 및 유전체창(36)과 덮개부(44)와의 사이에 개재되는 씰링 부재로서의 O 링(45)에 의해 처리 용기(32)는 밀봉 가능하게 구성되어 있다.
플라즈마 처리용의 가스 공급부(33)는, 측벽(42)의 상부측의 일부에서 처리 용기(32) 내로 플라즈마 처리용 가스를 공급하는 복수의 플라즈마 처리용의 가스 공급홀(46)을 형성함으로써 형성되어 있다. 복수의 플라즈마 처리용의 가스 공급홀(46)은 둘레 방향으로 등배(等配)로 형성되어 있다. 플라즈마 처리용의 가스 공급부(33)로는 반응 가스 공급원(도시하지 않음)으로부터 플라즈마 처리용의 가스가 공급된다.
보지대(34)는 정전 척(도시하지 않음)에 의해 그 위에 피처리 기판(W)을 보지 가능하다. 또한 보지대(34)는, 내부에 설치된 온도 조정 기구(도시하지 않음)에 의해 원하는 온도로 설정 가능하다. 보지대(34)는 저부(41)의 하방측에서부터 수직 상방으로 연장되는 절연성의 통 형상 지지부(49)에 지지되어 있다. 상기한 배기홀(43)은 통 형상 지지부(49)의 외주를 따라 처리 용기(32)의 저부(41)의 일부를 관통하도록 형성되어 있다. 환상(環狀)의 배기홀(43)의 하방측에는 배기관(도시하지 않음)을 거쳐 배기 장치(도시하지 않음)가 접속되어 있다. 배기 장치는 터보 분자 펌프 등의 진공 펌프를 가지고 있다. 배기 장치에 의해 처리 용기(32) 내를 소정의 압력까지 감압할 수 있다.
플라즈마 발생 기구(39)는, 처리 용기(32) 외측에 설치되어 있으며 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기(35)와, 보지대(34)와 대향하는 위치에 배치되어 마이크로파 발생기(35)에 의해 발생시킨 마이크로파를 처리 용기(32) 내로 도입하는 유전체창(36)과, 복수의 슬롯홀(40)이 형성되어 있으며 유전체창(36)의 상방측에 배치되어 마이크로파를 유전체창(36)에 방사하는 슬롯 안테나판(37)과, 슬롯 안테나판(37)의 상방측에 배치되어 후술하는 동축 도파관(54)에 의해 도입된 마이크로파를 직경 방향으로 전파하는 유전체 부재(38)를 포함한다.
매칭 기구(51)를 가지는 마이크로파 발생기(35)는, 모드 변환기(52) 및 도파관(53)을 거쳐 마이크로파를 도입하는 동축 도파관(54)의 상부에 접속되어 있다. 예를 들면, 마이크로파 발생기(35)에서 발생시킨 TE 모드의 마이크로파는, 도파관(53)을 거쳐 모드 변환기(52)에 의해 TEM 모드로 변환되어 동축 도파관(54)을 전파한다. 마이크로파 발생기(35)에서 발생시키는 마이크로파의 주파수로는, 예를 들면 2.45 GHz가 선택된다.
유전체창(36)은 대략 원판 형상이며, 유전체로 구성되어 있다. 또한, 유전체창(36)의 구체적인 재질로는 석영 또는 알루미나 등을 들 수 있다.
슬롯 안테나판(37)은 박판 형상이며 원판 형상이다. 복수의 긴 홀 형상의 슬롯홀(40)에 대해서는, 도 3에 도시한 바와 같이 한 쌍의 슬롯홀(40)이 대략 팔(八) 자 형상으로 직교하도록 형성되어 있고, 한 쌍을 이룬 슬롯홀(40)이 둘레 방향으로 소정의 간격을 두고 형성되어 있다. 또한, 직경 방향에서도 복수의 한 쌍의 슬롯홀(40)이 소정의 간격을 두고 형성되어 있다.
마이크로파 발생기(35)에 의해 발생시킨 마이크로파는 동축 도파관(54)을 거쳐 유전체 부재(38)에 전파되고, 슬롯 안테나판(37)에 형성된 복수의 슬롯홀(40)로부터 유전체창(36)에 방사된다. 유전체창(36)을 투과한 마이크로파는 유전체창(36)의 바로 아래에 전계를 발생시켜 처리 용기(32) 내에 플라즈마를 생성시킨다. 즉 플라즈마 처리 장치(31)에서 처리에 제공되는 마이크로파 플라즈마는, 상기한 구성의 슬롯 안테나판(37) 및 유전체 부재(38)를 포함하는 래디얼 라인 슬롯 안테나(RLSA : Radial Line Slot Antenna)에 의해 생성되고 있다.
도 4는 플라즈마 처리 장치(31)에서 플라즈마를 발생시켰을 때의 처리 용기(32) 내에서의 유전체창(36)의 하면(48)에서부터의 거리와 플라즈마의 전자 온도와의 관계를 나타낸 그래프이다. 도 5는 플라즈마 처리 장치(31)에서 플라즈마를 발생시켰을 때의 처리 용기(32) 내에서의 유전체창(36)의 하면(48)에서부터의 거리와 플라즈마의 전자 밀도와의 관계를 나타낸 그래프이다.
도 4 및 도 5를 참조하면, 유전체창(36)의 바로 아래의 영역, 구체적으로는 일점 쇄선으로 나타낸 대략 10 mm 정도까지의 영역(26)은 이른바 플라즈마 생성 영역으로 불린다. 이 영역(26)에서는 전자 온도가 1.5 ~ 2.5 eV 정도로 비교적 높고, 전자 밀도가 1 × 1012 cm-3보다 크다. 한편, 2 점 쇄선으로 나타낸 10 mm를 초과하는 영역(27)은 플라즈마 확산 영역으로 불린다. 이 영역(27)에서는 전자 온도가 1.0 ~ 1.3 eV 정도, 적어도 1.5 eV보다 낮고, 전자 밀도가 1 × 1012 cm-3 정도, 적어도 1 × 1011 cm-3보다 높다. 플라즈마 처리 장치(31)의 처리 용기(32) 내에서는, 마이크로파에 의해 여기되어 이러한 플라즈마 상태로 되어 있다. 그리고, 후술하는 피처리 기판(W)에 대한 플라즈마 처리는 플라즈마 확산 영역에서 행해진다. 즉 플라즈마 처리 공정은, 피처리 기판의 표면 근방에서 플라즈마의 전자 온도가 1.5 eV보다 낮고 또한 플라즈마의 전자 밀도가 1 × 1011 cm-3보다 높은 마이크로파 플라즈마를 이용한 처리이다.
여기서 플라즈마 처리 장치(31)는, 보지대(34)의 상방측이 되는 제 1 위치 및 제 1 위치와 상이한 제 2 위치로 이동 가능하고 성막 가스를 공급 가능한 헤드부(62)와, 처리 용기(32)의 측벽(42)측에서부터 연장되며 내방측 부분인 내방측 단부(端部)(64)가 헤드부(62)에 접속되어 헤드부(62)를 지지하는 지지부(63)를 포함하고, 헤드부(62)가 제 1 위치에 배치되었을 때에 헤드부(62)와 보지대(34)와의 사이에 형성되는 소용적 영역에서 성막 가스를 공급하여 피처리 기판(W) 상에 성막 가스를 흡착시키는 가스 공급 기구(61)를 구비한다. 제 1 및 제 2 위치에 대해서는 후술한다. 또한 소용적 영역이란, 처리 용기(32) 전체의 대용적의 영역과 비교했을 때 헤드부(62)와 보지대(34)와의 사이에 형성되는 작은 용적의 영역을 말한다.
이어서, 가스 공급 기구(61)에 구비되는 헤드부(62)의 상세한 구성에 대하여 설명한다. 도 6은 헤드부(62)의 일부를 도 2에 도시한 화살표(III)의 방향에서 본 도면이다. 도 7은 도 6에 도시한 헤드부(62)의 일부를 도시한 단면도이다.
도 6 및 도 7을 참조하면, 헤드부(62)는, 박판 원판 형상의 원판부(66)와, 원판부(66)의 외경측 영역에서부터 판 두께 방향으로 연장되는 환상의 연장부(67)를 구비한다. 구체적으로는, 연장부(67)는 대략 원통 형상이며 하방측으로 연장되어 있다. 원판부(66)는 피처리 기판(W)보다 크게 구성되어 있다. 상기한 제 1 위치란, 이 경우, 원판부(66)가 보지대(34)의 상방측을 덮는 위치이다. 제 1 위치에서는, 보지대(34)의 외경측의 상면(47)과 연장부(67)를 구성하는 하면(70)이 대향한다.
헤드부(62)는, 헤드부(62)가 제 1 위치, 즉 보지대(34) 상에 배치되었을 때에 보지대(34) 상에 보지된 피처리 기판(W)과 대향하는 위치에 설치되며, 성막 가스를 공급하는 가스 공급홀(68)을 포함한다. 가스 공급홀(68)은, 헤드부(62)에 포함되는 원판부(66)의 하방측에 위치하는 면의 일부가 개구되도록 복수 형성되어 있다. 복수의 가스 공급홀(68)은, 도 6 중 세로 방향 및 가로 방향으로 소정의 간격을 두고 각각 대략 등배로 형성되어 있다.
헤드부(62)의 내부 및 지지부(63)의 내부에는, 일방측이 가스 공급홀(68)에 연결되고 타방측이 처리 용기(32) 외측에 설치되어 성막 가스를 공급하는 가스 공급부(도시하지 않음)에 연결되는 가스 공급로(69)가 형성되어 있다. 가스 공급로(69) 및 복수의 가스 공급홀(68)을 거쳐 처리 용기(32)의 외부측으로부터 피처리 기판(W)에 대해 성막 가스를 공급할 수 있다.
또한 플라즈마 처리 장치(31)에 구비되는 처리 용기(32)에는, 측벽(42)의 일부가 외방측으로 연장되도록 하여 형성되어 있으며, 헤드부(62)를 수용 가능한 수용부(71)가 형성되어 있다. 수용부(71)는 측벽(42)의 일부에서부터 외방측을 향해 직선으로 연장되도록 하여 형성되어 있다. 또한, 이 수용부(71) 내의 영역이 도 2에 도시한 플라즈마 처리 장치(31)에서의 헤드부(62)가 이동 가능한 제 2 위치가 된다.
상기한 바와 같이 헤드부(62)는, 보지대(34)의 상방측인 제 1 위치 및 수용부(71) 내인 제 2 위치로 이동 가능하다. 즉, 헤드부(62)는 도 2에 도시한 화살표(A1)의 방향 또는 그 반대 방향으로 이동 가능하다. 또한, 헤드부(62)가 제 1 위치에 배치된 경우를 도 2에서 도시하고, 헤드부(62)가 제 2 위치에 배치된 경우를 도 8에서 도시하고 있다. 도 8은 도 2에 도시한 플라즈마 처리 장치(31)에서 헤드부(62)가 수용부(71)에 수용된 상태를 도시한 개략 단면도이다.
또한 플라즈마 처리 장치(31)에는, 수용부(71) 내의 영역과 수용부(71) 외의 영역, 여기서는 처리 용기(32)와의 영역을 차단하는 차단 기구로서의 차폐판(遮蔽板)(72)이 설치되어 있다. 차폐판(72)은 측벽(42)의 내벽면(73)을 따라 도 2 중의 화살표(A2)의 방향 또는 그 반대 방향으로 이동 가능하다. 차폐판(72)에 의해 수용부(71) 내의 영역과 수용부(71) 외의 영역을 차폐한 경우를 도 8에 도시하였다.
이어서, 도 1 내지 도 9, 표 1 및 상기한 플라즈마 처리 장치(31)를 이용하여 절연막을 포함하는 반도체 소자를 제조하는 방법에 대해 설명한다. 도 9는 도 2 등에 도시한 플라즈마 처리 장치를 이용하여 피처리 기판의 성막을 행할 때의 대표적인 처리의 공정을 나타낸 순서도이다. 표 1은 처리의 흐름 및 그 처리 조건을 나타낸 테이블이다. 또한 후술하는 플라즈마 처리 시의 보지대(34)의 온도는, 예를 들면 100 ~ 600℃, 바람직하게는 300 ~ 400℃의 사이의 임의의 온도가 선택된다.
단계 (A) (B) (C)
공정 가스 흡착
공정
퍼지 공정 플라즈마
처리 공정
압력(Torr) 3 1 1
Ar 가스 유량(sccm) 500 500 500
O2 가스 유량(sccm) 0 60 60
프리커서 가스 유량(sccm) 100 0 0
마이크로파 출력(kW) 0 0 3
표 1 및 도 1 내지 도 9를 참조하면, 먼저, 보지대(34) 상에 반도체 소자를 형성하는 피처리 기판(W)을 정전 척에 의해 보지시킨다.
이어서, 피처리 기판(W) 상에 성막 가스를 흡착시킨다(도 9의 (A)). 구체적으로는, 이하의 흐름에 따른다. 먼저, 헤드부(62)를 제 1 위치, 즉 피처리 기판(W)이 그 위에 보지된 보지대(34)의 상방측으로 이동시킨다. 그리고, 보지대(34)와 헤드부(62)와의 사이에 형성되는 소용적 영역 내를 표 1의 단계(A)에 나타낸 압력으로 한다.
여기서, 압력의 조정에 대하여 설명한다. 도 10은 처리 용기 전체에서의 가스 유량과 소정의 압력에 도달할 때까지의 도달 시간과의 관계를 나타낸 그래프이다. 도 11은 보지대(34)와 헤드부(62)와의 사이에 형성되는 소용적 영역에서의 가스 유량과 소정의 압력에 도달할 때까지의 도달 시간과의 관계를 나타낸 그래프이다. 도 10 및 도 11 중 세로축은 도달 시간(초)을 나타내고, 가로축은 가스 유량(sccm)을 나타낸다. 또한, 가스 유량은 Ar(아르곤) 가스 환산으로 나타내었다. 도 10 및 도 11에 나타낸 그래프는 1 Torr에서 3 Torr로 승압(昇壓)시키는 경우의 그래프이다. 도 10에 나타낸 경우에서 처리 용기 전체의 용적은 약 54 리터이다. 도 11에 나타낸 경우에서 보지대(34)와 헤드부(62)와의 사이에 형성되는 소용적 영역의 용적은 0.75 리터이다.
도 10 및 도 11을 참조하면, 모든 가스 유량에서 3 Torr에 도달할 때까지 필요로 하는 시간은 도 11에 나타낸 경우 쪽이 대폭적으로 짧아져 있다는 것을 파악할 수 있다. 또한 보지대(34)와 헤드부(62)의 사이에 형성되는 소용적 영역의 용적은, 예를 들면, 처리 용기(32)의 전체 용적의 50% 이내인 것이 바람직하다. 또한 소용적 영역의 용적은, 처리 용기(32)의 전체 용적의 20% 이내이면 더 바람직하다. 여기서, 상기한 도 10 및 도 11에 나타낸 경우라면 소용적 영역의 용적은 처리 용기(32)의 전체 용적의 대략 1.4%가 된다.
그 후, 가스 공급홀(68)로부터 성막 가스를 피처리 기판(W)을 향해 공급한다. 구체적으로는, 프리커서 가스를 포함하는 성막 가스를 복수의 가스 공급홀(68)로부터 분출하도록 하여 공급한다. 그렇게 하면, 피처리 기판(W) 상에 가스가 1 층 흡착된다. 이 경우, 실리콘 원자를 포함하는 분자층이 대략 1 층 정도 형성된다.
성막 가스를 피처리 기판(W) 상에 흡착시킨 후, 헤드부(62)를 제 2 위치로 이동시켜 수용부(71)로 퇴피시킨다. 헤드부(62)를 수용부(71)에 수용한 후, 차폐판(72)을 상방향으로 이동시켜 수용부(71) 내와 수용부(71) 외를 차폐판(72)에 의해 차단한다.
그 후 표 1의 단계(B)로 진행되어, 미흡착 프리커서 가스를 포함하는 성막 가스를 제거하기 위한 물리 흡착 제거 공정으로서 처리 용기(32) 내의 배기 및 퍼지(Purge) 공정을 행한다(도 9의 (B)). 처리 용기(32) 내의 배기는 배기홀(43) 및 배기 장치 등을 이용하여 행한다.
배기 후 표 1의 단계(C)로 진행되어, 마이크로파에 의한 플라즈마 처리를 행한다(도 9의 (C)). 구체적으로는, 플라즈마 처리용의 가스 공급부(33)로부터 플라즈마 여기용의 가스 및 반응 가스를 포함하는 플라즈마 처리용 가스를 처리 용기(32) 내로 공급한다. 이 경우의 반응 가스는 산소(O2) 가스이다. 그리고, 처리 용기(32) 내에서 플라즈마 발생 기구(39)에 의해 플라즈마를 생성하고, 형성한 실리콘 원자를 포함하는 흡착층의 마이크로파에 의한 플라즈마 처리를 행한다. 이 경우의 마이크로파에 의한 플라즈마 처리는, 실리콘 분자를 포함하는 흡착층의 종단 처리와 실리콘 원자의 산화 처리이다. 이 플라즈마 처리는 상기한 플라즈마 확산 영역에서 행한다.
이 단계(A) 내지 단계(C)의 일련의 흐름을 원하는 막 두께가 될 때까지 반복한다. 또한 실제의 막 두께로는, 예를 들면 막 두께 1 nm ~ 500 nm가 선택된다. 이와 같이 하여 피처리 기판(W)에 대한 실리콘 산화막의 성막을 행한다. 그 후, 피처리 기판(W)에 대해 원하는 개소의 에칭 등을 반복하여 도 1에 도시한 바와 같은 반도체 소자를 제조한다. 또한, 이러한 처리를 RLSA를 이용한 PE - ALD 처리라고 한다. 또한, 이러한 장치를 RLSA를 이용한 PE - ALD 장치라고도 한다.
이러한 플라즈마 처리 장치에 따르면, 가스를 피처리 기판 상에 흡착시킬 때에 보지대와 헤드부와의 사이에 형성되는 소용적 영역에서 흡착층을 형성할 수 있다. 그렇게 하면, 흡착 공정의 성막 가스의 공급량의 저감, 또는 흡착 공정과 플라즈마 처리 공정 간에서의 압력 조정의 단시간화가 도모되어, 성막을 효율적으로 행할 수 있다. 또한 처리 용기의 내벽면이 성막 가스에 노출되지 않기 때문에, 처리 용기의 내벽면으로의 성막 및 반응 생성물의 부착을 억제할 수 있다. 그렇게 하면, 처리 용기의 내벽면을 클리닝하는 공정 수를 줄일 수 있다. 또한, 파티클의 발생을 억제할 수도 있다. 따라서, 이러한 플라즈마 처리 장치에 따르면 효율적으로 고품질의 막을 성막할 수 있다.
또한, 이러한 플라즈마 처리 장치용 가스 공급 기구에 따르면 효율적으로 고품질의 막을 성막할 수 있다.
또한 이 경우, 헤드부를 수용부에 수용하고 차폐판에 의해 차폐하였기 때문에, 플라즈마에 의한 처리 시의 헤드부 및 수용부의 내벽면으로의 플라즈마 처리에 의한 반응 생성물의 부착도 저감시킬 수 있다.
여기서, 상기의 실시예에서는, 수용부는 측벽의 일부에서부터 외방측을 향해 직선으로 연장되도록 하여 형성되는 것으로 하였으나, 이에 한정되지 않으며, 수용부는 측벽의 일부에서부터 외방측을 향해 대각선 방향으로 연장되도록 하여 형성하는 것으로 해도 좋다. 또한, 수용부의 용적과 헤드부의 크기를 거의 동일하게 하고, 헤드부의 측벽에서 차폐 기구를 구비하도록 구성해도 좋다. 또한 차폐판의 이동은 상하 방향에 한정되지 않으며, 수평 방향 또는 원주 방향, 대각선 방향으로 이동 가능하게 구성해도 좋다. 또한, 수용부 내의 공간과 처리 용기 내의 공간을 구획하는 셔터 형상의 것을 구비하도록 해도 좋다. 또한 차폐 기구에 대해서는, 용도 등에 따라 설치할 필요는 없다.
도 12는 RLSA를 이용한 PE - ALD로 처리를 행한 라이너막의 단면을 확대하여 나타낸 현미경 사진이며, 애스펙트비가 약 6인 경우를 나타낸다. 도 13은 RLSA를 이용한 PE - ALD로 처리를 행한 라이너막의 단면을 확대하여 나타낸 현미경 사진이며, 애스펙트비가 약 3인 경우를 나타낸다. 또한, 도 12 중의 화살표(B1)로 나타낸 부분 및 도 13 중의 화살표(B2)로 나타낸 부분이 라이너막이다. 또한 라이너막의 형성에서는, BTBAS(bis-tertiary-buthyl-amino-silane)를 포함하는 가스를 프리커서 가스로 하고 있다. 이러한 라이너막은, 소자 분리 영역에서 형성되는 트렌치에서 매립 절연막에 의해 트렌치를 매립하기 전에 트렌치의 표면에 형성되며, 높은 절연 성능 등이 요구된다.
도 12에서는 트렌치의 폭이 77.2 nm이고 트렌치의 깊이가 449.5 nm이므로 애스펙트비는 5.8이 된다. 또한, 도 13에서는 트렌치의 폭이 170.0 nm이고 트렌치의 깊이가 581.7 nm이므로 애스펙트비는 3.4가 된다.
도 12 및 도 13을 참조하면, 애스펙트비가 약 6인 경우에도 약 3인 경우에도 트렌치가 완전히 덮여, 트렌치의 최심부(最深部)까지 라이너막에 의한 성막이 이루어져 있다는 것을 파악할 수 있다.
이상으로부터, 이러한 성막 방법에 따르면, 피처리 기판이 높은 애스펙트비 또는 예를 들면 50 nm정도의 미세한 단차를 가지는 형상이어도 상기한 형상을 완전히 덮도록 성막할 수 있다. 또한 마이크로파 플라즈마에 의해 플라즈마 처리를 행하고 있기 때문에, 성막 시의 플라즈마 데미지를 크게 저감시킬 수 있다. 따라서, 이러한 성막 방법에 따르면 고품질의 막을 형성할 수 있다.
또한, 이러한 성막 방법에 따르면 반도체 소자에서 높은 절연성을 가지는 실리콘 산화막을 저온에서 성막할 수 있다. 그렇게 하면, 제조 공정의 순서의 제약에 따른 문제 등을 회피할 수 있다.
또한, 이와 같이 하여 성막된 절연막은 절연 성능이 우수하다.
또한, 이와 같이 하여 성막된 절연막을 구비하는 반도체 소자는 절연 성능이 우수한 절연막을 구비하기 때문에 고품질이다.
또한 상기의 실시예에서는, 헤드부는 처리 용기 내를 가로 방향, 즉 수평 방향으로 진퇴하도록 이동하는 것으로 하였으나, 이에 한정되지 않으며, 헤드부는 처리 용기 내에서 상하 방향으로 이동 가능하도록 구성하는 것으로 해도 좋다.
또한, 헤드부 및 지지부의 온도를 조정 가능한 온도 조정 기구를 포함하도록 구성해도 좋다. 이렇게 함으로써, 공급하는 가스의 온도를 적절하게 하여 보다 효율적으로 성막 등을 행할 수 있다. 구체적으로는 예를 들면, 히터 및 센서(모두 도시하지 않음)를 헤드부의 내부 및 지지부의 내부에 설치한다. 그리고 플라즈마 처리 장치에 구비되는 제어부에 의해, 센서로부터의 온도 정보에 기초하여 히터의 온 및 오프를 행하도록 한다. 또한, 히터 또는 센서는 헤드부 또는 지지부의 외측에 장착하도록 하여 설치하는 것으로 해도 좋다. 또한 어느 일방, 즉 헤드부에만 또는 지지부에만 온도 조정 기구를 구비하도록 구성해도 좋다.
여기서, 온도 조정을 행할 때의 설정 온도에 대해서는, 이용하는 프리커서 가스에 따라 임의로 설정된다. 제어에서는 예를 들면, 이용하는 프리커서 가스의 증기압에 대응되는 온도 범위로 설정해도 좋다. 구체적으로는, 이용하는 프리커서 가스의 증기압이 10 Torr(1.333 × 103 Pa) 이상이 되는 온도 범위로 설정해도 좋고, 이용하는 프리커서 가스의 증기압이 100 Torr(1.333 × 104 Pa) 이상이 되는 온도 범위로 설정해도 좋다.
도 14는 이 경우의 플라즈마 처리 장치의 일부를 도시한 개략 단면도이다. 도 14를 참조하면, 플라즈마 처리 장치(91)의 처리 용기(92) 내에는 헤드부(93)와 지지부(94)가 구비되어 있다. 지지부(94)의 외경측 단부(95)는 처리 용기(92)의 내벽면(96)에 장착되어 있다. 헤드부(93) 및 지지부(94)는, 외경측 단부(95)를 회전 중심으로 하여 도 14 중의 화살표(C)로 도시한 방향, 즉 상하 방향으로 회전 가능하게 구성되어 있다. 헤드부(93)는 제 1 위치로서 보지대(97)의 상방측에 배치된다. 또한, 헤드부(93)는 제 2 위치로서 도 14에 도시한 상태에서 상측으로 회전시킨 상태로 배치된다.
성막 처리에 대해서는, 먼저, 가스를 흡착하는 공정에서 헤드부(93)를 보지대(97)의 상방측에 위치시킨다. 그리고 플라즈마 처리 시에는, 도 14 중의 화살표(C)로 도시한 방향으로 지지부(94)째로 회전시켜, 헤드부(93)를 제 2 위치, 여기서는 내벽면(96)측으로 경사진 위치로 한다. 그리고, 마이크로파에 의한 피처리 기판(W)의 플라즈마 처리를 행한다. 이와 같이 구성하는 것으로 해도 좋다.
또한 상기의 실시예에서, 헤드부는 배기 기구를 구비하는 구성으로 해도 좋다. 도 15는 이 경우의 플라즈마 처리 장치에 구비되는 헤드부(101)의 일부를 판 두께 방향에서 본 도면이며, 도 6에 상당한다. 또한, 도 16은 도 15에 도시한 헤드부(101)의 일부를 도시한 단면도이며, 도 7에 상당한다. 또한 도 15 및 도 16에 도시한, 헤드부를 포함하는 플라즈마 처리 장치의 전체적인 구성은 도 2 및 도 8에 도시한 것과 같다.
도 15 및 도 16을 참조하면, 헤드부(101)는, 상기한 도 6에 도시한 헤드부와 동일한 복수의 가스 공급홀(102)과, 배기를 위한 가스 배기홀(103)이 형성되어 있다. 가스 배기홀(103)은 복수 형성되어 있다. 가스 배기홀(103)은, 가스 공급홀(102)과 소정의 간격을 두고 도 15에 도시한 세로 방향 및 가로 방향으로 대략 등배로 형성되어 있다. 또한 헤드부(101) 및 도시하지 않은 지지부에는, 배기하는 성막 가스의 통로가 되는 가스 배기로(104)가 형성되어 있다. 이와 같이 구성함으로써, 헤드부(101)가 보지대(105)의 상방측에 배치되어 있는 상태로 미흡착 성막 가스의 배기를 행할 수 있다. 그렇게 하면, 처리 용기 전체의 배기를 행할 필요가 없어 스루풋의 향상으로 연결된다.
또한 도 17에 도시한 바와 같이, 플라즈마 처리 장치(111)에 구비되는 헤드부(112)는 지지부(113)의 근원부(根元部)(114)를 회전 중심으로 하여 화살표(D)로 도시한 방향으로 처리 용기(115) 내를 수평 방향으로 회전 가능한 구성으로 해도 좋다. 또한 플라즈마 처리 장치(116)에 구비되는 헤드부(117)는, 도 18에 도시한 바와 같이 지지부(118)째로 처리 용기(119) 내를 화살표(E)로 도시한 수평 방향으로 이동 가능한 구성으로 해도 좋다.
여기서, 지지부(113)의 구성에 대해서는 이하와 같이 해도 좋다. 도 25, 도 26 및 도 27은 도 17에 도시한 지지부(113) 중 근원부(114) 부근의 구성을 도시한 개략 단면도이다. 도 25에 도시한 단면(斷面)은 지지부(113) 중 근원부(114) 부근을 도 17에서의 지면(紙面) 표리 방향으로 연장되는 면에서 절단한 경우의 단면에 상당하고, 도 26에 도시한 단면은 도 25에 도시한 단면을 90 도 회전시킨 경우의 단면에 상당하고, 도 27에 도시한 단면은 도 26 중의 XXVII - XXVII 단면에 상당한다.
도 17, 도 25 내지 도 27을 참조하면, 지지부(113) 중 근원부(114)에는 회전 가능한 가동부(可動部)(151)와 베이스(153)에 고정되어 있는 고정부(152)가 설치되어 있다. 고정부(152)에 대해서는, 하방측에 위치하는 부분이 토대가 되는 베이스(153)에 장착되어 고정되어 있다. 한편 가동부(151)에 대해서는, 도 25 및 도 26에 일점 쇄선으로 도시한 지면 상하 방향으로 연장되는 회전 중심축(154)을 중심으로 도 17 및 도 27 중의 화살표(D)로 도시한 방향으로 대략 90 도 회전할 수 있다.
지지부(113) 및 근원부(114)의 내부에서는, 헤드부측으로 가스를 공급하는 가스 공급홀(도시하지 않음)과 통하는 가스 공급로(155)와, 배기하는 성막 가스의 통로가 되며 가스 배기홀(도시하지 않음)과 통하는 가스 배기로(156)가 형성되어 있다. 여기서, 가스 공급로(155)와 가스 배기로(156)는, 가스 공급로(155)가 내측에 위치하고 가스 배기로(156)가 외측에 위치하도록 지지부(113) 내에서 2 중으로 형성되어 있다. 즉, 도 26 중의 화살표(H1)로 도시한 방향으로 가스가 공급되고, 도 26 중의 화살표(H2)로 도시한 방향으로 가스가 배기된다.
이와 같이 구성함으로써, 가스 공급로(155)로부터 공급되는 가스가 가스 공급로(155)의 일부로부터 누설되었다고 해도, 가스 배기로(156)가 외측에 형성되어 있기 때문에, 가스 배기로(156)로 누설된 가스가 들어가 배기되게 된다. 그렇게 하면, 가스 공급로(155)로부터 누설된 가스가 다른 부분으로 누설되지는 않는다. 따라서, 보다 안전하고 확실하게 가스 공급로(155)로부터의 가스를 헤드부로 공급할 수 있다.
물론, 이 경우에 가스 공급로(155)가 2 중 이상의 다중으로 형성되어 있어도 좋고, 가스 배기로(156)가 2 중 이상의 다중으로 형성되어 있어도 좋다. 즉 가스 공급 기구는, 측벽측에서부터 연장되며 내방측 부분이 헤드부에 연결되어 헤드부를 지지하는 지지부를 포함하는 구성이고, 배기 기구는 지지부의 내부에 배기된 배기 가스의 통로가 되는 배기로를 포함하고, 가스 공급 기구는 지지부의 내부에 공급하는 가스의 통로가 되는 가스 공급로를 포함하고, 가스 공급로는 가스 배기로의 내측이 되도록 다중으로 형성되어 있으면 좋다.
또한 플라즈마 처리 장치에서, 처리 용기를 2 개 이상 가지는 구성으로 하고, 헤드부를 2 개 이상의 처리 용기의 사이에서 왕복 가능한 구성으로 해도 좋다.
도 19는 이 경우의 플라즈마 처리 장치의 일부를 도시한 개략 단면도이다. 도 19를 참조하면, 플라즈마 처리 장치(121)는 도 19 중의 좌방측에 위치하는 제 1 처리 용기(122a)와 우방측에 위치하는 제 2 처리 용기(122b)를 구비한다. 제 1 처리 용기(122a)와 제 2 처리 용기(122b)는 그 사이에 위치하는 측벽(123)을 공유하도록 하여 설치되어 있다. 그리고, 각 처리 용기(122a, 122b)에는 각각 보지대(124a, 124b), 플라즈마 처리용 가스 공급부 및 유전체창(125a, 125b) 등이 설치되어 있다. 그리고, 각 처리 용기(122a, 122b) 내에서 각각 피처리 기판(W)에 대한 플라즈마 처리가 가능하다. 그러나 헤드부(126)를 포함하는 가스 공급 기구에 대해서는, 플라즈마 처리 장치에서 1 개만 설치되어 있다.
여기서, 제 1 처리 용기(122a)와 제 2 처리 용기(122b)의 사이에 위치하는 측벽(123)의 일부는 개구되어 있다. 이 개구부(127)를 통해 헤드부(126)는 제 1 처리 용기(122a)와 제 2 처리 용기(122b)와의 사이를 이동 가능하게 구성되어 있다. 또한 제 1 및 제 2 처리 용기(122a, 122b)측에는, 개구부(127)의 개폐를 가능하게 하는 제 1 셔터(128a) 및 제 2 셔터(128b)가 설치되어 있다. 구체적으로는, 개구부(127)를 통해 헤드부(126)는 제 1 처리 용기(122a)와 제 2 처리 용기(122b)와의 사이를 왕복 가능하게 되어 있다.
이러한 구성에 따르면, 효율적인 성막 공정을 행할 수 있다. 즉 예를 들면, 일방측인 제 2 처리 용기(122b)에서 피처리 기판(W)의 플라즈마 처리를 행하고 있는 동안에 타방측인 제 1 처리 용기(122a)로 헤드부(126)를 이동시켜, 제 1 처리 용기(122a) 내에서 헤드부(126)에 의해 피처리 기판(W)에 대한 가스 흡착 공정을 행한다. 이와 같이 구성함으로써, 효율적인 성막이 가능해진다. 이 경우, 플라즈마 발생기 등에 대해서도 2 개의 처리 용기에서의 처리로 공용할 수 있다.
또한 플라즈마 처리 장치는, 보지대 상으로의 피처리 기판의 지지 및 보지대 상에 지지된 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구를 구비하도록 구성해도 좋다. 도 28은 이 경우의 플라즈마 처리 시스템의 구성을 개략적으로 도시한 개략도이다. 도 28을 참조하면, 플라즈마 처리 시스템(161)은, 처리 전의 피처리 기판(W)의 반입구 또는 처리 후의 피처리 기판(W)의 반출구가 되며, 플라즈마 처리 시스템(161)과 외부와의 사이에서 피처리 기판(W)의 출입을 행하기 위한 3 개의 로드 포트(162a, 162b, 162c)와, 대기압 분위기 하에 피처리 기판(W)의 반송을 행하는 공간을 가지는 로드 모듈(163)과, 로드 모듈(163)과 트랜스퍼 모듈(165)과의 사이에서 압력 조정 등을 행하는 2 개의 로드록 모듈(164a, 164b)과, 진공 분위기 하에 피처리 기판(W)의 반송을 행하는 공간을 가지는 트랜스퍼 모듈(165)과, 피처리 기판(W)의 플라즈마 처리를 행하는 2 개의 플라즈마 처리 장치(181a, 181b)와, 트랜스퍼 모듈(165)의 내부에 구비되며, 도시하지 않은 암을 이용하여 플라즈마 처리 장치(181a, 181b)와의 사이에서 피처리 기판(W)의 출입 등의 반송을 행하는 피처리 기판 반송 기구(도시하지 않음)를 구비한다.
각 플라즈마 처리 장치(181a, 181b)에 구비되는 각각의 보지대(167a, 167b)는 각각 4 매의 피처리 기판(W)을 재치하도록 하여 지지할 수 있다. 보지대(167a)에서의 4 매의 피처리 기판(W)의 지지 영역을 도 28 등에서의 일점 쇄선으로 도시한 영역(168a, 168b, 168c, 168d)으로 도시하였다. 또한, 보지대(167b)에서의 4 매의 피처리 기판(W)의 지지 영역을 도 28 등에서의 일점 쇄선으로 도시한 영역(168e, 168f, 168g, 168h)으로 도시하였다. 또한, 여기에서 보지대(167a, 167b)는 4 매의 피처리 기판(W)을 재치할 수 있는 것으로 하였으나, 이에 한정되지 않으며, 예를 들면 2 매 이상의 피처리 기판(W)을 재치할 수 있도록 해도 좋다.
도 29는 보지대(167a) 부근을 개략적으로 도시한 개략 사시도이다. 도 29를 참조하면, 피처리 기판(W)의 보지대(167a) 상으로의 지지 및 피처리 기판(W)의 보지대(167a)로부터의 분리에서는 3 개의 핀(도시하지 않음)이 이용된다. 핀에 의한 피처리 기판(W)의 지지 및 분리에 대해서는 후술한다. 또한 도 29에서는, 보지대(167a)의 영역(168a)에서의 3 개의 핀의 설치 영역이 되는 3 개의 핀홀(172a, 172b, 172c)을 도시하고 있다. 다른 영역(168b ~ 168d)에서의 핀홀의 도시는 생략한다. 3 개의 핀홀(172a ~ 172c)은, 각각을 가상선으로 연결한 경우에 거의 정삼각형을 형성하는 위치에 형성되어 있다. 즉, 3 개의 핀홀(172a ~ 172c)은 가상의 정삼각형의 각에 위치하는 부분에 형성되어 있다.
보지대(167a)를 구비하는 일방의 플라즈마 처리 장치(181a)에는 전술한 헤드부(169)가 설치되어 있다. 헤드부(169)는 지지부(170)에 장착되어 있다. 헤드부(169)는 지지부(170)의 외방측 단부가 되는 근원부(171)를 회전 중심으로 하여 도 29 중의 화살표(J1)로 도시한 방향으로 360 도 회전 가능하다. 이렇게 함으로써, 4 개의 영역(168a ~ 168d)에 각각 지지된 피처리 기판(W)에서 성막 등의 처리를 효율적으로 행할 수 있다. 또한, 보지대(167a)는 근원부(171)의 중심을 회전 중심으로 하여 도 29 중의 화살표(J2)로 도시한 방향으로 360 도 회전 가능하다.
이렇게 함으로써, 처리를 행할 피처리 기판(W)의 반입, 즉 보지대(167a) 상으로의 지지, 또는 처리가 종료된 피처리 기판(W)의 반출, 즉 보지대(167a) 상으로부터의 분리를 효율적으로 행할 수 있다. 여기서, 상기한 회전하는 보지대(167a) 및 핀은, 보지대 상으로의 피처리 기판의 지지 및 지지대 상에 지지된 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구로서 작동한다. 즉 플라즈마 처리 장치는, 보지대 상으로의 피처리 기판의 지지 및 지지대 상에 지지된 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구로서의 보지대 및 핀을 포함한다.
또한 보지대(167b)를 구비하는 타방의 플라즈마 처리 장치(181b)에 대해서도, 전술한 헤드부(173), 지지부(174a) 및 근원부(175)가 설치되어 있다. 그리고 근원부(175)를 중심으로 헤드부(173)와 180 도 대향하는 위치에, 피처리 기판(W)을 그 위에 재치할 수 있는 재치부(176)가 설치되어 있다. 재치부(176)는 지지부(174b)에 의해 지지되어 있다. 헤드부(173)를 지지하는 지지부(174a)와 재치부(176)를 지지하는 지지부(174b)는 근원부(175)를 사이에 두고 거의 일직선으로 이어지도록 설치되어 있다.
재치부(176)는 단면 L 자 형상이며, 회전 중심축 방향에서 본 경우에 대략 반원 형상이다. 재치부(176)는 단면 L 자 형상의 상면에서 피처리 기판(W)을 재치할 수 있다. 근원부(175)의 회전에 의해 헤드부(173) 및 재치부(176)는 근원부(175)의 중심을 회전 중심으로 하여 360 도 회전할 수 있다. 이렇게 함으로써, 보지대(167b)가 고정되어 있어 보지대(167b)가 회전할 수 없는 구성이었다고 해도, 4 개의 지지 영역(168e ~ 168h)에 지지된 피처리 기판(W)의 반입 및 반출을 행할 수 있다. 또한 도 30에서는, 보지대(167b)의 영역(168e)에서의 3 개의 핀의 설치 영역이 되는 3 개의 핀홀(177a, 177b, 177c)을 도시하고 있다. 다른 영역(168f ~ 168h)에서의 핀홀 및 핀의 도시에 대해서는 생략한다.
여기서, 핀에 의한 피처리 기판(W)의 지지 및 분리에 대해 설명하면 이하와 같다. 도 31, 도 32, 도 33 및 도 34는 핀에 의한 피처리 기판(W)의 지지 및 분리를 행할 때의 보지대(167b)의 일부를 도시한 개략 단면도이다. 먼저 도 31을 참조하면, 보지대(167b) 상의 영역(168e)에 피처리 기판(W)이 지지되어 있다. 피처리 기판(W)이 지지된 영역(168e)에는 핀(178a, 178b) 및 핀홀(177a, 177b)이 형성되어 있다. 핀(178a, 178b)은 각각 핀홀(177a, 177b) 내에 설치되어 있다. 또한 도 31 내지 도 34에서는, 이해의 용이의 관점에서, 핀홀(177c) 및 핀홀(177c) 내에 설치되어 있는 핀의 도시에 대해서는 생략한다. 핀(178a, 178b)은 도 31에서의 지면 상하 방향으로 이동 가능하다.
이어서 도 32를 참조하면, 핀홀(177a, 177b) 내에 배치된 핀(178a, 178b)이 각각 지면 상방향으로 이동한다. 그렇게 하면, 피처리 기판(W)의 하면(179)을 핀(178a, 178b)의 상측 단부로 밀어 피처리 기판(W)이 상방향으로 이동한다. 이 경우, 피처리 기판(W)은 핀(178a, 178b)의 상측 단부에 실리는 상태가 되는데, 핀은 합계 3 개 있으므로, 이른바 3 점 지지의 형태가 되어 비교적 안정적으로 피처리 기판(W)을 실을 수 있다.
이어서 도 33을 참조하면, 재치부(176)가 지지 영역(168e)의 위치까지 회전하여 이동한다. 그렇게 하면, 피처리 기판(W)의 하면(179)이 재치부(176)에서의 단면 L 자 형상의 상면(180)과 대향하는 위치로 온다. 또한 도 33에서는, 재치부(176) 중 외방측에 위치하는 부분이 회전에 의해 빨리 도달하기 때문에, 외방측에 위치하는 부분을 실선으로 도시하고 내방측에 위치하는 부분을 점선으로 도시하였다.
이어서, 도 33에 도시한 상태로 핀(178a, 178b)을 하방향으로 이동시킨다. 그렇게 하면, 재치부(176)의 상면(180) 상에 피처리 기판(W)의 하면(179)이 재치된다. 그리고, 재치부(176)의 회전에 의해 지지 영역(168e) 외측으로 피처리 기판(W)이 이동된다.
또한 피처리 기판(W)의 보지대(167b)로의 지지에 대해서는, 상기한 바와 같이 재치부(176)에 의해 소정의 위치, 예를 들면, 피처리 기판(W)이 영역(168f)으로 이동된 후, 핀이 상승하여 피처리 기판(W)을 들어올린 상태로 재치부(176)를 회전시켜 영역(168f) 외측으로 이동하고, 그 후 핀을 하강시킴으로써 영역(168f)에 지지시킬 수 있다.
이렇게 함으로써, 보지대(167b)가 회전하지 않고 고정되어 있는 상태에 의해서도 피처리 기판(W)의 보지대(167b) 상으로의 지지 및 보지대(167b)로부터의 분리를 효율적으로 행할 수 있다.
여기서, 상기한 재치부 및 핀은 보지대 상으로의 피처리 기판의 지지 및 지지대 상에 지지된 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구로서 작동한다. 즉 플라즈마 처리 장치는, 보지대 상으로의 피처리 기판의 지지 및 지지대 상에 지지된 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구로서의 재치부 및 핀을 포함한다.
또한 상기의 실시예에서는, 각 영역에서 3 개의 핀 및 핀홀을 형성하는 구성으로 하였으나, 이에 한정되지 않으며, 4 개 이상의 핀 등을 설치하는 구성으로 해도 좋다. 또한 안정된 상태로 핀의 상측 단부에 실을 수 있으면 되며, 3 개의 핀을 정삼각형의 위치에 반드시 설치하지 않아도 된다. 또한 예를 들면, 핀의 선단이 평판 형상이어도 좋으며, 이 경우, 핀의 수는 1 개 또는 2 개여도 안정된 상태로 피처리 기판(W)을 일시적으로 실을 수 있다.
또한 상기의 실시예에서는, 가스 공급 기구에 구비되는 헤드부는 원판부를 구비하는 것으로 하였으나, 이에 한정되지 않으며, 헤드부는 처리 용기 내에서 수평 방향으로 연장되는 봉 형상부를 구비하고, 봉 형상부는 보지대 상에 보지된 피처리 기판 상의 영역을 수평 방향으로 이동 가능하도록 구성해도 좋다.
도 20은 이 경우의 플라즈마 처리 장치(131)의 주요부를 도시한 개략 단면도이며, 도 2에 상당한다. 도 21은 플라즈마 처리 장치에 구비되는 봉 형상부의 일부를 도시한 사시도이다. 또한 도 20에 도시한 플라즈마 처리 장치(131)에서, 도 2에 도시한 플라즈마 처리 장치(31)와 동일한 구성에 대해서는 동일한 부호를 이용하고 그 설명을 생략한다.
도 20 및 도 21을 참조하면, 플라즈마 처리 장치(131)에 구비되는 가스 공급 기구에 포함되는 헤드부(133)는 처리 용기(132) 내에서 수평 방향으로 연장되는 봉 형상부(134)를 구비한다. 봉 형상부(134)는 길이 방향으로 직교하는 단면에서 절단한 경우에 그 외형 형상이 대략 원형이다. 봉 형상부(134)의 일방 단부가 되는 근원부(135)는 처리 용기(132)의 측벽(136)에 장착되어 있다. 즉, 봉 형상부(134)는 측벽(136)으로 지지되어 있는 구성이다. 또한 봉 형상부(134)의 최하부(最下部)와 보지대(34)와의 사이의 간격에 대해서는, 예를 들면 10 mm가 선택된다. 봉 형상부(134)는, 보지대(34)의 상방측에 위치한 경우에 피처리 기판(W)의 일부를 덮는 구성이다.
봉 형상부(134)는 중공(中空) 형상이다. 봉 형상부(134)의 내방측에 위치하는 중공 부분이 상기한 도 2에 도시한 플라즈마 처리 장치에 구비되는 가스 공급 기구의 헤드부 및 지지부에 형성된 가스 공급로(137)가 된다. 또한, 봉 형상부(134)의 하방측이 되는 피처리 기판(W)과 대향하는 면으로부터 가스 공급로(137)에 연결되도록 가스 공급홀(138)이 형성되어 있다. 가스 공급홀(138)은 봉 형상부(134)의 길이 방향으로 소정의 간격을 두고 복수 형성되어 있다.
봉 형상부(134)는 피처리 기판(W) 상의 영역을 수평 방향으로 이동 가능하다. 도 22는 도 20에 도시한 플라즈마 처리 장치(131)의 주요부를 도시한 개략 단면도이며, 플라즈마 처리 장치(131)를 상방향에서 본 도면이다. 도 20 내지 도 22를 참조하면, 봉 형상부(134)는 보지대(34) 상에 보지된 피처리 기판(W) 상의 영역을 수평 방향으로 이동 가능하다. 이 경우, 근원부(135)를 회전 중심으로 하여 도 22 중의 화살표(F)로 도시한 바와 같이 봉 형상부(134)가 회전 가능하게 구성되어 있다. 이 경우 봉 형상부(134)가 위치 가능한 제 1 및 제 2 위치로는, 피처리 기판(W)의 상방측이 제 1 위치가 되고, 피처리 기판(W)의 상방측을 피한 위치가 제 2 위치가 된다. 또한, 플라즈마 처리 장치(131)의 측벽(136)의 외형 형상은 직사각형 형상이다. 이러한 구성의 플라즈마 처리 장치(131)여도 상기와 동일한 효과를 이룰 수 있다. 또한 이러한 봉 형상부는 비교적 단순한 구조이기 때문에, 봉 형상부(134)의 제조 시의 코스트 다운을 도모할 수 있다. 또한 이 경우의 소용적 영역은, 봉 형상부(134)와 보지대(34)와의 사이에 형성되는 영역이 된다.
또한 도 23에 도시한 바와 같이, 플라즈마 처리 장치(139)에 구비되는 봉 형상부(134)는, 전체를 수평 방향으로 가동시켜 도 23 중에 도시한 화살표(G)의 방향으로 이동 가능하도록 구성해도 좋다.
또한, 봉 형상부에 가스 배기홀을 형성하도록 구성해도 괜찮다. 도 24는 이 경우의 봉 형상부의 일부를 도시한 단면도이며, 도 21에 상당한다. 도 24를 참조하면, 봉 형상부(141)의 내방측에 위치하는 중공 부분은 벽부(142)에 의해 구획되어 있다. 그리고 벽부(142)에 의해 구획된 일방측의 중공 부분이 상기한 가스 공급로(143)가 되고, 타방측의 중공 부분이 가스 배기로(144)가 된다. 그리고 상기한 도 21에 도시한 봉 형상부(134)와 마찬가지로, 가스 공급로(143)에 연결되도록 길이 방향으로 소정의 간격을 두고 피처리 기판(W)으로 성막 가스를 공급하는 가스 공급홀(145)이 복수 형성되어 있다. 또한 가스 배기로(144)에 대해서도, 길이 방향으로 소정의 간격을 두고 소용적 영역의 배기를 행하는 가스 배기홀(146)이 복수 형성되어 있다. 이러한 구성으로 해도 좋다. 또한, 이 경우의 봉 형상부(141)의 단면의 외형 형상은 직사각형 형상이다.
또한 상기의 실시예에서, 보지대를 상하 방향 및 좌우 방향 중 적어도 어느 일방측으로 이동 가능하도록 구성하는 것으로 해도 좋다. 이렇게 함으로써, 보다 적절하게 플라즈마 처리 또는 가스 흡착을 행할 수 있다. 구체적으로는 예를 들면, 헤드부를 제 1 위치에 배치시킬 때에, 헤드부의 이동과 연동시켜 보지대를 헤드부에 접근하도록 이동시키도록 한다.
또한 상기의 실시예에서는, 실리콘 원자를 산화시키는 경우에 대해 설명하였으나, 이에 한정되지 않으며, 실리콘 원자를 질화시키는 경우에 대해서도 적용된다. 즉, 상기한 가스 흡착 공정 후에 질화물을 포함하는 가스, 예를 들면 N2 가스를 처리 용기 내로 공급해 플라즈마 처리를 실시하여 실리콘 질화막을 형성한다. 이러한 경우에 대해서도 적용된다.
또한 상기의 실시예에서는, 가스 흡착용의 프리커서 가스로서 BTBAS를 포함하는 가스를 이용하는 것으로 하였으나, 물론 실리콘을 함유하는 다른 가스를 이용해도 상관없다. 또한 플라즈마 처리에서도, 산소 가스 이외의 가스를 이용하는 것도 가능하다.
또한 상기의 실시예에서, 헤드부의 이동에 상관없이 플라즈마를 항상 생성된 상태로 해두어도 좋다. 이렇게 함으로써, 스루풋의 추가적인 향상 등을 도모할 수 있다.
또한 상기의 실시예에서는, 소자 분리 영역에서 트렌치를 형성하고, 매립 절연막에 의해 트렌치를 매립하기 전에 트렌치의 표면에 형성되는 라이너막을 형성하는 경우에 대해 설명하였으나, 이에 한정되지 않으며, 예를 들면, MOS 트랜지스터에서의 게이트 산화막 또는 다른 절연층, 예를 들면, 층간 절연막 또는 게이트 측벽부의 형성에 적용해도 좋다. 또한, CCD 또는 LSI 등에서도 물론 효과적으로 적용된다. 즉, 성막 가스를 공급하여 흡착층을 형성하는 가스 흡착 공정과 플라즈마 처리 공정을 조합하여 행하는 모든 성막 프로세스에 적용된다.
구체적인 막으로는 이하의 것을 들 수 있다. 즉, 게이트 절연막으로서 SiO2, Al2O3, HfO2, ZrO2, Ta2O5, La2O3, DRAM(Dynamic Random Access Memory)의 트렌치 캐퍼시터로서 SiO2, HfO2, Al2O3, Ta2O5, FinFET(Field Effect Transistor) 등의 3D 디바이스의 게이트 산화막으로서 SiO2, Al2O3, HfO2, ZrO2, Ta2O5, La2O3, MEMS(Micro Electro Mechanical Systems) 나노 라미네이트로서 HfO2, Ta2O5, TiO2, Ta2O5, Al2O3, UV 블록 레이어로서 ZnO, TiO2, 유기 EL(Electro Luminescence) 소자로서 알루미나 절연막인 Al2O3, 옵티컬 디바이스 또는 태양 전지 등으로서 AlTiO, SnO2, ZnO, 압전 소자로서 ZnO 등을 들 수 있다.
또한 상기의 실시예에서, 가스 흡착 공정과 플라즈마 처리 공정과의 사이에 처리 용기 내를 배기하는 배기 공정을 행해도 좋다. 또한, 플라즈마 처리 공정 후에 배기 공정을 행해도 좋다.
또한 상기의 실시예에서는, 플라즈마 처리용의 가스는 측벽에 형성된 가스 공급홀로부터 공급하는 것으로 하였으나, 이에 한정되지 않으며, 피처리 기판의 중앙을 향해 분출하는 가스 공급홀을, 예를 들면 유전체창의 중앙 영역에 형성하고, 이 가스 공급홀로부터 공급하는 것으로 해도 좋다.
또한 상기의 실시예에서, 보지대 상에 보지되는 피처리 기판의 외경측에 박판 형상의 포커스 링을 구비하는 구성으로 해도 좋다. 이 경우 도 2에 도시한 플라즈마 처리 장치에서는, 제 1 위치로서 환상의 포커스 링의 상방측에 연장부가 위치하게 된다. 이러한 포커스 링을 구비하는 구성은 이하의 점에서 유리하다. 제 1 위치에서 헤드부는 피처리 기판을 덮도록 배치되어 있어, 피처리 기판의 주변, 구체적으로는 피처리 기판의 외경측에 위치하는 포커스 링에서도 성막 등이 이루어진다. 여기서, 포커스 링을 교환 가능하게 함으로써 피처리 기판의 외경측에서의 성막의 문제를 해소할 수 있다. 또한 포커스 링의 판 두께를 변경함으로써, 헤드부와 보지대와의 사이의 간극의 간격을 조정 가능해진다.
또한 상기의 실시예에서는, 슬롯 안테나판을 이용한 RLSA에 의한 마이크로파에 의해 플라즈마 처리를 행하는 것으로 하였으나, 이에 한정되지 않으며, 빗형(櫛型)의 안테나부를 가지는 마이크로파 플라즈마 처리 장치를 이용해도 좋다.
또한 상기의 실시예에서는 마이크로파를 플라즈마원으로 하는 플라즈마 처리 장치였으나, 이에 한정되지 않고, ICP(Inductively-coupled Plasma) 또는 ECR(Electron Cyclotron Resoannce) 플라즈마, 평행 평판형 플라즈마 등을 플라즈마원으로 하는 플라즈마 처리 장치 등에 대해서도 적용되며, 플라즈마 생성 수단에 한정되지 않는다.
또한 상기의 실시예에서는, 실리콘 산화막 등의 절연막을 성막하는 경우에 대하여 설명하였으나, 이에 한정되지 않으며, 도전막을 성막하는 경우에 대해서도 적용된다.
이상, 도면을 참조하여 본 발명의 실시예를 설명하였으나, 본 발명은 도시한 실시예에 한정되지 않는다. 도시한 실시예에 대해 본 발명과 동일한 범위 내에서, 혹은 균등한 범위 내에서 다양한 수정 또는 변형을 추가 가능하다.
산업 상의 이용 가능성
본 발명에 따른 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구는, 고품질의 막의 효율적인 제조가 요구되는 경우에 효과적으로 이용된다.
11 : MOS 형 반도체 소자
12 : 실리콘 기판
13 : 소자 분리 영역
14a : p 형 웰
14b : n 형 웰
15a : 고농도 n 형 불순물 확산 영역
15b : 고농도 p 형 불순물 확산 영역
16a : n 형 불순물 확산 영역
16b : p 형 불순물 확산 영역
17 : 게이트 산화막
18 : 게이트 전극
19 : 게이트 측벽부
21 : 절연막
22 : 콘택트홀
23 : 매립 전극
24 : 메탈 배선층
26, 27 : 영역
31, 91, 111, 116, 121, 131, 139, 181a, 181b : 플라즈마 처리 장치
32, 92, 115, 119, 122a, 122b, 132 : 처리 용기
33 : 가스 공급부
34, 97, 105, 124a, 124b, 167a, 167b : 보지대
35 : 마이크로파 발생기
36, 125a, 125b : 유전체창
37 : 슬롯 안테나판
38 : 유전체 부재
39 : 플라즈마 발생 기구
40 : 슬롯홀
41 : 저부
42, 123, 136 : 측벽
43 : 배기홀
44 : 덮개부
45 : O 링
46, 68, 102, 138, 145 : 가스 공급홀
47, 180 : 상면
48, 70, 179 : 하면
49 : 통 형상 지지부
51 : 매칭 기구
52 : 모드 변환기
53 : 도파관
54 : 동축 도파관
61 : 가스 공급 기구
62, 93, 101, 112, 117, 126, 133, 169, 173 : 헤드부
63, 94, 113, 118, 170, 174a, 174b : 지지부
64, 95 : 단부
66 : 원판부
67 : 연장부
69, 137, 143, 155 : 가스 공급로
71 : 수용부
72 : 차폐판
73, 96 : 내벽면
103, 146 : 가스 배기홀
104, 144, 156 : 가스 배기로
114, 135, 171, 175 : 근원부
127 : 개구부
128a, 128b : 셔터
134, 141 : 봉 형상부
142 : 벽부
151 : 가동부
152 : 고정부
153 : 베이스
154 : 회전 중심축
161 : 플라즈마 처리 시스템
162a, 162b, 162c : 로드 포트
163 : 로드 모듈
164a, 164b : 로드록 모듈
165 : 트랜스퍼 모듈
168a, 168b, 168c, 168d, 168e, 168f, 168g, 168h : 영역
172a, 172b, 172c, 177a, 177b, 177c : 핀홀
176 : 재치부
178a, 178b : 핀

Claims (22)

  1. 하방측에 위치하는 저부(底部) 및 상기 저부의 외주(外周)측에서부터 상방측으로 연장되는 측벽을 포함하고, 밀봉 가능하며, 그 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와,
    상기 처리 용기 내에 배치되고 그 위에 상기 피처리 기판을 보지(保持)하는 보지대와,
    상기 처리 용기 내에 플라즈마를 발생시키는 플라즈마 발생 수단과,
    상기 보지대의 상방측이 되는 제 1 위치 및 상기 제 1 위치와 상이한 제 2 위치로 이동 가능하고 가스를 공급 가능한 헤드부를 포함하며, 상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 헤드부와 상기 보지대와의 사이에 형성되는 소용적 영역에서 성막 가스를 공급하여 상기 피처리 기판 상에 성막 가스를 흡착시키는 가스 공급 기구
    를 구비하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 헤드부는 대략 원판 형상의 원판부를 포함하고,
    상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 원판부는 상기 보지대의 상방측을 덮는 플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 헤드부는 상기 처리 용기 내에서 수평 방향으로 연장되는 봉 형상부를 포함하고,
    상기 봉 형상부는 상기 보지대 상에 보지된 상기 피처리 기판 상의 영역을 수평 방향으로 이동 가능한 플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 가스 공급 기구는 상기 헤드부 중 상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 보지대 상에 보지된 상기 피처리 기판과 대향하는 위치에 설치되며, 성막 가스를 공급하는 가스 공급홀을 포함하는 플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 가스 공급 기구는 상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 헤드부와 상기 보지대와의 사이에 형성되는 소용적 영역의 배기를 행하는 배기 기구를 포함하는 플라즈마 처리 장치.
  6. 제 5 항에 있어서,
    상기 배기 기구는 상기 헤드부 중 상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 보지대 상에 보지된 상기 피처리 기판과 대향하는 위치에 설치되며, 상기 보지대와 상기 헤드부와의 사이에 형성되는 소용적 영역의 배기를 행하는 배기홀을 포함하는 플라즈마 처리 장치.
  7. 제 1 항에 있어서,
    상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 헤드부와 상기 보지대와의 사이에 형성되는 소용적 영역의 용적은, 상기 처리 용기의 용적의 50% 이하인 플라즈마 처리 장치.
  8. 제 1 항에 있어서,
    상기 헤드부는 상하 방향 및 수평 방향 중 적어도 어느 일방 방향으로 이동 가능한 플라즈마 처리 장치.
  9. 제 1 항에 있어서,
    상기 가스 공급 기구는, 상기 측벽측에서부터 연장되며 내방측 부분이 상기 헤드부에 연결되어 상기 헤드부를 지지하는 지지부를 포함하는 플라즈마 처리 장치.
  10. 제 9 항에 있어서,
    상기 헤드부는 상기 지지부의 외방측 단부(端部)를 중심으로 회전 가능한 플라즈마 처리 장치.
  11. 제 9 항에 있어서,
    상기 헤드부 및 상기 지지부의 온도를 조정 가능한 온도 조정 기구를 포함하는 플라즈마 처리 장치.
  12. 제 5 항에 있어서,
    상기 가스 공급 기구는, 상기 측벽측에서부터 연장되며 내방측 부분이 상기 헤드부에 연결되어 상기 헤드부를 지지하는 지지부를 포함하고,
    상기 배기 기구는, 상기 지지부의 내부에, 배기된 배기 가스의 통로가 되는 배기로를 포함하고,
    상기 가스 공급 기구는, 상기 지지부의 내부에, 공급하는 가스의 통로가 되는 가스 공급로를 포함하고,
    상기 가스 공급로는 상기 가스 배기로의 내측이 되도록 다중으로 형성되어 있는 플라즈마 처리 장치.
  13. 제 1 항에 있어서,
    상기 보지대 상으로의 상기 피처리 기판의 지지 및 상기 보지대 상에 지지된 상기 피처리 기판의 분리 중 적어도 어느 일방이 가능한 피처리 기판 이동 기구를 구비하는 플라즈마 처리 장치.
  14. 제 1 항에 있어서,
    상기 처리 용기에는, 상기 측벽의 일부가 외방측으로 연장되도록 하여 형성되어 있으며 상기 헤드부를 수용 가능한 수용부가 형성되어 있는 플라즈마 처리 장치.
  15. 제 14 항에 있어서,
    상기 수용부 내의 영역과 상기 수용부 외의 영역을 차단 가능한 차단 기구를 구비하는 플라즈마 처리 장치.
  16. 제 15 항에 있어서,
    상기 차단 기구는 상기 측벽의 내방측의 벽면을 따라 이동 가능한 차폐판(遮蔽板)을 포함하는 플라즈마 처리 장치.
  17. 제 1 항에 있어서,
    상기 처리 용기는 제 1 처리 용기와 상기 제 1 처리 용기와는 상이한 제 2 처리 용기를 구비하고,
    상기 헤드부는 상기 제 1 처리 용기와 제 2 처리 용기와의 사이를 이동 가능한 플라즈마 처리 장치.
  18. 제 1 항에 있어서,
    상기 보지대는 상하 방향 및 수평 방향 중 적어도 어느 일방 방향으로 이동 가능한 플라즈마 처리 장치.
  19. 제 1 항에 있어서,
    상기 플라즈마 발생 수단은, 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기와, 상기 보지대와 대향하는 위치에 설치되어 마이크로파를 상기 처리 용기 내로 도입하는 유전체창을 포함하는 플라즈마 처리 장치.
  20. 제 19 항에 있어서,
    상기 플라즈마 발생 수단은, 복수의 슬롯홀이 형성되어 있으며 상기 유전체창의 상방측에 배치되어 마이크로파를 상기 유전체창으로 방사하는 슬롯 안테나판을 포함하는 플라즈마 처리 장치.
  21. 하방측에 위치하는 저부 및 상기 저부의 외주측에서부터 상방측으로 연장되는 측벽을 포함하고, 밀봉 가능하며, 그 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와, 상기 처리 용기 내에 배치되고 그 위에 상기 피처리 기판을 보지하는 보지대와, 상기 처리 용기 내에 플라즈마를 발생시키는 플라즈마 발생 수단을 구비하는 플라즈마 처리 장치에 구비되며,
    상기 보지대의 상방측이 되는 제 1 위치 및 상기 제 1 위치와 상이한 제 2 위치로 이동 가능하고 가스를 공급 가능한 헤드부를 포함하며, 상기 헤드부가 상기 제 1 위치에 배치되었을 때에 상기 헤드부와 상기 보지대와의 사이에 형성되는 소용적 영역에서 성막 가스를 공급하여 상기 피처리 기판 상에 성막 가스를 흡착시키는 플라즈마 처리 장치용 가스 공급 기구.
  22. 제 21 항에 있어서,
    상기 제 1 위치에 배치되었을 때에 상기 보지대 상에 보지된 피처리 기판과 대향하는 위치에, 상기 보지대와 상기 헤드부와의 사이에 형성되는 소용적 영역의 배기를 행하는 배기홀을 포함하는 플라즈마 처리 장치용 가스 공급 기구.













KR1020127006967A 2009-09-17 2010-09-14 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구 KR20120063484A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009215611 2009-09-17
JPJP-P-2009-215611 2009-09-17

Publications (1)

Publication Number Publication Date
KR20120063484A true KR20120063484A (ko) 2012-06-15

Family

ID=43758660

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127006967A KR20120063484A (ko) 2009-09-17 2010-09-14 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구

Country Status (6)

Country Link
US (1) US8967082B2 (ko)
JP (1) JP5454575B2 (ko)
KR (1) KR20120063484A (ko)
CN (1) CN102239544A (ko)
TW (1) TW201142944A (ko)
WO (1) WO2011034057A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160065359A (ko) * 2014-11-28 2016-06-09 피에스케이 주식회사 기판 처리 장치 및 방법

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9869021B2 (en) * 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9034774B2 (en) * 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
JP5998654B2 (ja) * 2012-05-31 2016-09-28 東京エレクトロン株式会社 真空処理装置、真空処理方法及び記憶媒体
US10486183B2 (en) * 2012-07-27 2019-11-26 Applied Materials, Inc. Methods and apparatus for delivering process gases to a substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2775528B1 (en) * 2013-03-05 2019-07-17 IMEC vzw Passivated III-V or Ge fin-shaped field effect transistor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225837B2 (ja) * 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
TWI609988B (zh) * 2016-07-21 2018-01-01 台灣積體電路製造股份有限公司 製程設備及化學氣相沉積製程
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10240234B2 (en) * 2017-02-22 2019-03-26 Applied Materials, Inc. Gas distribution apparatus for processing chambers
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109778147B (zh) * 2017-11-14 2021-05-07 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
KR102371334B1 (ko) 2017-12-27 2022-03-04 캐논 아네르바 가부시키가이샤 성막 방법 및 성막 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
JP2022039820A (ja) * 2020-08-28 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115491662B (zh) * 2022-09-29 2023-11-17 西实显示高新材料(沈阳)有限公司 Icp设备

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0170391B1 (ko) * 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP3084834B2 (ja) * 1991-09-26 2000-09-04 三菱電機株式会社 半導体デバイスの製造方法
JPH08260154A (ja) * 1995-03-20 1996-10-08 Toshiba Mach Co Ltd 誘導結合プラズマcvd装置
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
AT408930B (de) * 1999-01-13 2002-04-25 Thallner Erich Vorrichtung zur chemischen behandlung von wafern
JP2001279450A (ja) * 2000-03-31 2001-10-10 Hitachi Kokusai Electric Inc 基板処理装置
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP4190422B2 (ja) * 2002-01-24 2008-12-03 住友精密工業株式会社 オゾン処理装置
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
US20080017613A1 (en) * 2004-07-09 2008-01-24 Sekisui Chemical Co., Ltd. Method for processing outer periphery of substrate and apparatus thereof
JP2006216710A (ja) * 2005-02-02 2006-08-17 Hitachi High-Technologies Corp 半導体製造装置
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
CN101523576B (zh) * 2006-09-29 2012-10-03 东京毅力科创株式会社 等离子体氧化处理方法
KR100842745B1 (ko) * 2006-11-30 2008-07-01 주식회사 하이닉스반도체 스캔 인젝터를 가지는 플라즈마 공정 장비 및 공정 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160065359A (ko) * 2014-11-28 2016-06-09 피에스케이 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
WO2011034057A1 (ja) 2011-03-24
JP5454575B2 (ja) 2014-03-26
US20120186521A1 (en) 2012-07-26
JPWO2011034057A1 (ja) 2013-02-14
TW201142944A (en) 2011-12-01
US8967082B2 (en) 2015-03-03
CN102239544A (zh) 2011-11-09

Similar Documents

Publication Publication Date Title
KR20120063484A (ko) 플라즈마 처리 장치 및 플라즈마 처리 장치용 가스 공급 기구
JP2012195513A (ja) プラズマ処理装置
TWI508181B (zh) 高遷移率單塊p-i-n二極體
JP6134191B2 (ja) 回転型セミバッチald装置
US8197636B2 (en) Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR101572309B1 (ko) 기판 처리 장치
KR101502205B1 (ko) 성막 장치 및 성막 방법
KR101445562B1 (ko) 종형 열처리 장치
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
KR101752075B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN112640041A (zh) 从室清除SnO2膜的方法
JP2014201804A5 (ko)
KR20060131681A (ko) 기판 처리 방법, 컴퓨터 판독 가능한 기록 매체 및 기판처리 장치
KR20010090427A (ko) 성막방법 및 성막장치
KR20070011070A (ko) 하이브리드형 pvd-cvd 시스템
JP2009038155A (ja) プラズマ処理装置
WO2020106386A1 (en) A cluster processing system for forming a transition metal material
JP2006049544A (ja) 基板処理装置及びこれを用いた基板処理方法
JP2023551182A (ja) 堆積残留物制御のためのシステム及び方法
JP2009059900A (ja) 基板処理装置
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
JP2023546699A (ja) 処理チャンバ堆積閉じ込め
TWI776396B (zh) 用於沉積低介電常數介電膜的系統及方法
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
WO2022076299A1 (en) Bevel backside deposition elimination

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application