CN1919768B - 可主动冷却的基板支撑件 - Google Patents

可主动冷却的基板支撑件 Download PDF

Info

Publication number
CN1919768B
CN1919768B CN2006101215010A CN200610121501A CN1919768B CN 1919768 B CN1919768 B CN 1919768B CN 2006101215010 A CN2006101215010 A CN 2006101215010A CN 200610121501 A CN200610121501 A CN 200610121501A CN 1919768 B CN1919768 B CN 1919768B
Authority
CN
China
Prior art keywords
substrate
substrate support
cooling
temperature
thermal conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006101215010A
Other languages
English (en)
Other versions
CN1919768A (zh
Inventor
S·里昂
S·H·赵
W·A·巴格雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1919768A publication Critical patent/CN1919768A/zh
Application granted granted Critical
Publication of CN1919768B publication Critical patent/CN1919768B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Abstract

本发明提供一种用于控制制程腔中基板温度的基板支撑组件以及方法,而使基板具有温度均一性为+/-5℃。基板支撑组件包括:包含有铝材料的热导体;位于热导体的表面、且将大面积玻璃基板支撑于其上方的基板支撑表面;嵌设于热导体内的一个或多个加热组件;以及嵌设于热导体内并位于一个或多个加热组件周围的一个或多个冷却通道。另外亦提供包括本发明的基板支撑组件的一制程腔。

Description

可主动冷却的基板支撑件
技术领域
本发明的实施例是提供一种平板(flat panel)的基板制程所使用的基板支撑件。
现有技术
液晶显示器(LCD)或平板显示器(FPD)通常用于有源矩阵(activematrix)显示器(如计算机以及电视屏幕)、个人数字助理(PDA)、移动电话,以及太阳能电池等。一般来说,平板显示器包括两块玻璃板,并在两者之间夹设一液晶材料层;至少一块玻璃板包括设置在所述玻璃板上的至少一个导电膜,且导电膜连接至一电源。由电源提供给导电膜的电力改变了晶体材料的方位,因而在平板显示器上产生一图形(如文字或图样)。平板制程中所使用的基板为大尺寸,通常超过300mm×400mm,并期望表面积能够超过4平方公尺。因此,大面积基板进行加工所使用的基板支撑件的尺寸也必须够大而能符合基板的大表面积。
等离子体增强化学气相沉积(PECVD)经常应用于平板显示器的制程当中而在基板上沉积一薄膜。PECVD的实施通常藉由将一前导气体导入真空制程腔中,并使其激发为等离子体。图1为一CVD制程腔2的剖面示意图,所述CVD制程腔2包括设置于内并用于支撑基板12(未按比例)的支撑板18以及基座22。反应性前导气体通过接近制程腔2顶端的气体入口14流入扩散板16中,并被激发而在基板12表面形成材料层,其中基板12被置放于可控温的基座(或基板支撑件)22上。设置于侧壁8上的开口10则供机械手臂(图中未示出)运送基板12进出制程腔2。支撑板18连结至支撑轴20以支撑基座22,支撑板18通常由陶瓷材料的单一矩形板制成,如:氧化铝,且支撑板18的大小几乎涵盖整个基座22范围。CVD制程腔2的基座22以往由铝的单一矩形板制成,并通常由嵌设于内且具有热电偶的加热器(图中未示出)进行加热,而其能量由电源24提供。加热器亦可设置于基座22的背面,或藉由一夹板而夹设在基座22上。
一般来说,制程腔2的基板支撑件可从室温加热至低于500℃的高温,而基座22会因为缺乏足够的支撑力而偏斜及下垂。支撑板18的陶瓷材料则用以支撑由柔软的铝所制成的基座22。然而,陶瓷为较不良的热导体,因此在接触加热基座22的支撑板18上表面以及支撑板18的较低温下表面之间出现温度梯度,因而造成支撑板18的外周围往下偏斜。由基座22所支撑的基板12倾向于与基座22相符,因此基板12亦偏斜。结果,基板12与扩散板16之间的垂直距离,与基板12的中央部位和扩散板16之间的距离34不一定相同,而源自大程度偏斜的较大距离36是位于靠近基板12的周围处。垂直距离的差异(亦即基板偏斜的距离)大幅降低沉积于大面积基板上的沉积薄膜的均一性。
另外,在PECVD腔室中点燃等离子体之后,源自等离子体的能量亦会产生热,并直接加诸于基板12以及基板支撑件(如:基座22)。因此,对于置放在基座22上方的加工中基板12则会出现短暂升温或高温(如:升高约30~50℃,或自150℃升高约20%~30%的温度)。如此激烈的温度变化必须加以控制以维持加工中的基板12的恒温状态,另外,在制程进行之后,以及远距等离子体清洗、射频辅助冷却和/或腔室零件清洗与维护期间,还需要冷却制程腔2的基座22。然而,大多数的PECVD腔室在基座22中并无任何冷却设计(即:自行缓慢冷却至室温),或者仅利用围绕在基板12背面(而非在基座22内)的冷却机构。上述现有技术的设计显示出维持大面积基板的恒温制程所具有的困难,且通常会造成基板12的大表面积上局部的温度差异。因此,明显可见多处具有较薄的薄膜厚度,使得薄膜厚度出现差异,而此结果并不利于下一代的平板或太阳能电池装置的发展。
因此,需要一种改良的方法与装置来将基板支撑件的温度控制在恒定的温度范围内。
发明内容
本发明提供制程腔、基板支撑组件以及用于控制制程腔中基板温度的方法的实施例。在本发明的一实施例中,基板支撑组件适于在制程腔中支撑大面积基板,所述基板支撑组件包括:热导体;位于热导体表面上的基板支撑表面,适于将大面积基板支撑于所述基板支撑表面上;嵌设于热导体内的一个或多个加热组件;以及嵌设于热导体内且位于一个或多个加热组件上方的一个或多个冷却通道。
在另一实施例中,基板支撑组件可包括一个或多个冷却通道,所述一个或多个冷却通道嵌设于热导体内,并以螺旋或漩涡状配置环绕一个或多个加热组件的周围位置。在又一实施例中,一个或多个冷却通道包括流入回路(in-flow loops)及流出回路(out-flow loops)。流入回路和/或流出回路亦可各自在热导体中为螺旋状配置。在再一实施例中,相邻的冷却通道包括以相反的流入及流出方向流动的冷却流体。
另外,制程腔包括腔室主体、气体分配板组件以及用以支撑大面积基板的基板支撑组件。基板支撑组件包括:热导体;位于热导体表面上的基板支撑表面,适于将大面积基板支撑于所述基板支撑表面上;嵌设于热导体内的一个或多个加热组件;以及嵌设于热导体内,且位于一个或多个加热组件上方,并以螺旋或漩涡状配置位于一个或多个加热组件周围的一个或多个冷却通道。
在另一实施例中,用于维持制程腔中大面积基板的温度的方法包括:将大面积基板置放于制程腔中基板支撑组件的基板支撑表面上方;将冷却材料以恒定流速流入一个或多个冷却通道;以及藉由调整一个或多个加热组件的加热功率,使大面积基板维持在恒定温度。
附图说明
本发明上方所详述的特征皆可详细地被了解,而有关于本发明更进一步的描述可参阅实施例,并摘录于上方的发明内容中,而部分特征亦绘示于附图当中。然而,值得注意的是,附图仅绘示本发明的一般实施例,但并非限制本发明的技术范畴,其它等效的实施例亦应属于本发明。为了协助了解,尽可能使用相同的组件标号来表示图标中相同的组件。
图1绘示CVD制程腔中基板支撑件的剖面示意图。
图2绘示本发明的包括基板支撑组件的制程腔的一实施例的剖面示意图。
图3A是根据本发明一实施例中基板支撑件的导体的平面视图。
图3B是根据本发明另一实施例中基板支撑件的导体的平面视图。
图4A是根据本发明一实施例中基板支撑件的导体的平面视图。
图4B是根据本发明另一实施例中基板支撑件的导体的平面视图。
图4C是根据本发明的又一实施例中基板支撑件的导体的平面视图。
图5是根据本发明的又一实施例中基板支撑件的导体的剖面图。
图6是绘示用于控制制程腔中基板的温度的方法的一实施例的流程图。
主要组件符号说明
2   (CVD)制程腔            8   侧壁
10  开口                  12   基板
14  气体入口              16   扩散板
18  支撑板                20   支撑轴
22  基座(或基板支撑件)    24   电源
34  距离                  36   较大距离
100 制程腔                102  腔室主体
104 供应源(或气源)        106  侧壁
108 底部                  110  上盖组件
112 基板                  114  充气部
116 穿孔区                118  气体分配板组件
122 电源                  124  导体
132 加热组件              134  基板支撑表面
136 冷却通道              138  基板支撑组件
140 制程空间              142  轴
146 波纹管                148  遮蔽边框
158 扩散板                160  吊板
162 气体通路          174 电源
180 进入口            182 清洗源
190 控制器            192 储存器
194 中央处理器(CPU)   196 辅助电路
202 定位销            204 定位销孔洞
222 基板支撑销        224 基板支撑销孔洞
234 销支撑板          400A、400B 流动方向
436 A内部冷却回路     436B 外部冷却回路
510 线圈              520 绝缘材料
600 方法
具体实施方式
本发明针对大面积基板提供基板支撑组件以及用以控制制程腔中基板温度的方法。图2是根据本发明一实施例而绘示的范例制程腔100。而在下方所描述的本发明涉及大面积基板而进行加工的等离子体增强化学气相沉积(PECVD)制程腔,如:购自AKT公司(美国加州圣克拉拉的应用材料公司--Applied Materials,Inc.的分公司)的制程腔。然而,应了解本发明亦对于其它欲控制制程腔中基板支撑件上方的基板温度的系统配置亦具有效用,如:物理气相沉积系统、离子植入系统、蚀刻系统、化学气相沉积系统以及其它系统。
制程腔100包括腔室主体102,所述腔室主体102具有侧壁106以及底部108而部分定义出制程空间140,制程空间140通常通过接口及阀门(图中未示出)以协助基板112(如:大面积玻璃基板)进出制程腔100。侧壁106支撑上盖组件110,所述上盖组件110内含充气部114而将制程空间140与排气孔(包括多种抽气组件,图中未示)结合,以将气体以及制程副产物排放至制程腔100外。制程腔100通常与一个或多个供应源104连接,以提供一个或多个来源成分和/或前导物,例如:含硅化合物供应源、含氧化合物供应源、含氢气体供应源、含碳化合物供应源等之一或其混合物。
基板支撑组件138通常位于腔室主体102的底部。基板支撑件138通常接地,藉此由电源122所提供并施加至位于上盖组件110与基板支撑组件138之间的气体分配板组件118(或在制程腔100的上盖组件110内或附近所设置的其它电极)的RF电力则可激发位于基板支撑组件138以及气体分配板组件118之间的制程空间140内的气体、来源化合物和/或前导物。电源122所提供的RF电力通常与基板112的尺寸相称,以驱动化学气相沉积制程进行。在一实施例中,电源122所提供的RF电力约为大于或等于400瓦特,如介于2000~4000瓦特之间,或介于10000~20000瓦特之间,而可于制程空间140中产生电场。举例来说,大于或等于0.2瓦特/平方厘米的电力密度,如介于0.2~0.8瓦特/平方厘米之间,或约0.45瓦特/平方厘米,而可适用于本发明的低温基板沉积方法。电源122以及匹配电路(图中未示出)会在制程空间140中从源自前导气体的制程气体转变为等离子体并维持。较佳地可采用13.56兆赫(MHz)的高频RF电力,但此并非为必要,亦可采用较低频率的RF电力。另外,腔室的壁面可藉由覆盖陶瓷材料或电镀铝材料来保护。
一般来说,基板支撑组件138与轴142相连,并连接至升降系统(图中未示出),用以将基板支撑组件138在上升的制程进行位置(图中未示出)以及降低的基板转移位置之间移动。轴142亦可同时提供基板支撑组件138与制程腔100中其它组件之间的电线以及热电偶线的导线管。波纹管146连接至基板支撑组件138,用以提供制程空间140与制程腔100外侧大气之间的真空密封,并协助基板支撑组件138的垂直移动。基板支撑组件138的升降系统通常被调整成使基板112与气体分配板组件118之间的间距在制程期间为最适,比如:大于或等于400密耳(mil;千分之一英寸)。藉由上述可调整间距的功能,在多种沉积条件下使得制程皆可被最适化,进而维持在大面积基板上形成的薄膜所需的均一性。
基板支撑组件138包括导体124,而导体124具有基板支撑表面134,用以于基板112在制程空间140进行制程时,将基板112支撑于基板支撑表面134上方。导体124可由金属或金属合金制成以提供热传导性。在一实施例中,导体124由铝材料制成。然而,亦可使用其它适合的材料。基板支撑组件138亦支撑遮蔽边框148,而遮蔽边框148在基板112进行制程期间,针对设置于基板支撑表面134上方的基板112周围而划定界线。
一般来说,遮蔽边框148防止在基板112以及基板支撑组件138的边缘产生沉积,以使基板112不致于黏附至基板支撑组件138。当基板支撑组件138位于下方的非制程进行位置(图中未示出)时,遮蔽边框148通常位于沿着腔室主体102的内壁处;而当基板支撑组件138位于上方的制程进行位置时,如图2所示,遮蔽边框148则可连接并定位至基板支撑组件148的导体124上,而其是藉由遮蔽边框148上所设置的一个或多个定位沟槽与一个或多个定位销202相互配接而达成。一个或多个定位销202是穿过位于导体124上且接近其周围的一个或多个定位销孔洞204(参见图3B)。一个或多个定位销202亦可选择性地由销支撑板234所支撑,则定位销202于基板112装载或卸除期间,可以随着导体124而上下移动。
可温控的基板支撑组件138包括与电源174相连接的一个或多个电极和/或加热组件132,用以控制性地加热基板支撑组件138以及置放于其上的基板112至预定的温度范围,例如:设定温度为大于或等于100℃。在一实施例中,一个或多个加热组件132被嵌设于导体124内。
图3A~图3B是根据本发明的一个或多个方面的在导体124内设置的一个或多个加热组件132的平面图。举例来说,如图3A所示,加热组件132是通过轴142而进入导体124,沿着导体124的中心部位环绕形成一个或多个内部回路,接着再沿着导体124的周围环绕而形成一个或多个外部回路,再经由轴142而离开导体124,以使一个或多个加热组件132嵌设并遍及于整个导体124内。
另外,亦可在基板支撑组件138中采用一个或多个热电偶(图中未示出)。在一实施例中,使用二个热电偶,分别用于导体124的内部区域以及外周围区。然而,亦可使用其它的加热管线或管路配置。举例来说,一个或多个加热组件132亦可设置于导体124的背面,或藉由一夹板夹设在导体124上。一个或多个加热组件132可以藉由电阻式加热或其它加热方法加热至约大于或等于100℃的既定温度。
基板支撑组件138亦可包括其它额外的机构而用以定位基板112。举例来说,导体124可包括一个或多个基板支撑销孔洞224(参见图3B)以供多个基板支撑销222(参见图2)穿过,并适于将基板222支撑在导体124上方并相隔一短距离。基板支撑销222可设置于接近基板112的周围,而利于传输机械手臂或设置于制程腔100外侧且不干扰传输机械手臂运作的其它传输机构进行置放或移走基板112的动作。在一实施例中,基板支撑销222由绝缘材质(如:陶瓷材料、电镀氧化铝材料等)制成,而在基板112进行制程期间提供电绝缘,且仍具有热传导性。基板支撑销222可选择性地由销支撑板234所支撑,从而在装载或卸除基板112期间,基板支撑销222可在基板支撑组件135内移动而升举基板112。另外,基板支撑销333亦可固定于腔室底部,则导体124可垂直移动以供基板支撑销222通过。
在另一实施例中,当基板112置放于导体124的基板支撑表面134上时,加热组件132的至少一外部回路则定位于基板122的外周围。举例来说,当导体124的尺寸大于基板112的尺寸时,则加热组件132的至少一外部回路设置于包围基板112的外周围位置,而不会干扰到导体124上一个或多个销孔洞的设置位置,例如:基板支撑销孔洞224或定位销孔洞204。
如图3B所示,本发明一实施例提供加热组件132的至少一外部回路设置于围绕基板112的外边缘处,较佳的是,加热组件132的至少一外部回路是围绕于一个或多个基板支撑销孔洞224外,并且远离导体124的中央处而不干扰一个或多个基板支撑销孔洞224的设置位置,因此,基板支撑销孔洞222的定位则是用以支撑基板112的边缘。另外,本发明的另一实施例是提供加热组件132的至少一外部回路设置于一个或多个基板支撑销孔洞224以及导体124的外边缘之间,藉此提供针对基板112的边缘及周围加热的情形。
根据本发明的一个或多个方面,基板支撑组件138还包括嵌设于导体124内部的一个或多个冷却通道136。所述一个或多个冷却通道136被配置成维持温度控制并补偿在基板112进行制程期间可能发生的温度变化情形,如:RF等离子体在制程腔100中产生时所引起的升温或高温情形。冷却通道136的直径并无限制,且可以为任何适合的直径,例如介于1~15mm之间,如:9mm。另外,冷却通道136可由金属或金属合金制成而提供热传导性。在一实施例中,冷却通道136由不锈钢金属材料制成,然而,亦可采用其它适合的材料或配置方式。
冷却通道136内流动冷却流体,如:气体材料、水、冷却剂以及其它适合的冷却气体或液体材料,而较佳是使用气体材料。适合的气体材料包括:洁净干燥空气、压缩空气、过滤空气、氮气、氢气、惰性气体(如:氩气、氦气等)以及其它气体。在一个或多个冷却通道136中流入气体材料相较于流入冷却水更为有利,虽然冷却水亦可提供效用,但是气体材料可以提供较广温度范围的冷却能力,且不会出现水分渗漏的情形而影响制程基板上沉积薄膜的品质以及腔室中的组件。举例来说,将约10~25℃的气体材料流入一个或多个冷却通道136中,以提供介于室温到高达200℃或以上的温度的冷却控制,反之,冷却水通常在20~100℃下进行操作。
另外,流入冷却通道136的冷却流体可维持在一控制流速下,进而控制基板112于加热组件132加热而进行制程之时,以及/或腔室闲置之时的冷却效率。举例来说,具有9mm的直径,且压力介于25~100psi(如50psi)的范例冷却通道136可用于流入气体冷却材料。因此,利用本发明的具有加热组件132以及冷却通道136的基板支撑组件138,基板112的温度可保持恒定,且可维持基板112的整个大表面积上均匀的温度分布。
图4A~图4C绘示设置于基板支撑组件138的导体124内的加热组件132以及冷却通道136的范例配置情形。如图4A所示,冷却流体通过一个或多个流入(in-flow)的冷却通道流至导体124内,以流动方向400A由中心轴往导体124流动。其中一个或多个流入冷却通道以螺旋配置或漩涡形状朝导体124的周围方向往外延伸。
接着,如图4A所示,冷却流体由流入冷却通道而流往一个或多个流出(out-flow)的冷却通道,以流动方向400B往中心轴流动。其中一个或多个流出冷却通道亦以螺旋状配置往导体热导体124外延伸。总而言之,在一实施例中,冷却通道136为双螺旋配置,分别为螺旋状的流入以及流出冷却通道,而流动方向为如图所示的400A与400B。另外,如图4A所示,冷却通道136的相邻回路或通道中的冷却流体以相反方向流动,如图所示的流动方向400A与400B。
图4B绘示本发明的冷却通道136与加热组件132的另一范例。冷却通道136是通过轴142而进入导体124内,并形成一个或多个内部冷却回路436A,而内部冷却回路436A则环绕加热组件132的一个或多个内部回路;冷却通道136亦形成一个或多个外部冷却回路436B,并在导体124近外周围处环绕加热组件132的一个或多个外部回路。接着,冷却通道136再通过轴142而离开导体124。在一实施例中,冷却通道136的内部冷却回路436A与外部冷却回路436B呈螺旋、漩涡状配置。举例来说,内部冷却回路436A与外部冷却回路436B是由导体124的中央部位朝导体124的周围往外环绕,并接着再朝基板支撑组件138的轴142的中央部位往内环绕。在另一实施例中,冷却通道136的内部冷却回路436A和外部冷却回路436B与供基板支撑销222穿过的一个或多个基板支撑销孔洞224相隔一距离,而不干扰导体124上一个或多个基板支撑销孔洞224的设置位置。
图4C是根据本发明一个或多个方面所绘示的另一范例的冷却通道136配置情形。一个或多个冷却通道136可被设置成螺旋内环绕的C型配置,流动方向如图4C的箭头所示。因此,冷却通道136可以分布并遍及导体124的整个范围。
图5是根据本发明的一个或多个方面的沿着A-A断面的剖面图。如图5所示,本发明一实施例是提供冷却通道136设置于加热组件132的上方,而在基板支撑组件138的导体124内提供足够的温度控制。加热组件132包括内部的一线圈510,以及一些额外的绝缘材料520。另外,一个或多个加热组件132以及一个或多个冷却通道136的回路、管路、或通道可被制造并结合至基板支撑组件138的导体124内,而结合的方法包括多种已知的结合技术,如:焊接、喷砂、高压结合、黏合、锻造等。
在一实施例中,冷却通道136的回路、管路、或通道被设置于加热组件132的通道和/或回路的周围,因此,冷却通道136可分布遍及导体124的整个范围。举例来说,如图5所示,至少两个或更多个冷却通道136的回路被嵌设于加热组件132的通道上方。较佳地,加热组件132的通道上方的至少两个或更多个冷却通道136的回路包括有气体材料流动于内,而其流动方向为相反的流动方向400A和400B。
因此,一个或多个加热组件132以及一个或多个冷却通道136设置于基板支撑组件138内,用以将基板112维持在小于或等于400℃的均一温度下,如:介于100~200℃之间。加热组件132的加热效率可藉由电源174来调控,而冷却通道136的冷却效率则藉由流入冷却通道136的气体材料的流速来调控,亦即为双向加热-冷却的温度控制机制。
因此,基板支撑组件138以及置放于所述基板支撑组件138上的基板112皆可控制地且恒定地维持在一既定的设定温度。利用本发明的基板支撑组件138,导体124上可观测出温度的均一性,约为设定温度的+/-5℃或更小的偏差。即使在多个基板112已在制程腔100中进行制程处理,仍可观察到设定点温度的重复性约小于或等于+/-2℃。在一实施例中,基板112的温度维持恒定,具有约+/-10℃的标准温度偏差,比如:+/-5℃的温度偏差。
另外,基部支撑板可置放于导体124的下方,以提供对基板支撑组件138及置放于基板支撑组件138上的基板112一个结构性的支撑,以防止该些组件因为重力或高温而偏斜,并确保导体124与基板112之间相对较均一且可重复的接触。因此,本发明的基板支撑组件138的导体124提供简单设计,是具有加热与冷却的能力而控制大面积基板112的温度,而不需使用静电吸座(electrostatic chuck),因为提供任何压力、气体或是流体至基板之后方而真空吸附大面积玻璃基板的设计容易导致玻璃损坏。
再参照图2,上盖组件110通常包括一进入口180,供应源104所提供的制程气体通过进入口180导入制程腔100中。进入口180亦连接至清洗源182以提供一清洗剂(如:分离氟;diassociated fluorine)进入制程腔100中,以从制程腔100的硬件上(包括:气体分配板组件118)移除沉积的副产物或薄膜。
气体分配板组件118通常配置而实质符合基板112的轮廓,举例来说,针对大面积基板则为矩形,而针对晶圆则为圆形。气体分配板组件118包括穿孔区116,由供应源104所提供之前导气体或其它气体(如:氢气)则通过穿孔区116而运送至制程空间140中。穿孔区116提供通过气体分配板组件118而至制程空间140的气体均匀的散布。气体分配板组件118通常包括悬挂在吊板160上的扩散板158。多个气体通路162穿过扩散板158形成,从而允许气体以预定的分布状态而通过气体分配板组件118并进入制程空间140中。
本发明还包括控制器190而与制程腔100中的多个组件连接并控制的。控制器190通常包括中央处理器(CPU)194、辅助电路196以及储存器192;其中CPU 194可以为任何形式的计算机处理器,而可用于工业上的设定,以控制多个腔室、装置以及腔室接口设备;与CPU 194连接的储存器192、任何软件或任何计算机可读介质可以为一个或多个随手可得的储存装置,如:随机存取内存(random access memory;RAM)、只读存储器(read only memory)、硬盘、光盘(CD)、软盘或是其它形式的数字储存器,而可于本地或远控式进行记忆储存。辅助电路196结合至CPU 194而以常规的方式辅助CPU 194,这些电路包括:高速缓存(cache)、电源、时钟电路(clock circuit)、输入/输出电路、子系统等。
在一实施例中,本发明的制程腔100中的基板支撑组件138是适用于加工矩形基板,平板显示器的矩形基板的表面积通常为大尺寸,例如约300mm×400mm或更大的矩形,如:370mm×470mm或更大。腔室主体102、导体124以及制程腔100中的相关组件的尺寸并不受限制,且通常相称并大于制程腔100中进行制程的基板112的尺寸。举例来说,当进行制程的大面积基板112具有约370~2160mm的宽度,及约470~2460mm的长度,则导体124具有约430~2300mm的宽度,以及约520~2600mm的长度,而腔室主体102具有570~2360mm的宽度,以及570~2660mm的长度。
应用于平板显示器时,基板112可包括在可见光光谱为实质光穿透的材料,例如:玻璃或透明塑料。举例来说,应用于薄膜晶体管时,基板112可为一大面积玻璃基板而具有高度光穿透性,然而,本发明可等同地应用于任何型态以及尺寸的基板制程,本发明的基板可以为圆形、方形、矩形或是多角形,以供平板显示器的制作。另外,本发明提供的基板可用以制造任何装置,如:平板显示器(FPD)、可挠式显示器、有机发光二极管(OLED)显示器、可挠式有机发光二极管(FOLED)显示器、高分子发光二极管(PLED)显示器、液晶显示器(LCD)、有机薄膜晶体管、有源矩阵(active matrix)、无源矩阵(passive matrix)、顶发射型(top emission)装置、底发射型(bottom emission)装置、太阳能电池、太阳能板等;而本发明亦可用于硅晶圆、玻璃基板、金属基板、塑料薄膜(如:聚对苯二甲酸乙二醇酯(PET)、聚萘二甲酸乙二醇酯(PEN)等)、塑料环氧化物膜等。本发明特别适用于低温PECVD制程,诸如该些用于制造可挠式显示器装置,且于基板制程当中需要温度冷却控制的技术者。
图6是为一用以控制制程腔中基板温度的范例方法600的流程图。在操作过程中,基板置放于制程腔中基板支撑组件的基板支撑表面上方(步骤610);在基板进行制程期间或之前,基板支撑组件的导体顶端的基板支撑表面温度维持在一设定温度,如:介于100~200℃之间。在步骤620,冷却气体或空气以恒定流速流入嵌设于基板支撑组件的导体内的一个或多个冷却通道。
在一实施例中,冷却气体流入冷却通道的时机为腔室闲置时间、非制程时间或腔室清洗/维护时间。因此,本发明的冷却通道持续作用。在另一实施例中,腔室闲置时间所采用的设定温度与基板制程进行时所设定的制程温度相同。
在步骤630,藉由调整嵌设于基板支撑组件的导体中的一个或多个加热组件所具有的加热效率,使基板在基板制程期间维持恒定温度。举例来说,加热组件的效率可藉由调整连接至加热组件的电源的功率来调整。在一实施例中,不论等离子体是否导入腔室中或等离子体能量所产生的额外热能是否已施加至基板上,针对提供给加热组件的电源功率作微调(fine-tuning),遍及基板表面积的温度可维持在恒定制程温度100~200℃下,藉以防止基板表面任何的升温或是高温现象。因此,相对于针对加热以及冷却效率的两个或更多个较复杂的控制回路,本实施例的控制器的软件设计仅需一个控制回路,用以调控加热效率。据上所述,本发明的方法600藉由控制基板支撑组件的加热效率,而提供一种简单且可靠的温控机制。然而,基板支撑组件138的加热/冷却效率皆可被调控。
在操作中,基板支撑组件的一个或多个加热组件可被设定为150℃的设定温度,而洁净干燥空气或压缩空气的气体冷却材料具有约16℃的温度,并以恒定流速流入冷却通道,从而维持基板支撑组件的基板支撑表面的温度。当腔室中接近基板支撑表面上方出现等离子体或额外的热源时,则采用压力约50psi且恒定流速的冷却材料可将基板支撑表面的温度恒定维持在约150℃,而表面温度的均一性为+/-2℃。经由测试之后,额外出现的热源即使为约300℃,仍不会影响基板支撑表面的温度,从而本发明利用冷却通道中流入具有约16℃输入温度的冷却流体,基板支撑表面经由测试之后可恒定维持在约150℃。而经过冷却后且流出基板支撑组件的冷却气体经由测试后,发现具有约120℃的输出温度。因此,本发明的冷却通道内所流动的冷却气体存在有相当有效的冷却效率,此现象藉由冷却气体的输出温度与输入温度的差异超过100℃可见。
惟本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何熟习此技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴。

Claims (17)

1.一种适以在制程腔中支撑大面积基板的基板支撑组件,包括:
单个的热导体,具有一个或多个基板支撑销孔洞,所述热导体由金属或金属合金制成;
基板支撑表面,位于所述热导体的表面,适以将所述大面积基板支撑于其上;
一个或多个加热组件,嵌设于所述热导体内;以及
一个或多个冷却通道,嵌设于所述热导体内,并位于所述一个或多个加热组件上方,所述一个或多个冷却通道包括多个内部冷却回路以及多个外部冷却回路,所述内部冷却回路和外部冷却回路与所述一个或多个基板支撑销孔洞间隔开,所述一个或多个冷却通道中的相邻通道内包括有以相反流动方向而流动的冷却流体。
2.如权利要求1所述的基板支撑组件,其中所述一个或多个冷却通道包括多个流入回路以及多个流出回路。
3.如权利要求1所述的基板支撑组件,其中所述一个或多个冷却通道环绕所述一个或多个加热组件的周围的位置。
4.如权利要求1所述的基板支撑组件,其中该一个或多个冷却通道包括在其内部流动的气体材料,而所述气体材料的温度介于10~25℃之间。
5.如权利要求4所述的基板支撑组件,其中所述气体材料是选自于由洁净干燥空气、压缩空气以及其混合物所组成的群组。
6.如权利要求4所述的基板支撑组件,其中所述气体材料在所述一个或多个冷却通道中以恒定流速流动。
7.如权利要求1所述的基板支撑组件,其中所述一个或多个冷却通道包括不锈钢材料。
8.如权利要求1所述的基板支撑组件,其中所述基板支撑表面的温度维持在100℃~200℃之间。
9.如权利要求1所述的基板支撑组件,其中所述基板支撑表面的尺寸大于所述大面积基板的尺寸。
10.如权利要求1所述的基板支撑组件,其中所述热导体包括铝材料。
11.如权利要求1所述的基板支撑组件,其中所述基板支撑表面适于为矩形,并支撑具有尺寸大于或等于370mm×470mm的大面积基板。
12.一种适以在制程腔中支撑大面积玻璃基板的基板支撑组件,包括:
单个的热导体,由金属或金属合金制成;
基板支撑表面,位于所述热导体的表面,适以将所述大面积玻璃基板支撑于其上;
一个或多个加热组件,嵌设于所述热导体内;以及
一个或多个冷却通道,嵌设于所述热导体内,位于所述一个或多个加热组件上方,并以螺旋状配置而环绕在所述一个或多个加热组件的周围的位置,所述一个或多个冷却通道中的相邻通道内包括有以相反流动方向而流动的冷却流体。
13.如权利要求12所述的基板支撑组件,其中该一个或多个冷却通道包括在其内部流动的气体材料,而所述气体材料的温度介于10~25℃之间,且所述气体材料是选自于由洁净干燥空气、压缩空气以及其混合物所组成的群组,并在所述一个或多个冷却通道中以恒定流速流动。
14.如权利要求12所述的基板支撑组件,其中所述基板支撑组件用以支撑一个或多个大面积矩形基板,而所述一个或多个大面积矩形基板是用于制造多种装置,所述些装置是选自于由平板显示器、太阳能电池、太阳能板以及其混合物所组成的群组。
15.一种用以在制程腔中维持大面积基板的温度的方法,包括:
将所述大面积基板置放于所述制程腔中的基板支撑组件的基板支撑表面上,所述基板支撑组件包括:
单个的热导体,由金属或金属合金制成;
所述基板支撑表面,位于所述热导体的表面,用以将所述大面积基板支撑于其上;
一个或多个加热组件,嵌设于所述热导体内;以及
一个或多个冷却通道,嵌设于所述热导体内,并位于所述一个或多个加热组件上方,其中所述一个或多个冷却通道包括多个内部冷却回路以及多个外部冷却回路,并且所述一个或多个冷却通道中的相邻通道内包括有以相反流动方向而流动的冷却流体;
将气体冷却材料以恒定流速而恒定流入所述一个或多个冷却通道;以及
调整所述一个或多个加热组件的加热功率而维持所述大面积基板的温度至一设定温度,而温度均一性为所述设定温度偏差小于等于+/-5℃。
16.如权利要求15所述的方法,其中藉由调整所述一个或多个加热组件的加热功率而使所述大面积基板的温度恒定维持在一设定温度100℃~200℃之间,而温度均一性为所述设定温度偏差小于等于+/-5℃。
17.如权利要求15所述的方法,其中所述一个或多个冷却通道中包括有在其内部流动的气体材料,而所述气体材料的温度介于10℃~25℃之间。
CN2006101215010A 2005-08-16 2006-08-15 可主动冷却的基板支撑件 Active CN1919768B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/206,245 2005-08-16
US11/206,245 US8709162B2 (en) 2005-08-16 2005-08-16 Active cooling substrate support

Publications (2)

Publication Number Publication Date
CN1919768A CN1919768A (zh) 2007-02-28
CN1919768B true CN1919768B (zh) 2012-05-30

Family

ID=37766516

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101215010A Active CN1919768B (zh) 2005-08-16 2006-08-15 可主动冷却的基板支撑件

Country Status (5)

Country Link
US (1) US8709162B2 (zh)
JP (1) JP5484650B2 (zh)
KR (1) KR101312676B1 (zh)
CN (1) CN1919768B (zh)
TW (1) TWI338319B (zh)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US8203071B2 (en) 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7875486B2 (en) * 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20090104733A1 (en) * 2007-10-22 2009-04-23 Yong Kee Chae Microcrystalline silicon deposition for thin film solar applications
CN101842875A (zh) * 2007-11-02 2010-09-22 应用材料股份有限公司 在沉积处理间实施的等离子处理
WO2009059240A1 (en) * 2007-11-02 2009-05-07 Applied Materials, Inc. Intrinsic amorphous silicon layer
US7833885B2 (en) 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
KR101444711B1 (ko) * 2008-05-23 2014-09-26 주성엔지니어링(주) 기판 제조 장치용 온도 조절 시스템
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100184290A1 (en) * 2009-01-16 2010-07-22 Applied Materials, Inc. Substrate support with gas introduction openings
JP5705133B2 (ja) * 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
WO2011011301A2 (en) * 2009-07-23 2011-01-27 Applied Materials, Inc. A mixed silicon phase film for high efficiency thin film silicon solar cells
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
US20110126875A1 (en) * 2009-12-01 2011-06-02 Hien-Minh Huu Le Conductive contact layer formed on a transparent conductive layer by a reactive sputter deposition
US9267742B2 (en) 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US20110180233A1 (en) * 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
JP2011181599A (ja) * 2010-02-26 2011-09-15 Mitsubishi Heavy Ind Ltd プラズマ成膜装置及び方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
JP6078063B2 (ja) 2011-07-13 2017-02-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜トランジスタデバイスの製造方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20140074352A (ko) 2011-10-07 2014-06-17 어플라이드 머티어리얼스, 인코포레이티드 아르곤 가스 희석으로 실리콘 함유 층을 증착하기 위한 방법들
US9234857B2 (en) 2011-11-14 2016-01-12 First Solar, Inc. Method and apparatus providing temperature uniformity
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9406653B2 (en) * 2013-02-27 2016-08-02 Applied Materials, Inc. Integrated solution for solid state light sources in a process chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP5980147B2 (ja) 2013-03-08 2016-08-31 日本発條株式会社 基板支持装置
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
USD717113S1 (en) * 2013-03-13 2014-11-11 Applied Materials, Inc. Susceptor with heater
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10586718B2 (en) * 2015-11-11 2020-03-10 Applied Materials, Inc. Cooling base with spiral channels for ESC
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6077147B2 (ja) * 2016-01-21 2017-02-08 Sppテクノロジーズ株式会社 プラズマ基板処理装置、その制御プログラム、これを記録したコンピュータ読み取り可能な記録媒体
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10280510B2 (en) * 2016-03-28 2019-05-07 Applied Materials, Inc. Substrate support assembly with non-uniform gas flow clearance
CN105734494B (zh) * 2016-04-12 2018-12-25 京东方科技集团股份有限公司 一种蒸镀载板及蒸镀装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
WO2018013271A1 (en) * 2016-07-13 2018-01-18 Applied Materials, Inc. An improved substrate support
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US11339478B2 (en) 2016-09-19 2022-05-24 King Abdullah University Of Science And Technology Susceptor
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
CN109192754B (zh) * 2018-08-08 2021-03-02 Tcl华星光电技术有限公司 刚性承载基板以及柔性oled显示面板的制备方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP6918042B2 (ja) * 2019-03-26 2021-08-11 日本碍子株式会社 ウエハ載置装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2023009353A1 (en) * 2021-07-26 2023-02-02 Lam Research Corporation Substrate supports with mesochannel assemblies
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747450A (en) * 1985-09-18 1988-05-31 Kabushiki Kaisha Toshiba Method for producing heat sink and heat sink thus produced
US5155331A (en) * 1988-01-20 1992-10-13 Tokyo Electron Limited Method for cooling a plasma electrode system for an etching apparatus
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
CN1300724A (zh) * 1999-12-23 2001-06-27 等离子光纤维股份有限公司 以化学气相沉积制备有精确折射率分布的预成形物的方法
US6700099B2 (en) * 2000-07-10 2004-03-02 Temptronic Corporation Wafer chuck having thermal plate with interleaved heating and cooling elements, interchangeable top surface assemblies and hard coated layer surfaces
US20040129211A1 (en) * 2003-01-07 2004-07-08 Applied Materials, Inc. Tunable gas distribution plate assembly

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2571949A (en) * 1948-11-10 1951-10-16 Joseph B Sandler Hot-water heater
DE2023598C3 (de) * 1970-05-14 1976-01-02 Bosch-Siemens Hausgeraete Gmbh, 7000 Stuttgart Elektrischer Durchlauferhitzer für Kaffeemaschinen
US3669812A (en) * 1970-10-16 1972-06-13 Bendix Corp Substrate support module
DE2124303A1 (zh) * 1971-05-17 1972-10-26
US4834855A (en) * 1985-05-02 1989-05-30 Hewlett-Packard Company Method for sputter depositing thin films
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
TW293983B (zh) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JP4185179B2 (ja) * 1997-07-14 2008-11-26 キヤノンアネルバ株式会社 スパッタリング装置
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US6376815B1 (en) 1998-01-12 2002-04-23 Furukawa Electric Co., Ltd. Highly gas tight substrate holder and method of manufacturing the same
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
JP2000124139A (ja) 1998-10-15 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP2001102436A (ja) * 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6500356B2 (en) 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
JP3589939B2 (ja) * 2000-04-27 2004-11-17 東京エレクトロン株式会社 加熱処理方法
JP2001319886A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd 熱処理装置及びその方法
US20010035403A1 (en) 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
EP1391919A1 (en) * 2001-04-11 2004-02-25 Ibiden Co., Ltd. Ceramic heater for semiconductor manufactring/inspecting apparatus
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
JP4681763B2 (ja) * 2001-06-27 2011-05-11 住友化学株式会社 基板固定用チャックおよびこのチャックからの基板剥離方法
US20030047283A1 (en) * 2001-09-10 2003-03-13 Applied Materials, Inc. Apparatus for supporting a substrate and method of fabricating same
JP2003163244A (ja) * 2001-11-28 2003-06-06 Taiheiyo Cement Corp ウェハプローバ
US6908512B2 (en) * 2002-09-20 2005-06-21 Blue29, Llc Temperature-controlled substrate holder for processing in fluids
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2004273619A (ja) 2003-03-06 2004-09-30 Hitachi High-Technologies Corp 真空処理装置用の試料載置装置
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
JP5975754B2 (ja) * 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747450A (en) * 1985-09-18 1988-05-31 Kabushiki Kaisha Toshiba Method for producing heat sink and heat sink thus produced
US5155331A (en) * 1988-01-20 1992-10-13 Tokyo Electron Limited Method for cooling a plasma electrode system for an etching apparatus
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
CN1300724A (zh) * 1999-12-23 2001-06-27 等离子光纤维股份有限公司 以化学气相沉积制备有精确折射率分布的预成形物的方法
EP1110919B1 (en) * 1999-12-23 2002-07-24 Draka Fibre Technology B.V. Method of manufacturing an optical fibre preform by chemical vapour deposition
US6700099B2 (en) * 2000-07-10 2004-03-02 Temptronic Corporation Wafer chuck having thermal plate with interleaved heating and cooling elements, interchangeable top surface assemblies and hard coated layer surfaces
US20040129211A1 (en) * 2003-01-07 2004-07-08 Applied Materials, Inc. Tunable gas distribution plate assembly

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2001-220151A 2001.08.14

Also Published As

Publication number Publication date
TWI338319B (en) 2011-03-01
US8709162B2 (en) 2014-04-29
CN1919768A (zh) 2007-02-28
TW200709256A (en) 2007-03-01
KR20070021077A (ko) 2007-02-22
US20070039942A1 (en) 2007-02-22
JP2007053382A (ja) 2007-03-01
KR101312676B1 (ko) 2013-09-27
JP5484650B2 (ja) 2014-05-07

Similar Documents

Publication Publication Date Title
CN1919768B (zh) 可主动冷却的基板支撑件
CN1907897B (zh) 衬底支撑的加热和冷却
CN201436515U (zh) 基板支撑组件
US20230268160A1 (en) Antenna unit for inductively coupled plasma, inductively coupled plasma processing apparatus and method therefor
CN100495655C (zh) 气体处理装置和散热方法
TWI489546B (zh) 將氣體徑向傳送至腔室之裝置
CN101322226B (zh) 基板处理装置以及处理气体喷出机构
TWI527140B (zh) 具有共享資源之處理腔室及其使用方法
CN100431097C (zh) 上部电极、等离子体处理装置和等离子体处理方法
TWI517279B (zh) 基材處理裝置
CN101374973B (zh) 基板处理装置以及基板载置台
CN1555424B (zh) 用于控制薄膜均匀性的工艺及由此制造的产品
US20020086260A1 (en) Chamber for uniform substrate heating
CN101643896B (zh) 淀积氧化硅于大面积基板上的方法及设备
JP2007043170A5 (zh)
US10537013B2 (en) Distributed electro-static chuck cooling
KR101111042B1 (ko) 기판 지지부의 가열 및 냉각 방법
KR101358858B1 (ko) 정전척 장치 및 이를 구비한 기판 처리 장치
KR20090115309A (ko) 히터 장치 및 기판 처리 장치 그리고 이를 이용한 기판처리 방법
TW201500269A (zh) 叢集型批量式基板處理系統
KR101317333B1 (ko) 기판 변형 방지용 보트

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant