TWI789935B - 半導體處理方法及半導體結構 - Google Patents

半導體處理方法及半導體結構 Download PDF

Info

Publication number
TWI789935B
TWI789935B TW110136989A TW110136989A TWI789935B TW I789935 B TWI789935 B TW I789935B TW 110136989 A TW110136989 A TW 110136989A TW 110136989 A TW110136989 A TW 110136989A TW I789935 B TWI789935 B TW I789935B
Authority
TW
Taiwan
Prior art keywords
boron
silicon
substrate
ratio
layer
Prior art date
Application number
TW110136989A
Other languages
English (en)
Other versions
TW202229614A (zh
Inventor
楊毅
克里希納 尼塔拉
程睿
卡希克 加納基拉曼
狄瓦卡 凱德拉雅
黃祖濱
艾古特 艾汀
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202229614A publication Critical patent/TW202229614A/zh
Application granted granted Critical
Publication of TWI789935B publication Critical patent/TWI789935B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

本技術的實施例包括用於製成具有改變的硼與矽的原子比的含硼及矽層的半導體處理方法。方法可包括使含矽前驅物流入半導體處理腔室的基板處理區域中,並且亦使含硼前驅物及分子氫(H2)流入半導體處理腔室的基板處理區域中。含硼前驅物及H2可以一硼與氫的流動速率比流動。含硼前驅物及H2的流動速率可增加,同時在流動速率增加期間硼與氫流動速率比保持恆定。含硼及矽層可在基板上沉積,並且可特徵在於從與基板接觸的第一表面到最遠離基板的含硼及矽層的第二表面連續增加硼與矽的比率。

Description

半導體處理方法及半導體結構
本申請案主張標題為「Boron Concentration Tunability in Boron-Silicon Films」且於2020年10月5日提交的美國專利申請案第17/063,339號的權益及優先權,此專利申請案的全部內容藉由引用方式併入本文中。
本技術係關於沉積及移除製程及腔室。更具體地,本技術係關於調諧含硼及矽層中的硼濃度以製成特徵在於沿著至少一個方向可變的硼濃度的薄膜的系統及方法。
積體電路可能由在基板表面上產生複雜圖案化的材料層的製程來製成。在基板上產生圖案化材料需要用於形成及移除材料的受控方法。材料特性可影響元件如何操作,並且亦可影響薄膜如何相對於彼此移除。沉積製程產生具有某些特性的薄膜。所形成的許多薄膜需要額外處理來調節或增強薄膜的材料特性以便提供適宜的性質。
因此,需要可以用於產生高品質元件及結構的經改進的系統及方法。該等及其他需要由本技術解決。
本技術的實施例包括可調諧穿過含硼及矽層的硼濃度的半導體處理方法及系統。在實施例中,方法可形成含硼及矽層,該含硼及矽層具有最靠近相鄰蝕刻終止層的最高硼與矽原子比、及最遠離蝕刻終止層的最低硼與矽原子比。在進一步實施例中,含硼及矽層可具有從薄膜的一端到另一端的硼與矽原子比的連續改變的梯度。在實施例中,調諧含硼及矽層中的硼濃度的系統及方法可產生在一端處具有高蝕刻抗性並且在另一端處具有與蝕刻終止層相比較高的蝕刻選擇性的硬遮罩。在進一步實施例中,高深寬比開口可在硬遮罩中形成以在半導體元件(諸如DRAM記憶體及3D NAND記憶體,以及其他類型的半導體元件)中界定觸點、通孔、及電容器結構,以及其他基板特徵。
本技術的實施例包括半導體處理方法,該方法可包括使含矽前驅物流入半導體處理腔室的基板處理區域中,並且亦使含硼前驅物及分子氫(H 2)流入半導體處理腔室的基板處理區域中。含硼前驅物及H 2可以硼與氫的流動速率比流動。方法可進一步包括增加含硼前驅物及H 2的流動速率,同時在流動速率增加期間硼與氫的流動速率比保持恆定。方法仍可進一步包括在半導體處理腔室的基板處理區域中的基板上沉積含硼及矽層。沉積的含硼及矽層可特徵在於從與基板接觸的第一表面到最遠離基板的含硼及矽層的第二表面連續增加硼與矽的比率。
在額外實施例中,含矽前驅物可包括矽烷SiH 4,並且含硼前驅物可包括二硼烷(B 2H 6)。在又一些實施例中,含矽前驅物可以大於或約40 sccm的矽前驅物流動速率流入基板處理區域中。在又一些實施例中,硼與氫流動速率比可大於或約2:1。在一些實施例中,含硼前驅物及H 2的流動速率可以大於或約5 sccm/秒的速率增加。在額外實施例中,含硼及矽層的沉積可特徵在於大於或約10 Å/秒的沉積速率。在額外實施例中,沉積的含硼及矽層可特徵在於小於或約20原子%的最靠近基板的硼與矽的第一比率,並且可進一步特徵在於大於或約50原子%的在最遠離基板的表面處的硼與矽的第二比率。
本技術的實施例亦可包括具有流入半導體處理腔室的基板處理區域中的含矽前驅物的半導體處理方法。在一些實施例中,含矽前驅物可係矽烷。方法亦可包括使含硼前驅物流入半導體處理腔室的基板處理區域中。在一些實施例中,含硼前驅物可係二硼烷。方法可進一步包括在半導體處理腔室的基板處理區域中的基板上沉積含硼及矽層。含硼及矽層可具有從與基板接觸的第一表面到與第一表面相對的含硼及矽層的第二表面線性增加的硼與矽的比率,而在沉積含硼及矽層期間含硼前驅物的流動速率可非線性地增加。
在額外實施例中,在沉積含硼及矽層期間含硼前驅物的流動速率的增加速率可基於所沉積的硼與矽的比率的增加而增加。在額外實施例中,含硼及矽層可特徵在於小於或約20原子%的在層的第一表面處的硼與矽的第一比率,並且進一步特徵在於大於或約50原子%的在與第一表面相對的第二表面處的硼與矽的第二比率。在進一步實施例中,含硼及矽層的沉積可特徵在於大於或約300℃的沉積溫度。在又一些實施例中,方法可表徵為熱沉積製程,而在額外實施例中,方法可表徵為電漿沉積製程。
本技術的實施例可進一步包括半導體結構。結構可包括含硼及矽層、及蝕刻終止層。在實施例中,含硼及矽層可特徵在於連續改變在同蝕刻終止層接觸的層的近端部分與同近端部分相對的遠端部分之間的硼與矽的比率。在實施例中,含硼及矽層的近端部分可特徵在於小於或約20原子%的硼與矽的第一比率,並且層的遠端可特徵在於大於或約50原子%的硼與矽的第二比率。
在額外實施例中,含硼及矽層可包括硼摻雜的非晶矽。在額外實施例中,蝕刻終止層可包括氧化矽或氮化矽。在又一些實施例中,在含硼及矽層的近端部分與遠端部分之間的蝕刻速率比可大於或約3:1。在又一些實施例中,在含硼及矽層的近端部分與蝕刻終止層之間的蝕刻選擇性比可大於或約5:1。在額外實施例中,在含硼及矽層的遠端部分與蝕刻終止層之間的蝕刻選擇性比可小於或約2:1。
此種技術可提供優於習知處理方法的數個益處。例如,連續改變的含硼及矽層可在一端上提供具有優異硬遮罩品質的層,並且在相對端上提供與蝕刻終止層的優異相容性。連續改變的含硼及矽層的此等品質使其與在整個層中具有均勻的硼與矽的比率的習知含硼及矽層相比更適於作為硬遮罩層。結合下文描述及附圖更詳細描述此等及其他實施例,連同眾多其優點及特徵。
本技術的實施例包括可調諧穿過含硼及矽層的硼濃度的半導體處理方法及系統。此等層的實施例可用作用於DRAM電容器形成中的垂直開口及3D記憶體結構中的觸點以及其他類型的半導體元件的硬遮罩。由於開口的深度及深寬比增加,硬遮罩需要變得更厚或更具蝕刻抗性以形成向下到蝕刻終止層的平滑、筆直的開口,其中開口著陸在後續層上。使硬遮罩層更厚需要更多硬遮罩材料及更多時間來製成硬遮罩,此兩者增加半導體製造成本。使硬遮罩更具蝕刻抗性降低使硬遮罩較厚的需要,但亦可以使其更難以在開口的明確界定的端點處停止。
含硼及矽層示出由具有增加的蝕刻抗性的材料製成硬遮罩的益處及挑戰。此等層的增加的蝕刻抗性允許在較短時間內沉積較薄層以形成可以明確界定具有高深寬比的長開口的硬遮罩。然而,在含硼及矽層與相鄰蝕刻終止層之間的低蝕刻選擇性使得難以在不過度蝕刻到蝕刻終止層中的情況下到達開口的終點。在許多情況中,所得的開口具有準確、良好界定的側壁及不良界定的底部。形成具有減小的硼與矽原子比的含硼及矽層可增加該層相對於相鄰蝕刻終止層的蝕刻選擇性,使得可形成更精確的著陸。然而,在層中減小的硼與矽的比率亦降低其蝕刻抗性,此可在硬遮罩開口期間製成更粗糙、更彎曲的通道。相反地,具有增加的硼與矽的原子比的含硼及矽層可具有更精確的硬遮罩開口,但可藉由過度蝕刻到蝕刻終止層中導致的更粗糙的較不精確的底側。
本技術利用製程方法、系統、及結構的實施例解決了此等問題,該等實施例包括藉由在層的相對端處的不同硼與矽的原子比表徵的含硼及矽層。在實施例中,與相鄰蝕刻終止層接觸的含硼及矽的端部(亦即,近端)可具有與最遠離蝕刻終止層的層的相對端部(亦即,遠端)相比較低的硼與矽原子比。在層的近端處的較低比率在近端與相鄰的蝕刻終止層之間產生增加的蝕刻選擇性,此允許在硬遮罩開口操作中形成精確的底側。此外,在層的遠端處的較高的硼與矽比率在遠端中產生增加的蝕刻抗性,此允許硬遮罩中與利用較少蝕刻抗性的硬遮罩材料可能的情況相比較平滑、較筆直的開口。
本技術包括半導體處理方法的實施例,其隨著含硼及矽層的沉積進行連續增加含硼前驅物相對於含矽前驅物的流動速率。在實施例中,方法產生在最靠近蝕刻終止層的近端與最遠離蝕刻終止層的相對遠端之間具有連續增加的硼與矽的原子比的含硼及矽層。在一些實施例中,含硼及矽層的近端特徵在於小於或約20原子%的硼,並且層的遠端特徵在於大於或約50原子%的硼。
本技術進一步包括形成在層的相對端之間具有線性改變的硼與矽的原子比的含硼及矽層的半導體處理方法的實施例。在實施例中,此等層可包括在最靠近蝕刻終止層的層的近端與最遠離蝕刻終止層的相對遠端之間線性增加的硼與矽的原子比。在進一步實施例中,特徵在於線性改變的硼與矽的原子比的含硼及矽層可特徵在於隨著距最靠近相鄰蝕刻終止層的層的近端的距離變化的線性成比例的比率改變。將理解,在一些實施例中梯度可能非線性,其中流動速率可更快速地增加,以在薄膜內產生更多對數或其他梯度。
在進一步實施例中,在含硼及矽層中的線性改變的硼與矽的原子比可在沉積操作中形成,其中隨著層形成,含硼前驅物以非線性速率流動到沉積腔室。在實施例中,隨著硼與矽的原子比增加,含硼前驅物的非線性流動速率可補償沉積層中硼的增加的吸收速率。沉積的硼位準對硼整合到沉積層中的速率的影響意味著含硼前驅物的流動速率的線性增加將導致大於沉積層的硼位準的線性增加。在一些實施例中,含硼前驅物的線性增加的流動速率可導致沉積的層中的對數增加的硼與矽的原子比。在額外實施例中,此影響可藉由減少含硼前驅物的流動速率的增加以在沉積的層中沉積線性增加的位準的硼來抵消。
儘管剩餘揭示內容將常規地辨識利用所揭示技術的具體沉積製程,將容易理解系統及方法等效地應用於其他沉積及清洗腔室以及如可在所描述的腔室中發生的製程。由此,技術不應當被認為限制為與此等具體蝕刻製程或單獨的腔室一起使用。在描述根據本技術的實施例的對此系統的額外細節之前,本揭示將論述根據本技術的實施例的可用於執行沉積製程的一種可能系統及腔室。
第1圖圖示了根據實施例的沉積、蝕刻、烘焙、及固化腔室的處理系統100的一個實施例的頂部平面圖。在圖式中,一對前開式晶圓盒102供應各種大小的基板,該等基板由機器人臂104接收並且在放置到基板處理腔室108a-f的一個中之前放置到低壓固持區域106中,該等基板處理腔室在串列部分109a-c中定位。第二機器人臂110可用於將基板晶圓從固持區域106運輸到基板處理腔室108a-f並且返回。每個基板處理腔室108a-f可以經配備以執行多個基板處理操作,除了電漿增強的化學氣相沉積、原子層沉積、物理氣相沉積、蝕刻、預清洗、除氣、定向、或包括退火、灰化等的其他基板製程之外,該等基板處理操作包括形成本文描述的半導體材料的堆疊。
基板處理腔室108a-f可包括用於在基板上沉積、退火、固化及/或蝕刻硬遮罩層的一或多個系統部件。在一個構造中,兩對處理腔室(例如,108c-d及108e-f)可用於在基板上沉積硬遮罩層,並且第三對處理腔室(例如,108a-b)可用於蝕刻沉積的硬遮罩(亦即,硬遮罩開口操作)。在另一構造中,所有三對腔室(例如,108a-f)可經構造為在基板上沉積及蝕刻硬遮罩層。所描述的任何一或多個製程可在與不同實施例中圖示的製造系統分離的腔室中執行。將瞭解,由系統100預期用於硬遮罩層的沉積、蝕刻、退火、及固化腔室的額外構造。
第2圖圖示了根據本技術的實施例的示例性電漿系統200的示意性橫截面圖。電漿系統200可示出一對處理腔室108,該等處理腔室可適配在上文描述的一或多個串列區段109中,並且可包括根據本技術的實施例的蓋堆疊部件,並且如下文可進一步解釋。電漿系統200大體可包括腔室主體202,該腔室主體具有界定一對處理區域220A及220B的側壁212、底壁216、及內部側壁201。處理區域220A-220B的每一者可經類似構造,且可包括相同的部件。
例如,處理區域220B(其部件亦可包括在處理區域220A中)可包括在處理區域中穿過在電漿系統200中的底壁216中形成的通道222設置的基座228。基座228可提供適於在基座(諸如主體部分)的暴露表面上支撐基板229的加熱器。基座228可包括加熱元件232,例如,電阻式加熱元件,該等加熱元件可將基板溫度加熱及控制在期望的處理溫度下。基座228亦可藉由遠端加熱元件(諸如燈組件、或任何其他加熱裝置)加熱。
基座228的主體可藉由凸緣233耦接到桿226。桿226可將基座228與電力出口或電力箱203電氣耦接。電力箱203可包括驅動系統,該驅動系統控制處理區域220B內的基座228的高度及移動。桿226亦可包括電力介面以將電力提供到基座228。電力箱203亦可包括用於電力的介面及溫度指示器,諸如熱電偶介面。桿226可包括適於與電力箱203可拆卸地耦接的基底組件238。將圓周環235圖示為在電力箱203之上。在一些實施例中,圓周環235可係適於作為機械停止件或平台的肩部,該機械停止件或平台經構造為在基底組件238與電力箱203的上表面之間提供機械介面。
棒230可穿過處理區域220B的底壁216中形成的通道224而包括在內並且可用於定位穿過基座228的主體設置的基板升舉銷261。基板升舉銷261可選擇性隔開基板229與基座以促進基板229與機器人的交換,該機器人用於將基板229穿過基板傳送埠260傳送進出處理區域220B。
腔室蓋204可與腔室主體202的頂部耦接。蓋204可容納與其耦接的一或多個前驅物分配系統208。前驅物分配系統208可包括前驅物入口通道240,該前驅物入口通道可將反應物及清洗前驅物穿過雙通道噴頭218遞送到處理區域220B中。雙通道噴頭218可包括環形底板248,該環形底板具有在面板246中間設置的阻隔板244。射頻(radio frequency; 「RF」)源265可與雙通道噴頭218耦接,該射頻源可為雙通道噴頭218供電以促進在雙通道噴頭218的面板246與基座228之間產生電漿區域。雙通道噴頭218及/或面板246可包括一或多個開口以允許前驅物從前驅物分配系統208流動到處理區域220A及/或220B。在一些實施例中,開口可包括筆直形狀的開口及錐形形狀的開口中的至少一者。在一些實施例中,RF源可與腔室主體202的其他部分(諸如基座228)耦接以促進電漿產生。介電隔離器258可在蓋204與雙通道噴頭218之間設置以防止將RF電力傳導至蓋204。遮蔽環206可在接合基座228的基座228的周邊上設置。
可選的冷卻通道247可在前驅物分配系統208的環形底板248中形成以在操作期間冷卻環形底板248。熱傳遞流體(諸如水、乙二醇、氣體、或類似者)可穿過冷卻通道247循環,使得底板248可維持在預定義的溫度下。襯墊組件227可在處理區域220B中緊靠腔室主體202的側壁201、212設置以防止將側壁201、212暴露於處理區域220B內的處理環境。襯墊組件227可包括圓周泵送空腔225,該圓周泵送空腔可耦接到經構造為排放來自處理區域220B的氣體及副產物並且控制處理區域220B內的壓力的泵送系統264。複數個排放埠231可在襯墊組件227上形成。排放埠231可經構造為允許氣體從處理區域220B以促進系統200內的處理的方式流動到圓周泵送空腔225。
第3圖圖示了根據本技術的一些實施例的半導體處理的示例性方法300的操作。方法可在各種處理腔室中執行,包括上文描述的處理系統200,以及其中可執行電漿沉積的任何其他腔室。方法300可包括多個可選操作,該等操作可能或可能不與根據本技術的方法的一些實施例具體地相關聯。
方法300可包括沉積處理操作以形成具有變化的硼與矽的原子比的含硼及矽層。在一些實施例中,沉積處理操作可包括電漿增強的化學氣相沉積操作,而在額外實施例中,沉積處理操作可包括熱沉積操作。在實施例中,方法可在開始方法300之前包括可選操作,或方法可在沉積含硼及矽材料之後包括額外操作。例如,在實施例中,蝕刻終止層可在開始方法300之前形成以形成含硼及矽層。在額外實施例中,由於蝕刻終止層的表面可準備用於沉積藉由用氬及氨產生的電漿處理的含硼及矽層。在額外實施例中,可執行硬遮罩開口操作以在含硼及矽層已經在方法300中形成之後在含硼及矽層中形成開口。
在方法300的實施例中,如第3圖所示,在操作305處,含矽前驅物可流入半導體處理腔室的基板處理區域中。在額外實施例中,隨著沉積前驅物流入腔室中,基板可在存在於半導體處理腔室的基板處理區域中。在額外實施例中,基板可包括暴露於半導體處理腔室的基板處理區域的蝕刻終止層。
在一些實施例中,含矽前驅物可係含矽及氫的前驅物,諸如矽烷(SiH 4)及二矽烷(Si 2H 6)。在額外實施例中,含矽前驅物可能不含碳。在額外實施例中,含矽前驅物可能不含氧。在實施例中,含矽前驅物的流動速率可大於或約25 sccm、大於或約30 sccm、大於或約35 sccm、大於或約40 sccm、大於或約45 sccm、大於或約50 sccm、大於或約60 sccm、大於或約70 sccm、大於或約80 sccm、大於或約90 sccm、大於或約100 sccm、或更多。
在額外實施例中,載氣可與流入基板處理腔室的基板處理區域中的含矽前驅物結合。在實施例中,載氣可係氦氣、氬氣、及分子氮(N 2)中的一或多者,以及其他載氣。在實施例中,載氣的流動速率可係大於或約3000 sccm、大於或約4000 sccm、大於或約5000 sccm、大於或約6000 sccm、大於或約7000 sccm、或更多。針對一些實施例,增加載氣流動速率可有益於薄膜的機械性質。具有載氣亦可以使其更容易撞擊電漿。
在操作310處,方法300的實施例可進一步包括使含硼前驅物及分子氫(H 2)流入半導體處理腔室的基板處理區域中。在進一步實施例中,含硼前驅物可包括二硼烷(B 2H 6)。在又一些實施例中,含硼前驅物可包括硼烷(BH 3)。在實施例中,含硼前驅物的流動速率可大於或約500 sccm、大於或約750 sccm、大於或約1000 sccm、大於或約1250 sccm、大於或約1500 sccm、大於或約1750 sccm、大於或約2000 sccm、或更多。在進一步實施例中,H 2的流動速率可大於或約1000 sccm、大於或約1500 sccm、大於或約2000 sccm、大於或約2500 sccm、大於或約3000 sccm、大於或約3500 sccm、大於或約4000 sccm、大於或約4500 sccm、大於或約5000 sccm、或更多。
在本技術的實施例中,在操作315處,流入半導體處理區域中的含矽前驅物及含硼前驅物在基板上沉積含硼及矽的材料。在一些實施例中,形成操作包括產生電漿以產生在基板上形成硼及矽材料的含硼及矽的電漿流出物。在額外實施例中,形成操作包括在缺乏電漿時加熱基板以在基板上熱沉積硼及矽層。在此等實施例中,沉積操作改變在沉積期間沉積前驅物中的硼與矽的原子比,使得與基板接觸的含硼及矽層的第一表面具有與同第一表面相對的層的第二表面不同的硼與矽的原子比。
在方法300的一些實施例中,在操作320處,在含硼及矽層的相對表面之間的硼與矽的比率改變可藉由增加含硼前驅物及H 2的流動速率來實現。在實施例中,含硼前驅物及H 2的流動速率比可以大於或約5 sccm/秒、大於或約6 sccm/秒、大於或約7 sccm/秒、大於或約8 sccm/秒、大於或約9 sccm/秒、大於或約10 sccm/秒、大於或約15 sccm/秒、大於或約20 sccm/秒、大於或約25 sccm/秒、或更多的速率增加。在額外實施例中,在含硼前驅物與H 2之間的流動速率比可在其組合的流動速率增加期間保持恆定。在實施例中,含硼前驅物與H 2的流動速率比可大於或約2:1、大於或約3:1、大於或約4:1、大於或約5:1、或更多。
在進一步實施例中,含硼前驅物及H 2的流動速率可在沉積含硼及矽層期間以從最低到最高流動速率的非線性進展增加。在實施例中,流動速率的非線性增加可形成特徵在於線性改變在接觸基板的層的第一表面與同第一表面相對的第二表面之間的硼的原子百分比的含硼及矽層。由於在沉積材料中的硼的原子百分比具有對將更多硼整合到材料中的效果,所以流動速率的非線性增加可產生硼與矽的原子比的線性改變。在一些情況中,含硼前驅物可對含硼及矽層的沉積速率具有催化效應,其中流動速率的線性增加產生大於沉積速率的線性增加。在額外實例中,增加的沉積速率使得更難以將硼整合到含硼及矽層中。因此,儘管沉積速率的增加不成比例地快於含硼前驅物的流動速率,在沉積材料中的硼的百分比的增加可不成比例地慢於含硼材料的流動速率。因此,線性增加含硼前驅物的流動速率可產生硼的原子百分比小於線性增加的沉積的含硼及矽材料。在一些實施例中,此硼原子百分比對硼整合速率的效應可藉由在沉積操作期間含硼前驅物的流動速率的非線性增加來抵消。在實施例中,含硼前驅物及H 2的流動速率可特徵在於非線性增加,該非線性增加大於線性增加以形成特徵在於層中的硼的線性增加的原子百分比的含硼及矽層。
在又一些實施例中,含硼前驅物及H 2的流動速率的改變可係連續的,而在額外實施例中改變可係非連續的。在其中流動速率的改變係非連續的實施例中,含硼及矽層可特徵在於兩個或多個部分,其每個部分內具有均勻的硼與矽的比率。在額外實施例中,包括接觸基板的第一表面的第一部分可特徵在於含硼及矽層中的任何部分的硼與矽的最低原子比。在額外實施例中,包括與第一表面相對的第二表面的層的最終部分可特徵在於層中的任何部分的硼與矽的最高原子比。在實施例中,含硼及矽層可具有大於或約兩個部分、大於或約三個部分、大於或約五個部分、大於或約十個部分、大於或約15個部分、大於或約20個部分、或更多。
在額外實施例中,含硼前驅物及H 2的流動速率增加可發生,同時含矽前驅物的流動速率保持恆定或減小。在一些此等實施例中,含硼及矽材料的沉積速率可藉由平衡含硼前驅物及H 2的流動速率增加與含矽前驅物的流動速率減小來保持恆定。在額外實施例中,含矽前驅物的流動速率可與含硼前驅物及H 2的流動速率增加相比以較慢的速率增加,此導致沉積含硼及矽材料的沉積前驅物中的硼與矽的比率的總體增加。在一些此等實施例中,含硼及矽材料的沉積速率隨著沉積進行而增加。在實施例中,含硼及矽材料的沉積速率可大於或約5 Å/秒、大於或約7.5 Å/秒、大於或約10 Å/秒、大於或約12.5 Å/秒、大於或約15 Å/秒、大於或約17.5 Å/秒、大於或約20 Å/秒、大於或約22.5 Å/秒、大於或約25 Å/秒、或更多。
在實施例中,流入半導體處理腔室的基板處理區域中的沉積前驅物可包括一或多種含矽前驅物、一或多種含硼前驅物、分子氫(H 2)、及一或多種載氣,以及其他沉積前驅物。此等沉積前驅物可在沉積含硼及矽材料期間更改半導體處理腔室的壓力。在實施例中,在形成低介電常數薄膜期間,半導體基板腔室壓力可特徵在於大於或約1 Torr、大於或約2 Torr、大於或約3 Torr、大於或約4 Torr、大於或約5 Torr、大於或約6 Torr、大於或約7 Torr、大於或約8 Torr、大於或約9 Torr、大於或約10 Torr、或更多的壓力。
在本技術的實施例中,在基板上沉積含硼及矽材料可包括電漿沉積操作,而在額外實施例中,沉積可包括熱沉積操作。在電漿沉積操作的實施例中,在電漿沉積期間,基板可特徵在於大於或約300℃、大於或約310℃、大於或約320℃、大於或約330℃、大於或約340℃、大於或約350℃、大於或約360℃、大於或約370℃、大於或約380℃、大於或約390℃、大於或約400℃、或更高的溫度。在熱沉積操作的實施例中,在熱沉積期間,基板可特徵在於大於或約400℃、大於或約410℃、大於或約420℃、大於或約430℃、大於或約440℃、大於或約450℃、大於或約460℃、大於或約470℃、大於或約480℃、大於或約490℃、大於或約500℃、或更高的溫度。較高沉積溫度可減小薄膜的H%含量,此可增強其機械性質同時使其較不透明。B 2H 6催化BSi薄膜沉積的速率及整合的B%的量亦可以取決於溫度。
在電漿沉積操作的實施例中,操作可進一步包括由流入半導體處理腔室的基板處理區域中的沉積前驅物產生沉積電漿。在實施例中,沉積電漿可由處理區域內的沉積前驅物產生,諸如藉由將RF功率提供到面板以在半導體處理腔室的基板處理區域內產生電漿。沉積電漿可在先前描述的任何頻率下產生,並且可在小於15 MHz(例如,13.56 MHz)的頻率下產生。
在實施例中,沉積含硼及矽材料可繼續,直到達到層的終點厚度並且在操作325處層完全形成。在一些實施例中,終點厚度可大於或約100 Å、大於或約500 Å、大於或約1000 Å、大於或約1500 Å、大於或約2000 Å、大於或約2500 Å、大於或約3000 Å、大於或約3500 Å、大於或約4000 Å、大於或約4500 Å、大於或約5000 Å、或更大。
在本技術的實施例中,方法300形成在同基板接觸的第一表面與同第一表面相對的第二表面之間具有變化的硼與矽的原子比的含硼及矽層。第4圖圖示了包括在蝕刻終止層404上形成的此種含硼及矽層402的半導體結構400的實施例。在結構400中圖示的實施例中,含硼及矽層在同蝕刻終止層404接觸的第一表面與同第一表面相對的第二表面之間具有線性增加的硼與矽的原子比。在實施例中,鄰近蝕刻終止層的第一表面可具有小於或約20原子%、小於或約15原子%、小於或約12.5原子%、小於或約10原子%、小於或約9原子%、小於或約8原子%、小於或約7原子%、小於或約6原子%、小於或約5原子%、小於或約4原子%、小於或約3原子%、小於或約2原子%、或更小的硼濃度。在進一步實施例中,第一表面可具有大於或約90原子%的矽濃度。在又一些實施例中,與第一表面相對並且最遠離蝕刻終止層的含硼及矽層的第二表面可具有大於或約50原子%、大於或約60原子%、大於或約70原子%、大於或約80原子%、大於或約85原子%、大於或約90原子%、大於或約95原子%、或更多的硼濃度。相反地,第二表面的實施例可具有小於或約50原子%的矽濃度。在額外實施例中,在同蝕刻終止層404接觸的含硼及矽層的第一表面與同第一表面相對的第二表面之間的硼的原子百分比的差可大於或約10%、大於或約20%、大於或約30%、大於或約40%、大於或約50%、大於或約60%、大於或約70%、大於或約80%、大於或約90%、或更多。
在實施例中,硼與矽的原子比可在含硼及矽層402的第一及第二表面之間連續改變。在額外實施例中,硼與矽的原子比可從與蝕刻終止層404接觸的第一表面開始至與第一表面相對的第二表面結束的方式線性增加。在又一些實施例中,在含硼及矽層402中的硼的原子百分比的改變可藉由公式表示: 硼原子%=(cx a+x 0)x100 其中「硼原子%」表示在含硼及矽層402中的特定位置處的硼的原子百分比,「x 0」表示在第一表面處的硼的原子分數(亦即,x=0),「x」表示距與蝕刻終止層404接觸的第一表面的距離,並且c表示每單位距離的硼的原子分數的改變速率。在一些實施例中,「x」可係在與蝕刻終止層404接觸的含硼及矽層402的第一表面處具有值零並且在與第一表面相對的第二表面處具有值一的正規化距離。在額外實施例中,「c」可大於或約0.02、大於或約0.05、大於或約0.1、大於或約0.15、大於或約0.2、大於或約0.25、大於或約0.3、大於或約0.35、大於或約0.4、大於或約0.45、大於或約0.5、大於或約0.55、大於或約0.6、大於或約0.65、大於或約0.7、大於或約0.75、大於或約0.8、或更多。在其中硼與矽的原子比線性增加的實施例中,「a」可等於一。在額外實施例中,其中硼與矽的原子比以大於線性速率增加,「a」可大於一。
在額外實施例中,硼與矽的原子比可以非連續方式改變。在實施例中,硼與矽的原子比可以逐步方式改變,其中含硼及矽層402的一部分具有與層的其他部分的原子比不同的均勻的硼與矽的原子比。在額外實施例中,含硼及矽層402可具有包括與蝕刻終止層404接觸的第一表面的第一部分,該第一表面具有含硼及矽層402的任何部分的最低的硼與矽原子比。在進一步實施例中,相同的含硼及矽層402可具有包括與第一表面相對的第二表面的另一部分,該第二表面可具有層的任何部分的最低的硼與矽原子比。在額外實施例中,含硼及矽層402可在第一部分與另一部分之間具有多個額外部分,該等額外部分的數量可大於或約1、大於或約2、大於或約5、大於或約10、大於或約15、大於或約20、或更多。在又一些實施例中,額外部分的每一者可特徵在於均勻的硼與矽原子比。在進一步實施例中,額外部分的每一者可特徵在於從第一部分開始並且進行到包括與接觸蝕刻終止層404的第一表面相對的第二表面的部分的增加的硼與矽的原子比。
在額外實施例中,含硼及矽層可具有含改變的硼濃度的一或多個部分及其中硼濃度在整個部分中係均勻的一或多個額外部分。在實施例中,含硼及矽層可包括最靠近蝕刻終止層的第一部分,該第一部分具有從與蝕刻終止層接觸的第一表面開始並且在與第一表面相對的第二表面處增加到最高的硼與矽原子比的最低的硼與矽原子比。針對特徵在於在整個第二部分中均勻的硼與矽原子比層的第一部分,含硼及矽層可包括具有與第二表面接觸的表面的第二部分。在一些實施例中,硼與矽的原子比可大於或約在含硼與矽層的第一部分的第二表面處的原子比。在又一些實施例中,含硼及矽層可至少包括具有與層的第二部分接觸的表面的第三部分,其中第三部分具有與第二部分中的比率不同的硼與矽的均勻原子比。在又一些實施例中,第三部分中的硼與矽的原子比可大於第二部分中的硼與矽的原子比。
在實施例中,含硼及矽層402可特徵在於氫、氧、及碳的一或多者的小於0.01原子%。在一些實施例中,含硼及矽層402可特徵在於硼摻雜的非晶矽層。在額外實施例中,含硼及矽層402可表徵為矽摻雜的含硼層。在額外實施例中,含硼及矽層402可表徵為兩種類型的層,取決於表徵層的哪個部分。
在所示的實施例中,含硼及矽層402鄰近蝕刻終止層404。在實施例中,蝕刻終止層404可係氧化矽層或氮化矽層。在進一步實施例中,與鄰近蝕刻終止層的含硼及矽層402的材料相比,蝕刻終止層可對一或多種類型的蝕刻劑更具蝕刻抗性。在實施例中,蝕刻劑或蝕刻操作可具有大於或約2:1、大於或約3:1、大於或約4:1、大於或約5:1、或更多的與蝕刻終止材料相比對硼及矽層中的鄰近材料的蝕刻選擇性。增加的蝕刻選擇性減少或消除在含硼及矽層402及蝕刻終止層404的近端介面處的過度蝕刻。更精確蝕刻的介面可產生在含硼及矽層402中形成的開口(未圖示)的更明確界定的底側。
在額外實施例中,歸因於具有較高硼位準,與接觸蝕刻終止層404的第一表面相對的含硼及矽層402的第二表面可具有與第一表面相比較低的蝕刻速率(亦即,較高蝕刻抗性)。在實施例中,在同蝕刻終止層404接觸的第一表面與同第一表面相對的第二表面之間的蝕刻速率比可大於或約2:1、大於或約3:1、大於或約4:1、大於或約5:1、大於或約10:1、大於或約20:1、大於或約50:1、大於或約100:1、或更多。在含硼及矽層402的第二表面附近增加的蝕刻抗性允許更精確控制可用於在層中形成硬遮罩開口的蝕刻操作。增加的蝕刻抗性減少形成開口時的漂移及變化性,此提供沿著含硼及矽層402的長度的更筆直且更平滑的開口。
在前述描述中,出於解釋的目的,已經闡述數個細節以便提供對本技術的各個實施例的理解。然而,熟習此項技術者將顯而易見,可在沒有此等細節中的一些細節的情況下或具有額外細節的情況下實踐某些實施例。
在已揭示若干實施例的情況下,熟習此項技術者將認識到可使用各種修改、替代構造、及等效者而不脫離實施例的精神。此外,尚未描述多種熟知製程及元素,以便避免不必要地混淆本技術。由此,以上描述不應當被認為限制技術的範疇。
在提供值範圍的情況下,將理解除非上下文另外明確指出,亦具體地揭示每個中介值到在彼範圍的上限與下限之間的下限單位的最小分數。涵蓋在任何提及值或在所提及範圍中未提及的中介值與在所提及範圍中的任何其他提及值或中介值之間的任何較窄範圍。彼等較小範圍的上限及下限可獨立地包括或排除在範圍中,並且每個範圍(其中任一限值、無一限值、或兩個限值包括在較小範圍中)亦在技術內涵蓋,受限於在所提及範圍中任何具體排除的限值。在所提及範圍包括一或兩個限值的情況下,排除彼等包括的限值的任一個或兩個的範圍亦包括在內。
如在本文及隨附申請專利範圍中使用,除非上下文另外明確指出,否則單數形式「一(a)」、「一(an)」、及「該(the)」包括複數參考。因此,例如,提及「一材料」包括複數個此種材料,並且提及「該前驅物」包括提及一或多前驅物及熟習此項技術者已知的其等效物等等。
此外,當在此說明書及以下申請專利範圍中使用時,詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」、及「包括(including)」意欲規定存在所提及的特徵、整數、部件、或操作,但該等詞語不排除存在或添加一或多個其他特徵、整數、部件、操作等或群組。
100:處理系統 102:前開式晶圓盒 104:機器人臂 106:固持區域 108a:基板處理腔室 108b:基板處理腔室 108c:基板處理腔室 108d:基板處理腔室 108e:基板處理腔室 108f:基板處理腔室 109a:串列部分 109b:串列部分 109c:串列部分 110:第二機器人臂 200:電漿系統 201:內部側壁 202:腔室主體 203:電力箱 204:腔室蓋 206:遮蔽環 208:前驅物分配系統 212:側壁 216:底壁 218:雙通道噴頭 220A:處理區域 220B:處理區域 222:通道 224:通道 225:圓周泵送空腔 226:桿 227:襯墊組件 228:基座 229:基板 230:棒 231:排放埠 232:加熱元件 233:凸緣 235:圓周環 238:基底組件 240:前驅物入口通道 244:阻隔板 246:面板 247:卻通道 248:環形底板 258:介電隔離器 260:基板傳送埠 261:基板升舉銷 264:泵送系統 265:射頻(「RF」)源 300:方法 305:操作 310:操作 315:操作 320:操作 325:操作 400:半導體結構 402:含硼及矽層 404:蝕刻終止層
對所揭示技術的性質及優點的進一步理解可藉由參考說明書的剩餘部分及圖式來實現。
第1圖圖示了根據本技術的一些實施例的示例性處理系統的頂部平面圖。
第2圖圖示了根據本技術的一些實施例的示例性電漿系統的示意性橫截面圖。
第3圖圖示了根據本技術的一些實施例的半導體處理的示例性方法的操作。
第4圖圖示了根據本技術的一些實施例的半導體結構的橫截面圖。
若干圖式作為示意圖包括在內。將理解圖式係出於說明目的,並且除非特別聲明為按比例,否則不認為該等圖式係按比例的。此外,作為示意圖提供圖式以輔助理解,並且與現實表示相比可能不包括所有態樣或資訊,並且出於說明目的可包括放大的材料。
在附圖中,類似部件及/或特徵可具有相同的元件符號。另外,相同類型的各個部件可藉由元件符號之後跟有在類似部件之間進行區分的字母來進行區分。若在本說明書中僅使用第一元件符號,則本說明適用於具有相同第一元件符號的類似部件的任一個,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
305:操作
310:操作
315:操作
320:操作
325:操作

Claims (20)

  1. 一種半導體處理方法,包含以下步驟: 使一含矽前驅物流入一半導體處理腔室的一基板處理區域中; 使一含硼前驅物及分子氫(H 2)以一硼與氫的流動速率比流入該半導體處理腔室的該基板處理區域中; 增加該含硼前驅物及該H 2的該流動速率,其中在該流動速率增加期間該硼與氫流動速率比保持恆定; 在該半導體處理腔室的該基板處理區域中的一基板上沉積一含硼及矽層,其中該含硼及矽層作為從與該基板接觸的一第一表面到最遠離該基板的該含硼與矽層的一第二表面的硼與矽的一連續增加比率。
  2. 如請求項1所述的半導體處理方法,其中該含矽前驅物包含矽烷(SiH 4)。
  3. 如請求項1所述的半導體處理方法,其中該含矽前驅物以大於或約40 sccm的一矽流動速率流入該基板處理區域中。
  4. 如請求項1所述的半導體處理方法,其中該含硼前驅物包含二硼烷(B 2H 6)。
  5. 如請求項4所述的半導體處理方法,其中該硼與氫的流動速率比大於或約2:1。
  6. 如請求項1所述的半導體處理方法,其中該含硼前驅物及該H 2的該流動速率增加係大於或約5 sccm/秒。
  7. 如請求項1所述的半導體處理方法,其中該含硼及矽層的該沉積特徵在於大於或約10 Å/秒的一沉積速率。
  8. 如請求項1所述的半導體處理方法,其中該含硼及矽層特徵在於小於或約20原子%的最靠近該基板的硼與矽的一第一比率,並且進一步特徵在於大於或約50原子%的在最遠離該基板的該表面處的硼與矽的一第二比率。
  9. 一種半導體處理方法,包含以下步驟: 使一含矽前驅物流入一半導體處理腔室的一基板處理區域中; 使一含硼前驅物流入該半導體處理腔室的該基板處理區域中; 在該半導體處理腔室的該基板處理區域中的一基板上沉積一含硼及矽層,其中該含硼及矽層特徵在於硼與矽的從與該基板接觸的一第一表面到與該第一表面相對的該含硼及矽層的一第二表面的一線性增加比率,並且其中該含硼前驅物的一流動速率在該沉積該含硼及矽層期間非線性地增加。
  10. 如請求項9所述的半導體處理方法,其中在該沉積該含硼及矽層期間該含硼前驅物的該流動速率的一增加速率基於所沉積的硼與矽的該比率的一增加而增加。
  11. 如請求項9所述的半導體處理方法,其中該含硼及矽層特徵在於小於或約20原子%的最靠近該基板的硼與矽的一第一比率,並且進一步特徵在於大於或約50原子%的在最遠離該基板的該表面處的硼與矽的一第二比率。
  12. 如請求項9所述的半導體處理方法,其中該含矽前驅物包含矽烷,並且該含硼前驅物包含二硼烷。
  13. 如請求項9所述的半導體處理方法,其中該基板特徵在於大於或約300℃的一沉積溫度。
  14. 如請求項9所述的半導體處理方法,其中該沉積該含硼及矽層係一熱沉積製程或一電漿沉積製程。
  15. 一種半導體結構,包含以下步驟: 一含硼及矽層;以及 一蝕刻終止層, 其中該含硼及矽層具有在同該蝕刻終止層接觸的一近端部分與同該近端部分相對的一遠端部分之間的硼與矽的一連續改變比率,並且其中該含硼及矽層的該近端部分特徵在於小於或約20原子%的硼與矽的一第一比率,並且該遠端部分特徵在於大於或約50原子%的硼與矽的一第二比率。
  16. 如請求項15所述的半導體結構,其中該含硼及矽層包含硼摻雜的非晶矽。
  17. 如請求項15所述的半導體結構,其中該蝕刻終止層包含氧化矽或氮化矽。
  18. 如請求項15所述的半導體結構,其中在該含硼及矽層的該近端部分與該遠端部分之間的一蝕刻速率比係大於或約3:1。
  19. 如請求項15所述的半導體結構,其中在該含硼及矽層的該近端部分與該蝕刻終止層之間的一蝕刻選擇性比係大於或約5:1。
  20. 如請求項15所述的半導體結構,其中在該含硼及矽層的該遠端部分與該蝕刻終止層之間的一蝕刻選擇性比係小於或約2:1。
TW110136989A 2020-10-05 2021-10-05 半導體處理方法及半導體結構 TWI789935B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/063,339 US11961739B2 (en) 2020-10-05 2020-10-05 Boron concentration tunability in boron-silicon films
US17/063,339 2020-10-05

Publications (2)

Publication Number Publication Date
TW202229614A TW202229614A (zh) 2022-08-01
TWI789935B true TWI789935B (zh) 2023-01-11

Family

ID=80932448

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110136989A TWI789935B (zh) 2020-10-05 2021-10-05 半導體處理方法及半導體結構

Country Status (6)

Country Link
US (1) US11961739B2 (zh)
JP (1) JP2023544061A (zh)
KR (1) KR20230079278A (zh)
CN (1) CN116529850A (zh)
TW (1) TWI789935B (zh)
WO (1) WO2022076268A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240145246A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Oxidation enhanced doping

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101319309A (zh) * 2007-06-07 2008-12-10 应用材料股份有限公司 用流动梯度设计沉积均匀硅膜的方法和装置
WO2015048501A2 (en) * 2013-09-26 2015-04-02 Tel Solar Ag Process, film, and apparatus for top cell for a pv device
TW201704513A (zh) * 2015-06-05 2017-02-01 應用材料股份有限公司 賦予摻雜硼之碳膜靜電夾持及極佳粒子性能的漸變原位電荷捕捉層
CN111146348A (zh) * 2018-11-02 2020-05-12 三星Sdi株式会社 有机光电设备和显示设备

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090087967A1 (en) * 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
FR2944138B1 (fr) 2009-04-06 2012-12-07 Semco Engineering Sa Procede de dopage au bore de plaquettes de silicium
US9972489B2 (en) * 2015-05-28 2018-05-15 SemiNuclear, Inc. Composition and method for making picocrystalline artificial borane atoms
JP6624998B2 (ja) * 2016-03-30 2019-12-25 東京エレクトロン株式会社 ボロンドープシリコンゲルマニウム膜の形成方法および形成装置
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10347764B2 (en) 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101319309A (zh) * 2007-06-07 2008-12-10 应用材料股份有限公司 用流动梯度设计沉积均匀硅膜的方法和装置
WO2015048501A2 (en) * 2013-09-26 2015-04-02 Tel Solar Ag Process, film, and apparatus for top cell for a pv device
TW201704513A (zh) * 2015-06-05 2017-02-01 應用材料股份有限公司 賦予摻雜硼之碳膜靜電夾持及極佳粒子性能的漸變原位電荷捕捉層
CN111146348A (zh) * 2018-11-02 2020-05-12 三星Sdi株式会社 有机光电设备和显示设备

Also Published As

Publication number Publication date
JP2023544061A (ja) 2023-10-19
WO2022076268A1 (en) 2022-04-14
CN116529850A (zh) 2023-08-01
KR20230079278A (ko) 2023-06-05
US11961739B2 (en) 2024-04-16
TW202229614A (zh) 2022-08-01
US20220108892A1 (en) 2022-04-07

Similar Documents

Publication Publication Date Title
TW202030351A (zh) 氧化矽之拓撲選擇性膜形成之方法
JP7171900B2 (ja) 選択的材料除去
TWI789935B (zh) 半導體處理方法及半導體結構
JP2020534681A (ja) シリサイド化による金属含有膜の体積膨張
KR102599830B1 (ko) 결함 평탄화
TW202217042A (zh) 沉積低k介電膜的系統及方法
US20240087882A1 (en) Fluorine-doped silicon-containing materials
TWI776396B (zh) 用於沉積低介電常數介電膜的系統及方法
US11621162B2 (en) Systems and methods for forming UV-cured low-κ dielectric films
US20230094012A1 (en) Rf pulsing assisted low-k film deposition with high mechanical strength
TWI842123B (zh) 動態處理腔室擋板
US20230416909A1 (en) Method for formation of conformal ald sio2 films
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
TW202409327A (zh) 用於沉積低k介電質膜的系統以及方法
KR20240008945A (ko) 유동성 cvd 막 결함 감소
WO2022055896A1 (en) Systems and methods for cleaning low-k deposition chambers
TW202413718A (zh) 形成保形 ald sio2薄膜之方法
TW202144608A (zh) 硼及碳膜之催化形成
TW202208666A (zh) 沉積低k介電膜的系統及方法
TW424115B (en) Method of producing silicon oxide layer
TW202225452A (zh) 用於沉積高密度及高拉伸應力薄膜之系統及方法
TW202333185A (zh) 含碳材料之催化熱沉積
JP2023065305A (ja) 成膜方法及び成膜システム