KR20080107968A - 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법및 장치 - Google Patents

유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법및 장치 Download PDF

Info

Publication number
KR20080107968A
KR20080107968A KR1020070107949A KR20070107949A KR20080107968A KR 20080107968 A KR20080107968 A KR 20080107968A KR 1020070107949 A KR1020070107949 A KR 1020070107949A KR 20070107949 A KR20070107949 A KR 20070107949A KR 20080107968 A KR20080107968 A KR 20080107968A
Authority
KR
South Korea
Prior art keywords
gas distribution
distribution plate
chokes
plate
edge
Prior art date
Application number
KR1020070107949A
Other languages
English (en)
Other versions
KR100960756B1 (ko
Inventor
최수영
원태경
존 엠. 화이트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080107968A publication Critical patent/KR20080107968A/ko
Application granted granted Critical
Publication of KR100960756B1 publication Critical patent/KR100960756B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

가스 분배 플레이트로부터 생성된 유량 경사를 갖는 방법 및 장치가 제공된다. 일 실시예에서, 상기 방법 및 장치는 이에 제한되는 것은 아니나, 태양 전지 어플리케이션용 실리콘 막을 증착하는데 특히 유용하다. 태양 전지 어플리케이션용 균일 막을 증착하는 장치는 프로세싱 챔버 및 상기 프로세싱 챔버내에 배치되고 네 측부에 의해 분리된 적어도 네 개의 모서리들을 갖는 사변형의 가스 분배 플레이트를 포함한다. 상기 가스 분배 플레이트는 상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리에 위치된 다수의 제1 초크들(chokes) 및 상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리 영역들 사이에 있는 상기 가스 분배 플레이트의 측부를 따라 위치된 다수의 제2 초크들 - 상기 다수의 제1 초크들은 상기 다수의 제2 초크들보다 큰 유동 저항(flow resistance)을 가짐 - 을 포함한다.

Description

유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치{METHODS AND APPARATUS FOR DEPOSITING A UNIFORM SILICON FILM WITH FLOW GRADIENT DESIGNS}
도 1은 프로세스 챔버의 일 실시예의 개략적 단면도를 도시한다;
도 2a-c는 유량 경사를 생성하는 서로 다른 제조의 스테이지에서의 가스 분배 플레이트의 단면도를 도시한다;
도 3a-b는 유량 경사를 생성하는 가스 분배 플레이트의 단면도를 서로 다른 제조 스테이지에서 도시한다.
도 4a-b는 유량 경사를 생성하는 가스 분배 플레이트의 다른 실시예의 단면도를 서로 다른 제조 스테이지에서 도시한다;
도 5는 가스 분배 플레이트를 제조하는 데 적절한 열 처리 프로세스의 일 실시예를 도시한다;
도 6a-b는 도 5에 도시된 열 처리 프로세스의 다른 스테이지를 도시한다;
도 7은 가스 분배 플레이트에 형성될 수 있는 초크의 일 실시예를 도시한다;
도 8은 가스 분배 플레이트를 관통하여 형성된 다른 구성의 초크를 갖는 상기 가스 분배 플레이트의 다른 실시예의 단면도를 도시한다;
도 9a-c는 가스들의 유량 경사를 제공하는 다수의 초크들을 갖는 가스 분배 플레이트의 다른 실시예를 도시한다;
도 10은 가스 분배 플레이트에 형성될 수 있는 초크들의 다른 실시예들을 도시한다;
도 11a-b는 가스 분배 플레이트를 제조하는 프로세스 플로우의 다른 스테이지들에서의 가스 분배 플레이트의 단면도를 도시한다;
도 12a-b는 플레이트의 중심부 및 가장자리부에 형성된 서로 다른 초크 구성들을 갖는 가스 분배 플레이트의 다른 실시예의 단면도를 도시한다;
도 13은 가스 분배 플레이트의 저면도의 개략도를 도시한다;
도 14a-b는 플레이트의 서로 다른 영역들에 형성된 서로 다른 초크 구성들을 갖는 플레이트의 단면도의 예시적인 실시예를 도시한다;
도 15는 가스 분배 플레이트의 평면도의 다른 실시예를 도시한다;
도 16a-b는 라인 A-A를 따라 절개된 도 15의 가스 분배 플레이트(1500)의 단면도를 도시한다;
도 17은 어댑터 플레이트(1770) 내부에 형성된 서로 다른 초크 구성들을 가질 수 있는 상기 어댑터 플레이트의 서로 다른 실시예들을 도시한다;
도 18a-c는 라인 B-B를 따라 절개된 도 15의 가스 분배 플레이트(1500)의 단면도를 도시한다;
도 19a-19b는 만곡형 가스 분배 플레이트의 서로 다른 실시예들의 평면도를 도시한다.
본 발명의 실시예들은 일반적으로는 가스 분배 플레이트 어셈블리와, 이를 프로세싱 챔버에서 제조하는 방법에 관한 것이다.
광기전성(PV) 장치는 태양광을 직류(DC) 전력으로 변환하는 장치이다. PV 또는 태양 전지는 일반적으로 하나 이상의 p-i-n 접합을 갖는다. 각각의 접합은 반도체 물질 내에 두 개의 서로 다른 영역을 포함하며, 이 중 한쪽은 p-형 영역으로 표시되고 다른 한쪽은 n-형 영역으로 표시된다. PV 전지의 p-i-n 접합은 (광자로부터의 에너지로 이루어지는) 태양광에 노출될 때, 태양광은 PV 효과를 통해 전기로 직접 변환된다. PV 태양 전지는 특정량의 전력을 생성하고 전지는 원하는 양의 시스템 전력을 전달하는 크기를 갖는 모듈로 타일링(tiling)된다. PV 모듈은 다수의 PV 태양 전지를 연결하여 생성된 후 특정 프레임들과 커넥터들을 이용하여 접합되어 패널이 된다.
PV 태양 전지는 일반적으로 대형 투명 기판상에 형성된 광전 변환 유닛을 포함한다. 광전 변환 유닛은 투명 기판상에 순차적으로 형성되는 p-형, 진성형(intrinsic type, i-형) 및 n-형 실리콘 층을 포함한다. 광전 변환 유닛을 형성하기 위해 이용될 수 있는 실리콘 막은 폴리실리콘, 미정질 실리콘(μc-Si), 및 비정질 실리콘(a-Si) 막을 포함할 수 있다. 플라즈마 강화 화학기상증착(PECVD)은 일반적으로 투명 기판상에 실리콘 막을 증착하기 위해 사용된다. PECVD 프로세스는 전구체 가스 또는 가스 혼합물을 투명 기판을 포함하고 있는 진공 챔버로 도입 함으로써 수행된다. 전구체 가스 또는 가스 혼합물은 분배 플레이트로부터 투명 기판의 표면쪽으로 공급된다. RF 전력이 챔버내에 배치된 분배 플레이트 및/또는 기판 지지 어셈블리에 인가되어 전구체 가스 또는 가스 혼합물로부터 플라즈마를 형성한 후, 순차적으로 투명 기판의 표면상에 원하는 막 특성을 갖는 실리콘 층을 증착한다.
보다 큰 태양 전지 기판에 대한 요구가 계속해서 성장함에 따라, 점점 증가하는 보다 큰 기판의 표면 영역에 대하여 PECVD 프로세스 동안 균일한 플라즈마 및/또는 프로세스 가스를 유지하는 것은 점점 더 어려워져 왔다. 증착막의 중심부와 가장자리부 간의 막 특성 변화는 대형의 효율적인 태양 전지를 생성하는 데 중요한 도전을 제공한다. 계속 증가하는 기판 크기와 더불어, 가장자리 대 중심의 특성 변화는 더욱 문제가 되어 왔다.
따라서, 화학기상증착 프로세스에 의해 대면적 기판상에 원하는 특성을 갖는 균일한 막을 증착하기 위한 개선된 장치에 대한 필요성이 존재한다.
태양 전지 어플리케이션용 실리콘 막을 증착하는데 적합한 가스 분배 플레이트로부터 생성된 유량 경사를 생성하는 방법 및 장치가 제공된다. 일 실시예에서, 태양 전지 어플리케이션용 막을 증착하는 장치는 프로세싱 챔버, 및 상기 프로세싱 챔버내에 배치되고 네 측부에 의해 분리된 적어도 네 개의 모서리들을 갖는 사변형의 가스 분배 플레이트를 포함한다. 상기 가스 분배 플레이트는 상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리에 위치된 다수의 제1 초크들(chokes) 및 상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리 영역들 사이에 있는 상기 가스 분배 플레이트의 측부를 따라 위치된 다수의 제2 초크들을 더 포함하며, 상기 다수의 제1 초크들은 상기 다수의 제2 초크들보다 큰 유동 저항(flow resistance)을 가진다.
다른 실시예에서, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치는, 프로세싱 챔버; 및 상기 프로세싱 챔버내에 배치되고 네 측부에 의해 분리된 적어도 네 개의 모서리들을 갖는 사변형의 가스 분배 플레이트를 포함한다. 상기 가스 분배 플레이트는: 상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리들에 위치된 다수의 제1 초크들(chokes); 및 상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리 영역들 사이에 있는 상기 가스 분배 플레이트의 측부를 따라 위치된 다수의 제2 초크들을 더 포함하고, 상기 다수의 제1 초크들은 상기 다수의 제2 초크들보다 큰 길이를 가진다.
또 다른 실시예에서, 태양 전지 어플리케이션용의 균일한 막을 증착하는 장치는, 프로세싱 챔버; 및 상기 프로세싱 챔버내에 배치되고, 적어도 세 개의 서로 다른 유동 저항의 영역을 규정하도록 배치된 다수의 초크들이 내부에 관통 형성되는, 가스 분배 플레이트를 포함하며, 상기 가스 분배 플레이트의 모서리에 규정된 제1 영역은 상기 가스 분배 플레이트의 가장자리를 따라 규정된 제2 영역의 유동 저항 보다 큰 유동 저항을 가지며, 상기 가스 분배 플레이트의 중심에서 규정된 제3 영역은 상기 제2 영역보다 작은 유동 저항을 갖는다.
또 다른 실시예에서, 챔버 내에서 태양 전지 어플리케이션용 균일 막을 증착하는 방법은, 챔버 내에 배치된 기판 지지 어셈블리와 마주하는 가스 분배 플레이트를 구비한 챔버로 기판을 제공하는 단계; 상기 가스 분배 플레이트의 모서리를 통해 상기 기판쪽으로 상기 가스 분배 플레이트의 중심을 통해 흐르는 프로세스 가스의 속도보다 느린 속도로 프로세스 가스를 흘리는 단계; 및 상기 프로세스 가스로부터 기판상에 실리콘 막을 증착하는 단계를 포함한다.
이해를 쉽게 하기 위해서, 가능한 곳에서는 도면에 공통된 동일한 요소들을 표시하기 위해서 동일한 도면부호들이 사용되었다. 일 실시예의 요소들과 특징들은 추가의 언급 없이도 다른 실시예들에 유리하게 통합될 수 있음이 예상된다.
그러나, 첨부된 도면들은 본 발명의 예시적인 실시예들만을 도시하고 있으며 따라서 그 범위의 제한으로 간주되지 않아야 하는데, 이는 본 발명이 다른 균등하교 유효한 실시예들에 허용될 수 있기 때문임에 주의하여야 한다.
본 발명의 상기 언급된 특징들이 얻어지며 보다 상세히 이해될 수 있는 방법과, 위에서 간략히 요약된 본 발명의 보다 구체적인 설명은, 첨부된 도면에 도시된 본 발명의 실시예들을 참조하여 얻어질 수 있다.
태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법 및 장치가 제공된다. 일 실시예에서, 장치는 기판쪽으로 흐르는 가스들의 경사를 생성하도록 서로 다른 초크 길이를 갖는 가스 분배 플레이트를 포함한다. 가스 분배 플레이트에 의해 생성된 유량 경사는 가스 분배 플레이트를 통해 기판 표면으로 제공되는 프로세스 가스들의 가장자리에서 모서리로의 분배의 유연한 제어를 제공한다. 기 판을 가로지르는 가스들의 제어된 분배는 기판상에 증착된 막의 두께 및/또는 프로파일을 조절하는 능력을 강화시킨다. 가스 분배 플레이트에서 서로 다른 초크 길이에 의해 생성된 유량 경사는 또한 기판의 폭에 대하여 막 특성 변화의 제어를 용이하게 하는 프로세스 제어를 제공한다.
도 1은 플라즈마 강화 화학기상증착(PECVD) 챔버(100)의 일 실시예의 개략적 단면도를 도시하며, 상기 챔버에는 태양 전지 또는 다른 대면적 장치를 제조하는 데 적절한 하나 이상의 막이 형성될 수 있다. 하나의 적절한 플라즈마 강화 화학기상증착 챔버는 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼즈사로부터 이용가능하다. 다른 제조자들에 의해 생산된 것들을 포함하는 다른 증착 챔버들이 본 발명을 실시하는 데 이용될 수 있음이 예상된다. 또한 본원에 기재된 기술들은 다른 구조들 또는 장치들을 제조하는 데 유용하게 이용될 수 있음이 예상된다.
챔버(100)는 일반적으로 벽들(102)과 프로세스 볼륨(106)을 한정하는 저면(104)을 포함한다. 가스 분배 플레이트(110) 및 기판 지지 어셈블리(130)가 프로세스 볼륨(106)에 배치된다. 프로세스 볼륨(106)은 벽(102)을 통해 형성된 슬릿 밸브 통로(108)를 통해 액세스되며, 상기 통로는 챔버(100) 내외부로 기판이 이송될 수 있게 해준다.
기판 지지 어셈블리(130)는 상부에 기판(140)을 지지하는 기판 수용면(132)을 포함한다. 축(134)은 기판 이송 위치 및 프로세싱 위치 사이에서 기판 지지 어셈블리(130)를 상승 및 하강시키는 승강 시스템(136)에 기판 지지 어셈블리(130)를 결합시킨다. 프로세싱할 때 기판(140)의 모서리에의 증착을 방지하기 위하여 기 판(140)의 주변에는 섀도우 프레임(shadow frame, 133)이 선택적으로 배치될 수 있다. 승강핀(138)은 기판 지지 어셈블리(130)를 관통하여 제거가능하게 배치되며 기판 수용면(132)으로부터 기판(140)을 이격시키도록 적응되어 로봇 블레이드(robot blade)를 이용한 기판의 교환을 용이하게 한다. 기판 지지 어셈블리(130)는 또한 원하는 온도에서 기판 지지 어셈블리(130)를 유지하기 위해 이용되는 가열 및/또는 냉각 요소들(139)을 포함한다. 기판 지지 어셈블리(130)는 또한 기판 지지 어셈블리(130)의 주변에 RF 접지를 제공하기 위하여 접지 스트랩(131)들을 포함한다. 접지 스트랩들의 예는 Law 등에 2000년 2월 15일에 등록된 미국특허 No.6,024,044 및 Park 등에 의해 2006년 12월 20일 출원된 미국특허출원 No.11/613,934에 개시되어 있다.
가스 분배 플레이트(110)는 현가장치(suspension, 114)에 의해 그 주변부에서 백킹 플레이트(backing plate, 112)에 결합되어 있다. 가스 분배 플레이트는 또한 하나 이상의 중심 지지부(167)들에 의해 백킹 플레이트(112)에 결합될 수 있어서 가스 분배 플레이트의 처짐을 방지하고/하거나 가스 분배 플레이트의 곧음/휨을 제어하는 데 도움이 된다. 일 실시예에서, 가스 분배 플레이트(110)는 다른 크기를 갖는 다른 구조일 수 있다. 예시적인 실시예에서, 가스 분배 플레이트(110)는 사변형의 가스 분배 플레이트이다. 가스 분배 플레이트는 상부면(198)과 하류면(downstream surface, 150)을 가진다. 상부면(198)은 백킹 플레이트(112)의 하부면(196)과 면한다. 가스 분배 플레이트(110)는 상기 가스 분배 플레이트를 관통하여 형성되고 기판 지지 어셈블리(130)상에 배치된 기판의 상부면(118)과 면하는 다수의 초크들(111)을 포함한다. 초크들(111)은 가스 분배 플레이트(110)를 가로질러 서로 다른 형상, 수, 밀도, 크기, 및 분포를 가질 수 있다. 초크들(111)의 직경은 약 0.01 인치 내지 약 1 인치에서 선택될 수 있다. 가스 소스(120)는 백킹 플레이트(112)에 결합되어 가스 분배 플레이트(110)와 백킹 플레이트(112) 사이에 형성된 플레넘(plenum)에 가스를 제공한다. 가스 소스(120)로부터의 가스는 가스 분배 플레이트(110)내에 형성된 초크(111)로부터 프로세스 볼륨(106)으로 흐른다.
일 실시예에서, 플레이트(110)의 상이한 영역에 있는 초크들(111)은 상이한 유체 전도성(fluid conductance)을 가지며, 이에 의해 프로세스 볼륨(106)에 진입하는 유량 경사(flow gradient)를 생성한다. 초크(111)의 길이, 형상, 프로파일, 구멍 조도(bore roughness) 및/또는 다른 속성은 각각의 초크(111)의 전도성을 제어하는 데 이용될 수 있다. 초크(111)의 서로 다른 전도성이 프로세스 볼륨(106)으로의 서로 다른 양의 프로세스 가스들을 허용할 수 있기 때문에, 기판 표면(118)에 대하여 형성된 유량 경사는 기판 표면(118)상에 증착된 프로파일, 막 특성 및 두께를 조절하기 위하여 효율적으로 이용되고 구성될 수 있다. 분배 플레이트(110)의 가장자리들(edges)에 대한 분배 플레이트(110)의 모서리들(corners)의 상이한 전도성을 가지게 함으로써 막 특성 균일도가 개선될 수 있음이 발견되었다.
일 실시예에서, 상이한 길이의 초크들(111)이 플레이트(110)의 상부면(198) 및/또는 하류면(150)으로부터 플레이트(110)의 일부를 머시닝(machining)함으로써 형성될 수 있고, 이에 의해 머시닝된 부분에 위치된 초크들(111)이 머시닝되지 않은 부분에 위치된 초크들(111)보다 더 짧은 길이를 갖는다. 대안으로, 초크 들(111)의 길이는 가스 분배 플레이트(110)내의 상이한 통로 구조를 생성하도록 초크들(111)에 동심상으로 형성된 하나 이상의 구멍들을 포함함으로써 형성될 수 있고, 이는 도 7-10을 참조하여 이후에 보다 상세히 기재될 것이다.
진공 펌프(109)는 원하는 압력에서 프로세스 볼륨(106)을 유지하기 위하여 챔버(100)에 결합된다. 가스 분배 플레이트(110)와 기판 지지 어셈블리(130) 사이에 전기장을 생성하도록 RF 전력을 제공하기 위하여 백킹 플레이트(112) 및/또는 가스 분배 플레이트(110)에는 RF 전력 소스(122)가 결합되어, 가스 분배 플레이트(110)와 기판 지지 어셈블리(130) 사이에 제공되는 가스들로부터 플라즈마가 발생될 수 있다. 다양한 RF 주파수들, 가령 0.3 ㎒ 내지 약 200 ㎒ 사이의 주파수가 사용될 수 있다. 일 실시예에서 Rf 전력 소스는 13.56 ㎒의 주파수로 제공된다. 가스 분배 플레이트의 예는 White 등에 2002년 11월 12일부로 등록된 미국특허 No.6,477,980, Choi 등의 2005년 11월 17일에 간행된 미국 공개특허 No.20050251990, 및 Keller 등의 2006년 3월 23일에 간행된 미국 공개특허 No.2006/0060138에 개시되어 있다.
원격 플라즈마 소스(124), 가령 유도 결합 원격 플라즈마 소스가 가스 소스와 백킹 플레이트 사이에 결합될 수도 있다. 기판들을 프로세싱하는 사이에, 챔버 컴포넌트들을 세정하기 위하여 이용되는 원격 생성된 플라즈마를 제공하도록 세정 가스가 원격 플라즈마 소스(124)에서 활성화(energizing)될 수 있다. 세정 가스는 전력 소스(122)에 의해 가스 분배 플레이트(110)에 제공되는 Rf 전력에 의해 추가 로 여기될 수 있다. 적절한 세정가스들은 이에 제한되지는 않으나, NF3, F2, 및 SF6을 포함한다. 원격 플라즈마 소스들의 예는 Shang 등에 1998년 8월 4일 등록된 미국특허 No.5,788,778에 개시되어 있다.
일 실시예에서, 챔버(100)에서 처리될 기판(140)은 10,000 ㎠ 이상, 가령 40,000 ㎠ 이상, 예를 들어 약 55,000 ㎠ 이상의 표면적을 가질 수 있다. 프로세싱 후에는 더 작은 태양 전지 또는 다른 장치들을 형성하기 위해 기판이 커팅될 수 있음이 이해될 것이다.
일 실시예에서, 가열 및/또는 냉각 요소(139)가 증착 동안 기판 지지 어셈블리 온도를 약 400℃ 이하, 예를 들어 약 100℃ 내지 약 400℃, 또는 약 150℃ 내지 약 300℃, 가령 약 200℃로 유지하기 위하여 설치될 수 있다.
기판 수용면(132)상에 배치된 기판의 상부면과 가스 분배 플레이트(110) 사이의 증착 동안의 이격은 400 mil 내지 약 1,200 mil, 가령 400 mil 내지 약 800 mil일 수 있다.
실리콘 막의 증착을 위하여, 실리콘 기반의 가스와 수소 기반의 가스가 가스 분배 플레이트(110)를 통해 제공된다. 적절한 실리콘 기반의 가스는 이에 제한되지는 않으나 실란(SiH4), 디실란(Si2H6), 실리콘 테트라플루오라이드(SiF4), 실리콘 테트라클로라이드(SiCl4), 디클로로실란(SiH2Cl2), 및 이들의 조합을 포함한다. 적절한 수소 기반의 가스는 이에 제한되지는 않으나, 수소 가스(H2)를 포함한다. p- 형 실리콘 층의 p-형 불순물은 3족 원소, 가령 붕소 또는 알루미늄을 포함한다. 일 실시예에서, 붕소가 p-형 불순물로 사용된다. 붕소 함유 소스의 예는 트리메틸보론(TMB), 디보란(B2H6), BF3, B(C2H5), BH3, BF3, 및 B(CH3)3 및 유사한 화합물을 포함한다. 다른 실시예에서, TMB가 p-형 불순물로 사용된다. n-형 실리콘 층의 n-형 불순물은 Ⅴ족 원소, 가령 인, 비소, 또는 안티몬을 포함한다. 인 함유 소스의 예는 인 및 유사한 화합물을 포함한다. 불순물은 일반적으로 캐리어 가스, 가령 수소, 아르곤, 헬륨, 또는 다른 적절한 화합물을 이용하여 제공된다. 본원에 개시된 프로세스 영역에는, 수소 기반 가스의 총 가스 유속이 제공된다. 따라서, 수소 기반 가스가 캐리어 가스로써, 가령 불순물로써 제공된다면, 얼마나 많은 부가적인 수소 기반 가스가 챔버에 제공되어야 하는가를 결정하기 위하여 수소 기반 가스의 총 유량비로부터 캐리어 가스 유량비가 차감되어야 한다.
도 2a-c는 제조 시퀀스의 서로 다른 스테이지에서의 가스 분배 플레이트의 단면도를 도시한다. 가스 분배 플레이트(110)는 백킹 플레이트(112)와 면하는 상부면(198)과, 기판 지지 어셈블리(130)와 면하는 대향하는 하류면(150)을 갖는다. 일 실시예에서, 상부면(198)과 하류면(150)은 평행한 평면일 수 있다. 위에서 논의된 것처럼, 초크들(111)은 서로 다른 프로세스 요건을 만족하는 서로 다른 구조, 형상, 특징, 및 수를 가질 수 있다. 도 2a에 도시된 실시예에서, 플레이트(110)의 모서리부(224) 및 가장자리부(226)에 있는 초크들(111)은 동일한 길이(220, 222)를 갖는 직선 벽을 가질 수 있다. 플레이트(110)의 상부면(198) 및/또는 하류면(150) 은 머시닝되거나 그렇지 않으면 기판 지지 어셈블리(130)의 상부면(132) 및/또는 백킹 플레이트(112) 하부면(196)에 대해 오목면(206)으로 형성될 수 있다. 머시닝 프로세스가 플레이트(110)의 상부면(198)의 일부를 제거하는 실시예에서, 오목면(206)이 플레이트(110)에 생성되어, 도 2b에 도시된 것처럼, 플레이트(110)의 중심부(226)가 모서리부(224)보다 더 얇다. 일 실시예에서, 곡면(206)과 최초의 평면(점선 198로 도시됨) 사이에 생성된 코드 깊이(254)는 약 0.05 인치 내지 약 1 인치가 되도록 구성될 수 있다. 곡면(206)과 최초의 평면(점선 198로 도시됨) 사이에 생성된 코드 깊이(254)는 플레이트(110)의 크기에 비해 작다. 일 실시예에서, 최대 코드 깊이(254)는 플레이트(110)의 특징 길이(characteristic length)의 약 3퍼센트 이하(가령 약 0.1 퍼센트 내지 약 2.0 퍼센트)의 길이로 제어될 수 있다. 코드 깊이(254)를 직사각형 플레이트 또는 원형 플레이트와 비교하기 위하여, 특징 길이는 "등가 반지름"으로 간주된다. 원형 확산기에 대하여, 등가 반지름은 플레이트의 반지름에 해당한다. 정사각형 또는 직사각형 플레이트에 대하여, 등가 반지름은 대각선의 1/2이다. 약 2200mm×1870mm의 직경을 갖는 플레이트(110)의 실시예에서, 등가 반지름은 약 1440mm이고 최대 코드 깊이(304)는 약 28.4mm이다.
플레이트의 가장자리부(226)에 형성된 초크들(204)은 모서리부(224)에 형성된 초크들(250)의 길이보다 더 짧은 길이(222)(따라서, 더 작은 저항)을 갖는다. 또한, 플레이트(110)의 곡면(206)은 선택적으로는 플레이트(110)의 가장자리에 있는 초크들(111)의 길이가 플레이트(110)의 중심 부근에 위치한 초크들의 길이보다 길도록 구성될 수 있다. 초크(111)의 점점 변하는 길이는 플레이트(110)를 통한 서로 다른 유동 저항을 생성하고, 이에 의해 가스 분배 플레이트(110)를 통해 프로세스 볼륨(106)으로 흐르는 프로세싱 가스들의 변화된 유속 및/또는 부피 비율 프로파일을 생성한다. 특히, 초크들은 플레이트(110)의 가장자리에 비해 모서리에서 플레이트(110)를 통한 전도성을 감소시키도록 구성된다. 가스 분배 플레이트(110)를 통해 흐르는 서로 다른 양의 프로세싱 가스들은 프로세싱 볼륨(106)에서 유량 경사를 생성한다. 경사는 증착된 막 프로파일, 특성, 막 특성의 균일도, 및 두께, 및/또는 증착된 막의 물리적 속성들을 조정하는 프로세스 제어 손잡이(knob)를 증명하기 위해 선택될 수 있다. 따라서, 가스 분배 플레이트(110)의 사용은 증착된 실리콘 막의 커버에서 가장자리로의 그리고 가장자리에서 중심으로의 결정 부분 할당(crystal fraction ration)을 개선하기 위해 이용될 수 있다.
유량 경사는 증착된 막의 중심에서 가장자리로의 균일도를 조절하기 위해 사용될 수도 있다. 예를 들어, 막이 종래의 가스 분배 플레이트를 이용하여 일반적으로 돔형인 막 프로파일로 증착되는 실시예(가령, 가장자리부보다 더 두꺼운 중심부를 갖는 막 프로파일)에서, 가장자리부(226) 및 모서리부(224) 근처에 위치된 초크들에 비해 플레이트(110)의 중심부에 위치된 초크들의 보다 짧은 길이가 기판(140)상에 형성된 증착된 막 프로파일을 보다 평편한 구조로 조절하기 위해 이용될 수 있다. 반대로, 막이 종래의 가스 분배 플레이트를 이용하여 일반적으로 오목 형인 막으로 증착될 실시예(가령, 가장자리보다 더 얇은 중심부를 갖는 막 프로파일)에서, 가장자리부 근처에 위치된 초크들에 비해 중심부에 위치된 초크(250)들의 보다 긴 길이가 이용될 수 있다.
또 다른 실시예에서, 플레이트(110)의 하류 면(150)은 머시닝되거나 기판 지지 어셈블리(130)의 상부면(132)에 대해 오목면(260)을 가지도록 형성될 수 있다. 머시닝 프로세스는 플레이트(110)의 하류면(150)으로부터 플레이트(110)의 일부를 제거하여 플레이트(110)의 가장자리부(226)의 중심은 도 2c에 도시된 것처럼 모서리부(224)보다 더 얇다. 플레이트(110)의 곡면(260)은 챔버(100)내에 플레이트(110)를 설치할 때 곡면(206)과 기판 지지 어셈블리(130) 사이에 점진적으로 변하는 거리를 생성한다. 일 실시예에서, 곡면(260)과 최초의 평면(점선 150로 도시됨) 사이에 생성된 코드 깊이(256)는 약 0.05 인치 내지 약 1 인치이다. 하류 곡면(260)과 기판 지지 어셈블리(130) 사이의 거리가 기판 지지면(132)을 가로질러 점지적으로 변함에 따라 막의 증착 프로파일이 제어될 수 있다. 플레이트(110)의 상부 곡면(206)과 하류 곡면(260)의 조합은 프로세싱 동안 기판면(118)을 가로질러 유량 경사 및 경사 이격(gradient spacing) 모두를 생성하고, 이에 의해 기판 표면을 가로질러 가스 및/또는 플라즈마 분배의 강화된 제어를 제공하여 증착된 막의 프로파일, 특성, 막 특성들의 균일도, 및 두께의 효율적인 제어를 가능하게 한다.
일 실시예에서, 초크(111)는 공동 캐소드 효과를 생성하는 범위에서 선택된 직경(258)을 갖는다. 증착 동안에, 플라즈마는 챔버내에 공급된 가스 혼합물을 이온화하도록 생성된다. 선택된 범위의 초크 직경을 이용하여, 플라즈마는 가스 분배 플레이트(110)의 초크들(111)에 머무를 수 있고, 이에 의해 "공동 캐소드 효과(hollow cathode effect)"로 알려진, 전자 방출, 전자들의 진동 이동(oscillation movement), 및 가스 이온화를 증가시킨다. 초크들(111)의 구조가 예를 들어, 공동 캐소드 효과를 제공하는 직경보다 더 작거나 더 큰 소직경으로 선택되는 다른 실시예들에서, 플라즈마는 초크들(111)에 머무르지 않을 것이며, 이에 의해 원치 않는 과반응 및/또는 과증착을 제거할 것이다. 일 실시예에서, 초크들(111)의 직경(238)은 약 0.05 인치 및 약 0.5 인치의 직경을 가져서 원하는 양의 공동 캐소드 효과를 생성한다.
공동 캐소드 효과가 요구되지 않는 실시예에서, 초크들(111)의 직경(238)은 약 0.01 인치 내지 약 0.05 인치에서 선택될 수 있다. 또한, 도 2b에 도시된 하류면(150) 및/또는 도 2c에 형성된 하류 곡면(260)에 형성된 초크들(111)은 초크들(111) 내에 공동 캐소드 경사의 발생을 제어하기 위하여 서로 다른 개구 구성을 가질 수 있다. 공동 캐소드 효과 및/또는 경사를 생성하는 서로 다른 구조들은 도 7-9를 참조하여 추가로 기재될 것이다.
도 3a-b는 유량 경사를 생성하는 가스 분배 플레이트(300)의 단면도를 가장자리 대 모서리 유량 경사를 생성하는 가스 분배 플레이트(300)에 대한 서로 다른 제조 프로세스의 스테이지에서 도시한다. 도 1 및 도 2a-c에 도시된 가스 분배 플레이트(110)의 디자인과 유사하게, 다수의 초크들(314)은 도 3a에 도시된 것처럼 플레이트(300)를 관통하여 형성될 수 있다. 플레이트(300)는 그 후 플레이트(300)의 (실선면 302로 도시된 것처럼) 평편한 면으로부터 오목한 상부면(306)을 만들기 위하여 변형 및/또는 머시닝된다. 이러한 프로세스는 또한 플레이트(300)의 하류면(316)이 오목면(316)이 되게 할 수도 있다. 이후에, 가장자리부(310)에 있는 볼록면(316)은 평편한 면(312)을 형성하도록 머시닝되어 상부면을 원하는 오목 형상 으로 하고, 이는 도 3b에 도시된 것처럼 서로 다른 길이(318, 320)을 갖는 플레이트(300)의 가장자리부(310) 및 모서리부(308)의 중심에 있는 초크들(314)을 생성한다. 제조 프로세스에 의해 이루어진 초크들(314)의 변형은 명료성을 위해 도면에는 도시되지 않았음에 주의하여야 한다.
도 1 및 도 2a-c에 형성된 초크들(111)과 유사하게, 초크들(314)은 제조 프로세스의 시작부에서 플레이트(300)의 모서리부 및 가장자리부(308, 310)에서 균등한 길이(320, 318)를 갖는 직선 벽을 가질 수 있다. 설명을 쉽게 하기 위하여, 어떤 초크들(314)은 이제 내부 초크(322) 및 외부 초크(324)로 불리워질 것이다. 내부 초크들(322)은 플레이트(300)의 가장자리부(310)의 중심 부근에 위치되며 외부 초크들(324)은 플레이트(300)의 모서리부(308) 부근에 위치된다. 플레이트(300)는 상부면(302)을 곡면(306)으로 형성하도록 변형되기 때문에, 플레이트(300)에 형성된 초크들(314)의 크기, 길이, 깊이 및 구조는 또한 변형 프로세스에 의해 변경된다. 예를 들어, 플레이트(300)의 하류면(312)이 볼록면을 형성하도록 만곡될 때, 플레이트(300)의 가장자리부(310)에 위치된 초크들(322)의 일부는 대응하여 머시닝되고, 이에 의해 플레이트(300)의 가장자리부(310)에 있는 초크들(322)의 길이는 모서리부(308)에 있는 초크들(324)의 길이보다 짧아진다. 또한, 휨 및/또는 변형 프로세스에 의해 생성된 볼록 상부면(206)에서의 초크들(322)의 변형에 의해, 초크들(322)이 서로 다른 길이 및/또는 내부 곡면을 갖는 내부 벽들을 가질 수도 있고, 이에 의해 가스들이 플레이트(300)를 통해 지나갈 때, 유량 경사를 생성하는 것을 보조한다. 잘 정의되고 계산된 머시닝 및/또는 휨 프로세스에 의해, 초크들의 깊 이, 길이, 분포, 형상, 및 밀도는 기판 지지 어셈블리(130)상에 배치된 기판의 표면을 가로질러 원하는 가스 및/또는 플라즈마 분배를 생성하도록 미리 결정될 수 있고, 이에 의해 기판상에 증착된 막의 두께 프로파일 및 특성의 제어를 용이하게 할 수 있다.
도 4a-b는 유량 경사를 생성하는 가스 분배 플레이트(400)의 단면도를, 만곡면을 갖는 가스 분배 플레이트(400)를 제조하는 프로세스 플로우의 서로 다른 스테이지에서 도시한다. 다수의 초크들(450)은 도 4a에 도시된 것처럼, 플레이트(400)를 관통해 형성될 수 있다. 플레이트(400)는 변형되어 플레이트(400)의 평편한 면(점선면 418로 도시됨)으로부터 오목한 하류면을 형성한다. 이 프로세스는 또한 플레이트(400)의 상부면(420)이 평편한 것에서 볼록면(420)으로 볼록해지게 할 수도 있다. 이후, 가장자리부(310)의 볼록면(420)은 도 4b에 도시된 것처럼 하류면(402)을 원하는 오목형상으로 남기고, 가장자리부(310)의 중심에 있는 볼록면(420)이 평편한 면(422)을 형성하도록 머시닝된다. 변형 제조 프로세스에 의해 야기된 초크들(450)의 변형은 명료성을 위해 도면에는 도시되지 않았음에 주의하여야 한다. 곡면(402)과 최초의 평면(점선 418로 도시됨) 사이에 형성된 코드 깊이(414)는 약 0.05 인치 내지 약 1 인치이며, 이에 의해 곡면(402) 및 이와 면하는 기판 지지 어셈블리(130) 사이의 점진적으로 변하는 거리를 형성한다.
초크들(450)은 플레이트(400)내에 형성된 제1 보어(406, 408) 및 제2 보어(410, 412)를 갖는다. 플레이트(400)는 하류면(418)을 곡면(402)으로 형성하기 위하여 변형되기 때문에, 플레이트(400)에 형성된 초크들(450)의 크기, 형상 및 구 조는 형성 프로세스에 따라 변경될 수도 있다. 또한, 플레이트(400)의 상부면이 머시닝됨에 따라, 플레이트(400)의 가장자리부(430)의 중심에 위치된 제1 보어(406)가 제거되고, 이에 의해 플레이트(400)의 가장자리부(430)의 중심에 있는 제1 보어(406)의 길이가 모서리부(408)에 배치된 제1 보어(408)의 길이보다 짧아진다. 또한, 휨 프로세스에 의해 생성된 오목면(402)에 있는 제2 보어(410, 412)의 변형은 또한 제2 보어(410, 412)가 테이퍼링된 내부벽 및 상이한 공동 프로파일을 가지게 한다. 제2 보어(410, 412)는 상이한 공동 프로파일을 가지기 때문에, 기판 표면을 가로질러 플라즈마 균일도에 있어서의 경사를 일으키는 공동 캐소드 효과 및/또는 공동 캐소드 경사(HCG)가 이에 의해 발생된다. 잘 정의되고 계산된 머시닝 및/또는 휨 프로세스에 의해, 초크들의 깊이, 분배, 형상, 및 밀도가 기판 지지 어셈블리(130)상에 위치된 기판의 표면을 가로질러 원하는 가스 및/또는 플라즈마 분배를 생성하도록 선택될 수 있고, 이에 의해, 원하는 두께 프로파일 및 막 특성을 갖는 기판 표면상의 막을 증착한다.
도 5는 만곡면을 갖는 가스 분배 플레이트를 제조하는 열 처리 프로세스의 일 실시예의 프로세스 플로우(500)를 도시한다. 도 6a-b는 도 5에 도시된 열 처리 프로세스(500)를 이용하여 상이한 초크 길이를 갖는 가스 분배 플레이트를 제조하는 상이한 스테이지를 도시한다.
프로세스(500)는 단계 502에서 실질적으로 평편한 가스 분배 플레이트(602)를 환경(604)내에 배치된 다수의 외부 지지부(608) 및 내부 지지부(610) 상부에 배치함으로써 개시된다. 플레이트(602)의 가장자리부(606)느느 처음에 외부 지지 부(608)상에 배치되는 한편, 내부 지지부(610)는 도 6a에 도시된 것처럼 플레이트(602)로부터 이격된다. 선택적으로, 외부 지지부는 플레이트(602)의 모서리만을 지지할 수 있다. 내부 지지부(610) 및 외부 지지부(608)는 500℃를 초과하는 온도에서 사용하기에 적합한 물질로 제조될 수 있다. 외부 지지부(608)는 내부 지지부(610)의 높이(630)보다 큰 높이(632)를 가진다. 플레이트(602)는 그 가장자리부(606)에 의해 외부 지지부(608)상에 위치되기 때문에, 플레이트(602)의 중심부(616)는 내부 지지부(610) 상부에 떠 있다. 내부 지지부(610) 및 외부 지지부(608)의 높이(632, 630)간의 차이는 열 처리 프로세스(500)가 완결된 후에 플레이트(602)의 원하는 만곡을 생성하도록 선택될 수 있다. 대안으로, 환경내에 있는 내부 지지부(610)의 위치는 플레이트(602)의 만곡을 제어하도록 선택될 수 있다. 예를 들어, 플레이트(602)의 중심선(620)에 근접하게 위치된 내부 지지부(610)는 플레이트(602)의 가장자리부(606)에 근접하에 위치된 (동일 높이의) 내부 지지부(610)와 비교할 때 더 작은 플레이트 만곡에 이르게 된다. 예시적인 실시예에서, 내부 지지부(610) 및 외부 지지부(608)의 높이는 약 0.05 인치 내지 약 1 인치의 코드 깊이를 갖는 플레이트를 생성하도록 선택될 수 있다.
프로세스(500)가 수행될 수 있는 환경(6040)는 챔버, 노, 캐니스터(canister), 또는 열 프로세스를 수행하는 데 적절한 임의의 다른 형태의 환경일 수 있다. 일 실시예에서, 초크들은 열 처리 프로세스(500)를 수행하기 전에 플레이트(602)를 관통하여 형성될 수 있다. 다른 실시예에서, 초크들은 열 처리 프로세스(500)가 수행된 이후에 형성될 수 있다. 드릴링 및 열 처리 프로세스의 시퀀 스는 임의의 순서로 수행될 수 있다.
일 실시예에서, 플레이트(602)의 상부면(612)은 챔버(100)에서 사용될 때 백킹 플레이트(112)와 면할 수 있다. 플레이트(602)의 하부면(614)은 챔버(100)내에 설치될 때 기판 지지 어셈블리(130)와 면할 수 있다. 대안으로, 상류 및 하류 측부는 백킹 플레이트(112)와 면하는 볼록면을 가지도록 절환될 수 있다.
단계 504에서, 환경(604)내의 온도는 가령 약 400℃ 내지 약 600℃로 상승되고 유지되어 가스 분배 플레이트(602)를 연화한다. 일 실시예에서, 온도는 원하는 온도에 도달될 때까지 원하는 온도까지 점진적으로 경사 증가(ramping up)될 수 있다(가령, 10℃에 대하여 약 2 내지 5초).
일정 시구간 동안의 열 프로세싱 이후에, 플레이트(602)는 도 6b에 도시된 것처럼 연화하고 휘기 시작한다. 플레이트(602)가 연화함에 따라, 플레이트(602)가 보다 낮은 내부 지지부(610)의 상부면과 접촉할 때까지 중력은 플레이트(602)의 중심부(616)를 아래쪽으로 잡아당긴다. 내부 지지부(610)와 외부 지지부(608)가 소정의 높이 차를 가짐에 따라, 소정의 만곡이 플레이트(602)에 설정된다. 원하는 플레이트 만곡의 획득을 보조하기 위하여 진공이나 다른 기계적 힘이 플레이트(602)에 인가되는 것도 고려될 수 있다.
일단 플레이트(602)의 만곡이 도달되면, 열 처리 프로세스(500)는 단계 506에서 종결된다. 일부 실시예에서, 내부 지지부(610)는 제거되고 플레이트(602)는 환경(604)의 바닥면 또는 환경(604)내의 조건에 대하여 플레이트의 물리적 변형의 한계에 도달할 때까지 만곡될 수 있다.
대안으로, 플레이트(602)의 만곡은 진공 환경내에서의 휨 프로세스에 의해 또는 기계적 힘의 인가에 의해 형성될 수 있다. (도 6b에서 650으로 도시된) 펌핑 채널이 환경 내에 제공될 수 있고 환경(604)의 일 영역에서 진공을 형성하기 위해 사용될 수 있다. 플레이트(602)를 가로지르는 압력 차는 플레이트(602)를 휘게 만들 수 있다. 플레이트(602)는 지지부(610, 608)에 의해 진공 환경에서 지지될 수 있다. 플레이트의 원하는 만곡이 도달된 후에, 진공은 환경으로부터 플레이트를 제거하기 위해 방출된다. 본 발명으로부터 이점을 얻도록 적용될 수 있는 적절한 진공 휨 프로세스 및 열 처리 프로세스의 예는 Choi 등의 2005년 11월 17일 공개된 미국 특허 공개 No.2005/0251990에 개시되어 있다.
플레이트(602)가 만곡된 후에, 상부면(612)은 플레이트(602)의 상부면으로 사용될 수 있다. 플레이트(602)의 만곡된 하부면(614)은 하류면으로 사용되거나, 평편하게 머시닝된다.
도 7은 플레이트(702)의 가장자리부와 모서리부 사이에 유량 경사를 생성하는 초크들(706)을 갖는 가스 분배 플레이트(702)의 다른 실시예를 도시한다. 가스 분배 플레이트(702)는 이를 관통하여 형성된 다수의 초크들(706)을 구비한다. 일 실시예에서, 초크들(706)은 컴퓨터 수치 제어(CNC) 매칭에 의해 플레이트(702)에 형성될 수 있다. 개별 초크들(706)의 분배 및 구조는 플레이트(702)를 나가는 가스 흐름의 모서리에서 가장자리로의 경사를 생성하도록 선택될 수 있다.
각각의 초크(706)는 통로(710)(플레이트(702)의 가장자리부(728) 및 모서리부(726)에서 각각 710C 및 710E로 도시됨)에 결합된 보어(708)(플레이트(702)의 중 심부(728)에서 708C 및 모서리부(726)에서 708E로 도시됨)를 포함한다. 통로(710C, 710E) 및 보어(708C 및 708E)는 총합적으로 가스 소스(120)로부터의 가스가 플레이트(702)를 통해 지나가고 기판 지지 어셈블리(130) 상부의 프로세스 영역(106)에 진입할 수 있게 해주는 유체 경로를 형성한다. 통로(710C, 710E)는 가스 분배 플레이트(702)의 상부측(732)에 형성된 상부 개구(730C, 730E)를 구비한다. 통로(710C, 710E) 및 보어(708C 및 708E)의 직경은 관통하여 지나가는 가스의 원하는 양을 제어하도록 선택될 수 있다. 일 실시예에서, 통로(710C, 710E)는 보어(708C 및 708E)의 직경보다 작은 직경을 갖는다. 대안으로, 통로(710C, 710E) 및 보어(708C 및 708E)의 직경은 임의의 다른 구조로 구성될 수 있다.
통로(710C, 710E)는 상부 개구(730C, 730E)로부터 하부 개구(736C, 736E)로 연장하는 제1 깊이(724, 716)을 갖는다. 하부 개구(736C, 736E)는 보어(708C 및 708E)의 상부 개구(740C, 740E)에 결합한다. 보어(708C 및 708E)는 상부 개구(740C, 740E)로부터 가스분배 플레이트(702)의 하류면(748)에 형성된 하부 개구(744C, 744E)로 연장하는 제2 깊이(720, 718)를 갖는다.
플레이트(702)의 가장자리부(728)의 중심 및 모서리부(726)에 위치된 초크들(706)은 통로(710C, 710E)와 보어(708C 및 708E)의 서로 다른 깊이를 가질 수 있고, 이는 플레이트(702)의 가장자리에서 가장자리로부터 모서리로의 유량 경사를 생성한다. 일 시릿예에서, 가장자리부(728)에 위치된 초크들(706)은 모서리부(726)에 위치된 제1 깊이(716) 및 제2 깊이(718)보다 더 짧은 제1 깊이(724) 및 더 긴 제2 깊이(720)를 가진다. 플레이트(702)의 가장자리 및 모서리부(726, 728) 에 위치된 통로(710C, 710E) 및 보어(708C 및 708E)간의 깊이 차 및 변화는 플레이트(702)의 가장자리에 비해 플레이트(702)의 모서리를 통해 흐르는 가스들의 양을 제어하도록 설계 및 구성될 수 있고, 이에 의해 기판 표면(118)을 가로지르는 유량 경사를 생성한다. 일 실시예에서, 백킹 플레이트(112)와 마주하도록 구성된 상부면(732)과 기판 지지 어셈블리(130)와 마주하도록 구성된 하류면(748)은 평편한 면을 가질 수 있다. 상부면(732) 및 하류면(748)이 평편함에 따라, 플레이트(702)를 가로지르는 폭(750)은 플레이트(702)를 가로지르는 제1 깊이(724, 716) 및 제2 깊이(720, 718)를 포함하는(가령, 플레이트(702)의 가장자리부(728)와 중심부(726)의 영역을 포함하는) 총 깊이를 결정할 수 있다.
도 7에 도시된 실시예에서, 플레이트(702)의 가장자리부(728)에 위치된 제1 깊이(724)는 약 0.05 인치 내지 약 1 인치 사이의 모서리부(726)에서의 제1 깊이(716)보다 더 짧을 수 있다. 가장자리부(728)와 모서리부(726) 사이에 위치된 통로(710C, 710E) 및 보어(708C 및 708E)의 길이 및/또는 치수 차이는 기판 표면(118)을 가로질러 가스 소스(120)로부터의 가스의 서로 다른 양을 이송할 수 있다. 예를 들어, 모서리부(726)에 위치된 제1 보어(710E)의 보다 긴 제1 깊이(716)는 보어(708E)의 내부측 내에서 보다 큰 구속적 흐름(restrictive flow)(가령, 보다 큰 저항)을 생성할 수 있고, 이에 의해 기판상에 증착된 막 특성이 효율적으로 조절될 수 있게 해준다. 확산기 플레이트(702)가 실리콘 막을 증착하는 데 이용되는 실시예에서, 가장자리(728)를 통한 흐름에 비해 모서리부(726)에서의 가스들의 흐름을 제한함으로써, 종래의 프로세스에 비해 증착된 실리콘 막의 모서리에서의 보다 큰 결정 부피를 갖게 되는 동시에, 증가된 막 특성은 모서리 균일도, 가령 기판의 모서리 및 가장자리에서의 개선된 결정 부분 비율(crystal fraction ratio) 균일도를 변환시킨다.
막이 일반적으로 종래의 증착 프로세스로 돔 형상의 막 프로파일 및/또는 비균일 막 특성(가령, 모서리 가장자리부보다 두껍고/두껍거나 이와는 다른 가장자리부를 갖는 막 프로파일 및 막 특성)으로써 증착된 실시예에서, 모서리부(726)에서 생성된 구속적인 흐름보다 가장자리부(728)에서 생성된 보다 낮은 가스의 구속적인 흐름을 가지도록 도 7에 도시된 가장자리부(728)에 위치된 보어(710C)의 더 짧은 제1 깊이(724)가 이용될 수 있고, 이에 의해 기판(140)상에 형성된 막 특성 및 프로파일을 조정하거나, 반대의 경우도 마찬가지다.
도 8은 가스 분배 플레이트 내부에 형성된 다른 구성의 초크들(810)을 갖는 상기 가스 분배 플레이트(802)의 다른 실시예의 단면도를 도시한다. 도 7의 초크들(706)과 유사하게, 플레이트(802)를 관통하는 초크들(810)은 통로(710)(플레이트(802)의 가장자리부(804)에서 814C 및 모서리부(806)에서 808E로 도시됨)에 결합된 보어(플레이트(802)의 가장자리부의 중심에서 814C 및 모서리부(806)에서 814E로 도시됨)를 포함한다. 통로(808C, 808E) 및 보어(814C 및 814E)는 총합적으로 가스 소스(120)로부터의 가스가 플레이트(802)를 통해 지나가고 기판 지지 어셈블리(130) 상부면(132)에 진입할 수 있게 해주는 유체 경로를 형성한다. 통로(808C, 808E)는 가스 분배 플레이트(802)의 상부측(830)에 형성된 상부 개구(826, 828)를 구비한다. 통로(808C, 808E)는 상부 개구(826C, 826E)로부터 하부 개구(834)(플레 이트의 가장자리부(804)에서는 834C로 모서리부(806)에서는 834E로 도시됨)로 연장하는 제1 깊이(818, 822)을 갖는다. 통로(808C, 808E)의 하부 개구(834C, 834E)는 플레이트(802)의 하류면(832)에 형성된 플레어 형태(flared-out)의 개구(838, 840)를 갖는 보어(814C 및 814E)에 결합한다. 보어(7814 및 814E)는 하부 개구(834C, 834E)로부터 플레어 형태의 개구(838, 840)로 연장하는 제2 깊이(820, 824)를 갖는다.
도 7의 상기 기재와 유사하게, 플레이트(802)에 형성된 통로(808C, 808E)와 보어(814C 및 814E)는 서로 다른 프로세스 요건을 만족하기 위하여 서로 다른 크기, 구조, 깊이 및 길이를 가질 수 있다. 도 8에 도시된 실시예에서, 플레이트(802)의 가장자리부(804) 및 모서리부(806)에 형성된 보어(814C 및 814E)는 서로 다른 깊이(820, 824)를 가지며, 이에 의해 보어(814C 및 814E)내에 서로 다른 내부 부피 및/또는 공동을 형성한다. 가장자리부(804)에 위치된 보어(808C)는 더 짧은 제1 깊이(818)를 가지며, 이에 의해 중심부(806)에 위치된 보어(814E)와 비교하여, 보어(814C) 내부에 더 큰 내부 볼륨 및/또는 공동을 형성한다. 보어(808C)의 더 짧은 제1 깊이(818)는 더 낮은 구속적인 흐름을 제공하며, 이에 의해 플레이트(802)의 가장자리부(804)(에 인접하여 발생되는 반응을 감소시켜, 결과적으로 그 내부에 형성된 서로 다른 막 특성을 조절한다. 플레이트에 형성된 초크들의 서로 다른 구성은 기판면을 가로질러 서로 다른 흐름경사를 제공할 수 있고, 이에 의해 기판면에 증착된 막 프로파일, 특성, 막 특성 및 두께의 균일도를 효율적으로 조절한다. 공동 캐소드 효과 및/또는 공동 캐소드 경사가 초크들(810)내에 형성되기를 바라는 실시예에서, 플레이트(802)의 하류면(832)를 가로질러 형성된 초크들(810)의 직경(850)은 원하는 공동 캐소드 효과 및/또는 공동 캐소드 경사를 제공하기 위해 선택될 수 있다.
도 9a-c는 가스들이 통과할 때 유량 경사를 제공하는 다수의 초크들(926)을 갖는 가스 분배 플레이트(902)의 다른 실시예를 도시한다. 플레이트(902)에 형성된 초크들(706)은 도 9에 도시된 것처럼 플레이트(902)를 가로질러 동일한 깊이의 통로(가장자리부(910)의 중심에는 914C 및 모서리부(912)에서는 914E로 도시됨) 및 보어(플레이트(902)의 가장자리부(910)에는 918C로 그리고 모서리부(912)에서는 918E로 도시됨)를 가질 수 있다. 그러나, 보어(918C, 918E)의 직경(906, 904, 908)은 플레이트(902)의 하류면(928)에서 변하여 기판면으로 흐르는 가스의 서로 다른 분배를 제공한다. 보어(918C, 918E)의 직경이 다르기 때문에, 공동 캐소드 경사(HCG)가 기판면을 가로질러 제공된다. 다른 실시예에서, 도 9b에서처럼 모서리부(912)보다 얇은 플레이트(902)의 가장자리부(910)를 갖는 오목면(932)을 형성하기 위해 플레이트(902)의 상부면(930)이 머시닝될 수 있다. 오목면(932)은 플레이트(902)로부터 통로(914)의 일부를 제거하여, 가장자리부(910)에 있는 통로(914C)가 모서리부(912)에 있는 통로(914E)보다 더 짧은 깊이(934) 및 더 적은 유동 저항을 갖게 된다. 가장자리부(910)에 있는 통로(914C)는 모서리부(912)에 있는 통로(914E)에서의 큰 유동 저항과 반대로 낮은 유동 저항을 가지기 때문에, 플레이트(902)를 가로지르는 유량 경사는 가스 유동 저항 차에 의해 생성되며 기판상에 증착된 막 특성은 효율적으로 조절될 수 있다. 예를 들어, 가장자리부에서 낮은 결정 부피를 갖는 종래의 방식에 의해 실리콘 막이 증착되는 실시예서, 도 9b에 도시된 것처럼 모서리부(912)의 통로(가령, 통로(914C)보다 긴 길이를 갖는 통로(914E))에서 보다 큰 유동 저항을 갖는 플레이트(902)는 모서리에서 더 큰 결정 부피 및 보다 균일한 결정 부분 비율을 갖도록 실리콘 막을 증착시키기 위해 이용될 수 있고, 이에 의해 여기에 형성된 막 특성 차를 보상 및 조절한다. 서로 다른 치수의 보어(918C, 918E)가 공동 캐소드 경사(HCG)를 제공하도록 하류면(928)상에 형성됨에 따라, 공동 캐소드 경사(HCG) 및 유량 경사의 조합된 효과(가령, 가스 유동 저항 차)가 도 9b의 플레이트(902)에서 생성될 수 있다.
도 9c는 상부가 개방된 초크들(926)를 갖는 플레이트(902)의 하류면(928)의 저면도를 도시한다. 플레이트(902)상에 형성된 초크들(926)의 표면 영역 밀도 및 분포는 상이한 프로세스 요건을 충족시키기 위해 변경될 수 있다. 일 실시예에서, 모서리 가장자리부(912)에 있는 초크들(926)은 플레이트(902)의 중심부(910)에 있는 초크들(926)보다 더 높은 표면 영역 밀도를 가져서 공동 캐소드 경사(HCG)가 제공될 수 있다. 대조적으로, 초크들(926)의 분포, 밀도, 수, 형상 및 치수는 플레이트(902)를 관통하는 여러 대안의 구조로 형성될 수 있다. 선택적으로, 플레이트(902)의 중앙(914)은 가장자리부(910) 또는 모서리부(912) 보다 단위 면적 당 더 적은 초크들(926)을 포함할 수 있다. 반대로, 초크 밀도는 모서리에서 가장자리 내지 중앙으로 증가할 수 있다.
도 10 A-D는 플레이트를 관통하여 지나가는 유량 경사를 생성하는 플레이트(1017-1020)에 형성된 초크들(1001-1004)의 서로 다른 실시예들을 도시한다. 일 실시예에서, 초크들(1001-1004)은 컴퓨터 수치 제어된(CNC) 머시닝에 의해 플레이트(1017-1020)에 형성될 수 있다. 초크들(1001-1004)은 일반적으로 오리피스(1009-1012)에 의해 연결된 제1 보어(1005-1008) 및 제2 보어(1003-1016)를 포함한다. 제1 보어(1005-1008)는 플레이트(1017-1020)의 상부에 형성되고 제2 보어(1003-1016)는 플레이트(1017-1020)의 하부에 형성된다. 제1 보어(1005-1008) 및 제2 보어(1003-1016)는 오리피스(1009-1012)에 의해 플레이트(1017-1020) 안을 관통하는 유체 흐름 통로에 집합적으로 결합된다. 제1 보어(1005-1008) 및 제2 보어(1003-1016)는 각각 플레이트(1017-1020)를 가로질러 형성된 서로 다른 구조, 치수, 형상, 크기, 개수, 및 분포를 가질 수 있고, 이에 의해 플레이트(1017-1020)를 통해 기판 표면으로 흐르는 프로세스 가스들의 서로 다른 양을 이송하고/하거나 서로 다른 유속을 가진다. 프로세스 가스들의 서로 다른 양 및/또는 유속은 기판 표면을 가로질러 유량 경사를 생성하고, 이에 의해 기판 표면상에 증착된 막의 프로파일 및/또는 특성 제어를 용이하게 한다.
일 실시예에서, 오리피스(1009-1012)의 깊이 및/또는 길이는 제1 보어(1005-1008) 및 제2 보어(1003-1016)의 서로 다른 구조들과 조합하여 상이할 수 있다. 초크들(1001-1004)의 서로 다른 구조에 의해 생성된 유량 경사를 조정함으로써, 기판 표면상에 증착된 막 두께 및 프로파일은 대응하여 제어된다. 일 실시예에서, 제1 보어(1005-1008) 및 제2 보어(1003-1016)는, 오리피스(1009-1010)의 서로 다른 깊이를 갖는 사각 형상(1005-1006, 1013-1014), 오리피스(1011-1012)의 서로 다른 깊이를 갖는 원뿔 형상(1015-1016, 1007-1008) 등과 같은 서로 다른 구조를 가질 수 있다. 보어들(1005-1008, 1013-1016)의 깊이는 서로 다른 프로세스 요건을 만족시키도록 변할 수 있다.
제2 보어(1003-1016)의 개구는 원하는 각도의 플레어 형상이거나 원하는 범위내의 직경을 가질 수 있어서, 이에 의해 기판 표면을 가로지르는 프로세스 가스들의 분산을 돕는다. 제2 보어(1002)의 구조는 그 내부에 공동 캐소드 효과를 생성하거나 생성하지 않는 방식으로 제어될 수 있다. 대안으로, 제2 보어(1003-1016)의 구조는 임의의 방식으로 제어될 수 있다.
일 실시예에서, 제2 보어(1003-1016)의 직경은 약 0.05 인치 내지 약 0.5 인치 사이의 범위에서 선택될 수 있어서, 플라즈마는 제2 보어(1003-1016)내에 머무를 수 있고, 이에 의해 공동 캐소드 효과를 생성한다. 공동 캐소드 효과가 요구되지 않는 일부 실시예에서, 제2 보어(1003-1016)의 직경은 약 0.01 인치를 초과하거나 야 0.05 인치 미만인 범위에서 선택될 수 있어서, 제2 보어(1003-1016)에서의 전자 진동을 방지하고, 이에 의해 프로세싱 동안 제2 보어(1003-1016)에서 공동 캐소드 효과가 생성되는 것을 방지한다.
도 11a-b는 가스 분배 플레이트(1100)를 제조하는 프로세스 플로우의 상이한 스테이지에서 가스 분배 플레이트(1100)의 단면도를 도시한다. 다수의 초크들(1122)은 도 11a에 도시된 것처럼, 플레이트(1100)를 관통하여 형성될 수 있다. 플레이트(1100)를 가로질러 형성된 전체 초크들이 도 11a-b에 도시된 것은 아니라, 중심부(1104)에 형성된 대표적인 초크와 가장자리부(1106)에 형성된 일부 초크들만이 명료성을 위해 제공된다. 초크들(1122)은 오리피스(가장자리부(1104)에는 1120C로, 모서리부(1106)에는 1120E로 도시됨)에 의해 연결된 통로(가장자리부(1104)의 중심에는 1102C로, 모서리부(1106)에는 1102E로 도시됨) 및 보어(가장자리부(1104)에는 1114C로, 모서리부(1106)에는 1114E로 도시됨)를 포함한다. 보어(1114C, 1114E)는 기판 지지 어셈블리(130)와 마주하도록 구성된 플레이트(1100)의 하류면(1110)에 형성된 개구를 갖는다. 일 실시예에서, 플레이트(1100)에 형성된 보어(1114C, 1114E)와 오리피스(1120C, 1120E)는 동일할 수 있다. 플레이트(1100)의 가장자리부(1106)에 형성된 통로(1102E)는 플레이트(1100)의 가장자리부(1106)에 큰 유동 저항을 제공하도록 중심부(1104)에 형성된 통로(1102C)보다 좁은 직경을 가질 수 있다. 플레이트(1100)내의 통로들(1102C, 1102E) 사이의 직경 차는 이를 관통하는 유량 경사를 발생시키는 방식을 제공하고, 이에 의해 기판상에 증착된 막 특성 및/또는 프로파일을 효율적으로 조절한다. 주된 유동 저항은 제1 통로(1102C, 1102E) 또는 오리피스(1120C, 1120E)에 대해 선택된 서로 다른 치수들에 의해 생성될 수 있음에 주의하여야 한다. 주된 유동 저항이 제1 통로(1102C, 1102E) 대신에 오리피스(1120C, 1120E)에 의해 생성되는 실시예에서, 플레이트(1100)에 형성된 제1 통로(1102C, 1102E)의 치수차는 이를 통해 공급하는 가스들에 대한 유량 경사를 효율적으로 발생시키지 않을 수 있다. 부가적으로, 플레이트(1100)에 형성된 하류면(1110)의 일부는 도 11b에 도시된 것처럼 오목면(1112)를 생성하도록 머시닝될 수 있다. 오목면(1112)은 서로 다른 구조로 여기에 형성된 보어(1114C, 1114E)를 생성하게 되고, 이에 의해 공동 캐소드 경사(HCG)를 발생시킨다. 오목면(1112) 또한 프로세싱 챔버(100)에 플레이트(1100)를 설치할 때 기판 지지 어셈블리(130)상에 배치된 기판쪽으로의 이격 경사(spacing gradient)를 제공함에 주의하여야 한다. 따라서, 플레이트(1100)와 기판 지지 어셈블리(130) 사이의 유량 경사, 공동 캐소드 경사(HCG) 및/또는 이격 경사의 조합은 하류면(1110)에 형성된 통로(1102C, 1102E), 보어(1114C, 1114E) 및 만곡면의 치수를 제어함으로써 얻어질 수 있다.
도 12a-b는 플레이트(1200)의 가장자리부(1202)와 모서리부(1204)에 형성된 서로 다른 초크 구조들을 갖는 가스 분배 플레이트(1200)의 다른 실시예의 단면도를 도시한다. 도 12a에 도시된 실시예에서, 가장자리부(1202)에 위치된 초크(1208)는 도 11에 도시된 초크(1122)와 같이, 오리피스(1218)에 의해 보어(1216)에 결합된 통로(1206)를 가질 수 있다. 모서리부(1204)에 형성된 초크(1208)에 대하여, 초크(1208)는 플레이트(1200)에 형성된 하류면(1212)상에 형성된 개구를 갖는 보어(1210)에 결합된 더 긴 통로(1206E)를 가질 수 있다. 더 긴 통로(1206E)는 중심부(1202)에 형성된 통로(1206C)보다 더 큰 유동 저항을 제공하며, 이에 의해 플레이트(1200)를 가로질러 가장자리에서 모서리로의 유량 경사를 제공한다. 선택적으로, 플레이트(1200)에 형성된 하류면(1212)의 일부는 도 12b에 도시된 것처럼 오목면(1214)을 생성하도록 머시닝될 수 있다. 도 11b에 도시된 것과 유사하게, 오목면(1214)은 챔버(100)를 설치할 때 공동 캐소드 경사(HCG)와 이격 경사를 제공한다.
도 13은 가스 분배 플레이트의 저면의 개략도를 도시한다. 플레이트는 N 개의 동심 영역으로 나뉜다. 각각의 영역 내에서, 초크들은 동일하거나 동일하지 않 을 수 있다. 영역들은 정사각형, 직사각형, 원형 링과 같은 다각형 링일 수 있다. 영역 1로부터 영역 N까지, 플레이트를 관통하여 형성된 초크들은 점진적으로 증가되는 유동 저항(가령, 더 길고/길거나 더 많은 구속적인 초크 기하구조의 초크 길이)을 가질 수 있다. 대안으로, 초크들에 형성된 공동 캐소드 구멍(hollow cathode cavity)은 크기(볼륨 및/또는 표면적)가 점진적으로 증가할 수 있다. 유동 저항 및 공동 캐소드 구멍의 증가는 위에서 도시된 도면들과 관련하여 도시된 것처럼, 서로 다른 초크 직경, 길이, 플레어 각(flaring angle), 또는 이들 매개변수의 조합에 의해 얻어질 수 있다.
도 14a-b는 도 13에서 논의된 것처럼, 플레이트의 서로 다른 영역에 형성된 서로 다른 초크 구조를 갖는 플레이트의 단면도의 예시적인 실시예를 도시한다. 도 14a에 도시된 실시예에서, 도 13의 영역 1과 같이 중앙 영역에 형성된 초크(1402)는 도 13에서의 영역 N의 모서리와 같이 가장자리 영역의 모서리에 형성된 초크들(1404)과 비교하여 더 넓은 크기를 가질 수 있다. 부가적으로, 가령 플레이트의 상부면(1408)에 형성된 개구를 갖는 초크(1406)의 상부에 형성된 보어(1410)를 갖는 것과 같이 서로 다른 구조를 갖는 초크들(1406)이 초크들(1404)이 위치되어 있는 도 13에서의 가장자리 영역 N과 같은 동일한 영역내에 형성될 수 있다. 각각의 영역은 중심에서 모서리로의 서로 다른 유량 경사를 제공하기 위하여 동수의 서로 다른 초크 구조를 가질 수 있음에 주의하여야 한다. 또한, 하류면(1412)에 있는 플레이트의 일부는 챔버(100)를 설치할 때 공동 캐소드 경사(HCG) 및 이격 경사를 생성하기 위하여 머시닝될 수 있다.
도 15는 가스 분배 플레이트(1500)의 평면도의 다른 실시예를 도시한다. 가스 분배 플레이트(1500)는 플레이트(1500)의 네 개의 측부에 의해 분리된 적어도 네 개의 모서리들(E1-E4)을 갖는다. 플레이트(1500)의 하류면이 전술한 것처럼 만곡될 때, 모서리들(E1-E4)을 관통하여 형성된 초크들, 중심 영역(C1)에서 형성된 초크들, 그리고 플레이트(1500)의 네 개의 측부의 가장자리를 따라 형성된 초크들은 서로 다른 초크 길이를 가질 수 있다. 일 실시예에서, 플레이트(1500)의 모서리들(E1-E4)을 관통하여 형성된 다수의 제1 초크들은 모서리들(E1-E4) 사이에서 플레이트(1500)의 측부를 따라 가장자리를 관통하여 형성된 다수의 제2 초크들보다 긴 초크 길이를 가진다. 또한 다수의 제3 초크들은 플레이트(1500)의 중심 영역(C1)에 및/또는 다수의 제1 및 제2 초크들이 형성된 위치보다 안쪽에 형성될 수 있다. 다수의 제3 초크들은 모서리들(E1-E4)를 관통하여 형성된 초크들과 모서리들(E1-E4) 사이에서 플레이트(1500)의 측부를 따라 가장자리를 관통하여 형성된 초크들보다 짧은 초크 길이를 갖는다. 모서리들(E1-E4)에 형성된 다수의 제1 초크들이 더 긴 길이를 가짐에 따라, 다수의 제2 및 제3 초크들을 관통하여 만나게 되는 유동 저항에 비해 플레이트(1500)의 다수의 제1 모서리 초크들을 관통하여 더 큰 유동 저항을 만나게 된다. 또한, 다수의 제2 초크들이 다수의 제3 초크들보다 더 길지만 다수의 제1 초크들보다 더 짧은 길이를 가짐에 따라, 다수의 제2 초크들을 관통하여 만나게 되는 유동 저항은 다수의 제3 초크들을 관통하여 만나게 되는 유동 저항보다 더 크지만 다수의 제1 초크에서 형성된 유동 저항보다는 더 작다.
대안으로, 어댑터 플레이트(1506)는 플레이트(1500)의 상부측 및/또는 하부 측에서 이용될 수 있다. 어댑터 플레이트(1506)가 사용되는 실시예에서, 플레이트(1500)의 하류면은 만곡되거나 평편하게 유지될 수 있다. 어댑터 플레이트(1506)는 플레이트(1500)에 형성된 초크들과 정렬되는 어댑터 플레이트 내부에 형성된 다수의 초크들을 가져서 플레이트(1500)의 모서리들을 관통하는 유동 저항을 제어한다. 어댑터 플레이트(1506)는 플레이트(1500)에 있는 특정한 원하는 영역에서 초크 길이를 증가시키도록 적응된 임의의 서로 다른 크기, 형상, 또는 치수로 구성될 수 있다. 도 15에 도시된 실시예에서, 어댑터 플레이트(1506)는 플레이트(1500)의 네 개의 모서리들(E1-E4)에 위치되어 플레이트(1500)의 모서리들을 관통하여 증가된 유동 저항을 제공한다. 어댑터 플레이트(1506)는 플레이트(1500)의 모서리들(E1-E4)에 부착된 두 개의 크기를 갖는 삼각형 모양의 형태일 수 있다. 일 실시예에서, 어댑터 플레이트(1506)는 약 50 mm 내지 약 1000 mm 사이, 가령 약 500 mm의 길이(1502)를 갖는 등변 삼각형 모양을 가진다. 대안으로 어댑터 플레이트(1506)는 플레이트(1500)상의 임의의 다른 상이한 영역에 위치될 수 있다. 예를 들어, 어댑터 플레이트(1506)는 플레이트의 중심 영역 C1에 위치될 수 있다.
도 16a-b는 챔버(100)에 설치시 라인 A--A를 따라 취해진 도 15의 가스 분배 플레이트(1500)의 단면도를 도시한다. 도 16a에 도시된 실시예에서, 어댑터 플레이트(1506)는 그 내부에 형성된 다수의 초크들(1604, 1606)을 갖는 블랭크 피스(blank piece)의 형태일 수 있다. 어댑터 플레이트(1506)내에 형성된 초크들(1604, 1606)은 플레이트(110)내에 형성된 초크들(1608)과 정렬된다. 어댑터 플레이트(1506)내의 정렬된 초크들(1604, 1606)은, 가스 소스(120)로부터 프로세스 가스가 흐를 수 있는 초크들(1608)의 전체 길이를 증가시키며, 이에 의해 어댑터 플레이트(1506)가 위치된 영역에 있는 더 높은 가스 유동 저항을 생성한다. 어댑터 플레이트(1506)를 사용함으로써, 프로세스 가스가 흐를 수 있는 초크(1608)의 전체 길이는 유연하게 조절될 수 있고, 이에 의해 특정 지점에 위치된 증착된 막 특성 및/또는 프로파일을 조절하는 방식을 제공한다. 대안으로, 어댑터 플레이트(1506)는 도 16b에 도시된 것처럼 여러 조각들(1650, 1652)로 분할되어 플레이트(110)에서 선택된 특정 초크(1608)의 길이를 증가시킬 수 있다.
도 17 A-C는 내부에 서로 다른 초크 구조들을 가질 수 있는 어댑터 플레이트(1700)의 다른 실시예들을 도시한다. 도 17 A에 도시된 실시예에서, 어댑터 플레이트(1506)에 형성된 초크들(1704)은 직선 구멍이다. 어댑터 플레이트(1700)는 내부에 초크들(1710)이 형성된 가스 분배 플레이트(1702)에 장착된다. 초크들(1710)은 필요에 따라 임의의 서로 다른 형상, 치수 및 구조일 수 있다. 대안으로, 어댑터 플레이트(1700)내에 형성된 초크들(1704)은 도 17 B에 도시된 것처럼 하부의 더 넓은 보어에 결합된 상부의 더 좁은 통로, 또는 도 17 C에 도시된 것처럼 하부의 더 좁은 보어에 결합된 상부의 더 넓은 통로와 같이 서로 다른 구조를 가질 수 있다.
도 18a-c는 챔버(100)내에 설치될 때 라인 B--B를 따라 절개된 도 15의 가스 분배 플레이트(1500)의 서로 다른 실시예들의 단면도를 도시한다. 도 18a에 도시된 실시예에서, 어댑터 플레이트(1506)는 플레이트(1500)의 상부면(1814)에 선택적으로 위치될 수 있다. 어댑터 플레이트(1506)는 플레이트(1500)의 모서리부(E1, E3), 가령 모서리부(1808)에 선택적으로 위치된다. 어댑터 플레이트(1506)내에 형성된 초크들(1810)은 플레이트(1500)내에 형성된 초크들(1812)과 정렬되어 플레이트(1500)의 모서리부(1808)를 통해 흐르는 가스 소스(120)로부터 제공되는 프로세스 가스들의 전체적인 유동 저항을 증가시킨다. 대안으로, 플레이트(1500)의 상부면(1814)으로부터의 일부는 만곡된 상부면(1818)을 생성하도록 머시닝될 수 있고, 이에 의해 도 18b에 도시된 것처럼 모서리부(1808)에 위치된 초크들(1812)보다 짧은 길이를 갖는 가장자리 및/또는 중심부(1808)에 위치된 초크들(1812)을 생성한다. 어댑터 플레이트(1506)가 위치된 가장자리부에서 상부면(1818)의 만곡은 명료성을 위해 가장되어 있음에 주의하여야 한다. 선택적으로, 플레이트(1500)의 하류면(1816)으로부터의 일부는 만곡된 하부면(1820)을 생성하기 위해 머시닝될 수 있고, 이에 이해 서로 다른 공동 및/또는 플레어 형태의 치수를 갖는 초크들(1812)을 생성하며, 이에 의해 공동 캐소드 경사(HCG)를 생성한다. 또한, 위에서 논의된 것처럼, 만곡된 하부면(1820)도 챔버(100)내에 설치될 때 마주하는 기판 지지 어셈블리(130)에 이격 경사를 생성한다.
도 19a에 도시된 가스 분배 플레이트(1902)의 일 실시예를 부가적으로 참조하면, 가스 분배 플레이트(1902)는 모서리(1922, 1924, 1926, 1928) 및 가장자리(1906, 1908, 1910, 1912)를 포함하는 경계선을 가진다. 플레이트(1902)를 관통하여 형성된 구멍들(apertures)은 명료성을 위해 도시되지 않았음에 주의하여야 한다. 플레이트(1902)의 가장자리(1906)의 중심(1914)은 플레이트(1902)의 가장자리(1908, 1910) 및 모서리(1922, 1924, 1926, 1928)보다 기판 지지 어셈블리(130) 로부터 더 멀리 이격되어 있다. 모서리(1922, 1924, 1926, 1928)를 관통하는 구멍들은 가장자리(1906)를 관통하여 형성된 구멍들에 비해 더 긴 길이를 가지며, 따라서 거대한 흐름 전도성을 가져서 모서리(1922, 1924, 1926, 1928)를 통한 흐름에 비해 더 많은 프로세스 가스가 플레이트(1902)를 통해 가장자리(1906)의 중심(1914)을 통해 전달된다. 플라즈마 강화 CVD 프로세스를 이용하여 다결정실리콘(polysilicon)을 증착할 때 플레이트의 경계선 둘레에 균일한 이격을 갖는 가스 분배 플레이트에 비해 가장자리에서 중심으로의 이격 경사를 갖는 가스 분배 플레이트를 이용하면 증가된 결정 볼륨 및 부분 불균일이 얻어짐이 발견되었다. 도 19a에 도시된 실시예가 플레이트(1902)의 두 가장자리에서만 정의된 가장자리에서 모서리로의 이격 경사를 도시하지만, 도 19b는 모서리(1960, 1962, 1964, 1966)에 비해 네 가장자리(1950, 1952, 1954, 1956)의 각각을 따라 정의된 이격 경사를 갖는 가스 분배 플레이트(1904)의 다른 실시예를 도시한다. 또한, 이격 경사가 기판과 마주하고 분배 플레이트(1902, 1904)의 평편한 측부가 위로 면하는 가스 분배 플레이트(1904)가 도시되어 있지만, 가스 분배 플레이트(1902, 1904)의 평편한 면이 기판쪽을 향하거나 가스 분배 플레이트(1902, 1904)의 양면이 모서리 이격 경사를 포함할 수 있음을 생각할 수 있다.
태양전지 어플리케이션을 위한 실리콘 막의 증착에 적절한 예시적인 실시예에서, 증착 프로세스는 유량 경사를 생성하는 플레이트를 이용하여 미정질 층을 증착하도록 구성될 수 있다. 미정질 층은 태양 전지 장치용의 p-i-n 접합에 형성되는 i-형 층일 수 있다. 대안으로, 미정질 층은 다른 장치를 형성하도록 이용될 수 있다. 분배 플레이트를 통해 가스를 공급할 때 공동 캐소드 효과를 갖거나 갖지 않는 가장자리에서 모서리로의 유량 경사를 생성하기 위해, 가스 분배 어셈블리는 내부에 서로 다른 구성(가령 치수, 깊이 등)의 초크들이 형성될 수 있다. 유량 경사는 가스 분배 플레이트의 상부면에 있는 상부 오목면, 또는 플레이트를 가로질러 서로 다른 깊이 및/또는 길이를 갖고 구성된 초크들을 갖는 가스 분배 플레이트 중 적어도 하나를 이용하여 생성될 수 있어서 결과적인 가스 흐름은 가스 분배 플레이트의 가장자리에 비해 가스 분배 플레이트의 모서리에서 서로 다르다. 본 발명에 도시된 특정 실시예에서, 가스분배 플레이트는 가스 분배 플레이트의 가장자리부의 중심에서의 가스 유동 저항보다 가스 분배 플레이트의 모서리부에서 더 큰 가스 유동 저항을 제공한다. 대안으로, 또한 플레이트의 하류면상의 보다 낮은 오목면을 생성함으로써 경사 이격이 유량 경사와 조합하여 플레이트에 의해 생성될 수도 있다. 보다 낮은 오목면은 약 0.05 인치 내지 약 1 인치 사이의 코드 깊이를 가진다. 대안으로, 경사 이격은 약 50 mil 내지 약 500 mil의 가스 분배 플레이트와 기판 지지 어셈블리 사이에서 정의된 거리로 선택될 수 있다.
고유 형태의 미정질 실리콘 층을 증착하는 실시예에서, 1:20 내지 1:200 비의 실란 가스 대 수소 가스의 혼합물이 상부 오목면을 갖는 가스 분배 플레이트를 관통하여 챔버(100)로 공급될 수 있다. 일 실시예에서, 오목면은 약 0.05 인치 내지 약 1 인치 사이의 코드 길이를 갖는다. 실란 가스는 약 0.5 sccm/L 내지 약 5 sccm/L 사이의 유속으로 제공될 수 있다. 수소 가스는 약 40 sccm/L 내지 약 400 sccm/L 사이의 유속으로 제공될 수 있다. 일부 실시예에서, 실란 유속은 증착 동 안 제1 유속에서 제2 유속으로 경사 상승될 수 있다. 일부 실시예에서, 수소 유속은 증착 동안 제1 유속에서 제2 유속으로 경사 상승될 수 있다. 약 300 mW/㎠ 이상, 바람직하게는 600 mW/㎠ 이상 사이의 RF 전력이 가스 분배 플레이트에ㅈ prhd될 수 있다. 일부 실시예에서, 전력 밀도는 증착 동안 제1 전력 밀도에서 제2 전력 밀도로 경사 하강될 수 있다. 챔버의 압력은 약 1 Torr 내지 약 100 Torr 사이, 바람직하게는 약 3 Torr 내지 약 20 Torr, 보다 바람직하게는 약 4 Torr 내지 약 12 Torr 사이에서 유지된다. 대안으로, 증착 동안의 압력은 가령 소정의 기간 동안 프로세싱 후에 제1 압력에서 제2 압력으로 경사 상승과 같은 하나 이상의 단계로 분할될 수 있다. 고유 형태의 미정질 실리콘 층의 증착 속도는 약 200 Å/분 이상, 바람직하게는 500 Å/분일 수 있다. 경사 흐름 생성 가스 분배 플레이트를 이용하도록 적응될 수 있는 증착된 미정질 고유층을 위한 방법 및 장치는 2006년 6월 23일 출원되고 발명의 명칭이 "Methods and Apparatus for Depositing a Microcrystalline Silicon Film for Photovoltaic Device"인 미국특허출원 No.11/426,127에 개시되어 있다. 미정질 실리콘 고유층은 약 20 퍼센트 내지 약 80 퍼센트 사이, 가령 약 55 퍼센트 내지 약 75 퍼센트 사이의 결정 부분을 가진다.
본원에 기재된 가스 분배 플레이트를 이용하여 고유형 미정질 실리콘층을 증착하는 특정 실시예에서, 증착된 미정질 실리콘층의 막특성은 개선된 막 특성 균일성을 갖는다. 예를 들어, 종래의 기술에 의해 증착된 고유형 미정질 실리콘층에 대해서는, 종종 불량한 막 특성 균일도, 가령 막의 모서리에서의 불균일한 결정 볼 륨을 갖는 것이 발견된다. 가장자리와 중심부에 비해 모서리에서 보다 큰 유동 저항을 제공하도록 구성된 가스 분배 플레이트는 종래의 기술에 의해 증착된 막과 반대로 더 높은 결정 볼륨을 갖는 증착된 막으로 되고, 이에 의해 기판의 면을 가로질러 균일한 막 특성을 제공한다. 일 실시예에서, 가장자리에서 중심으로의 유량 경사를 갖는 가스 분배 플레이트를 이용하는 증착된 미정질 실리콘 층의 결정 볼륨은 종래 기술에서의 약 70-90 퍼센트에서 약 3.5 퍼센트 미만으로의 결정 볼륨 불균일의 개선을 입증했다. 개선된 막 특성의 균일도는 증가된 변환 효율성, 필 팩터(fill factor) 및 기판상에 형성된 태양 전지의 개선된 전기 특성을 나타내고, 이에 의해 전지의 전체 성능을 개선한다.
따라서, 실리콘 막을 증착하기에 적절한 가장자리에서 모서리로의 유량 경사를 생성하도록 구성된 초크들을 갖는 가스 분배 플레이트를 구비한 장치가 제공된다. 본 발명을 이용하여 증착된 실리콘 막은 특히 태양 전지 어플리케이션에 대해 적절하다. 개선된 장치는 기판상에 증착되는 막 프로파일 및 특성의 더 나은 제어를 유리하게 제공함으로써, 막의 품질 제어를 증가시키고 광전 변환 효율 및 장치 성능을 증가시킨다.
전술한 것이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 추가적인 실시예들이 본 발명의 기초적인 범위를 일탈하지 않고 개조될 수 있으며, 본 발명의 범위는 이어지는 청구범위에 의해 결정된다.

Claims (27)

  1. 태양 전지 어플리케이션에 적합한 막을 증착하는 장치로서,
    프로세싱 챔버; 및
    상기 프로세싱 챔버내에 배치되고 네 측부에 의해 분리된 적어도 네 개의 모서리들을 갖는 사변형의 가스 분배 플레이트를 포함하며, 상기 가스 분배 플레이트는:
    상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리에 위치된 다수의 제1 초크들(chokes); 및
    상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리 영역들 사이에 있는 상기 가스 분배 플레이트의 측부를 따라 위치된 다수의 제2 초크들 - 상기 다수의 제1 초크들은 상기 다수의 제2 초크들보다 큰 유동 저항(flow resistance)을 가짐 - 을 포함하는,
    태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  2. 제1항에 있어서, 상기 다수의 제2 초크들은 상기 다수의 제1 초크들보다 짧은 길이 또는 작은 직경을 갖는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  3. 제2항에 있어서, 상기 가스 분배 플레이트를 관통하여 형성된 상기 초크들 은:
    상기 플레이트의 상부에 형성된 통로; 및
    상기 통로와 결합하며 상기 플레이트의 하류면(downstream surface)에 형성된 개구를 갖는 보어(bore) - 상기 통로는 상기 보어의 직경보다 작은 직경을 가짐 - 을 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  4. 제1항에 있어서, 상기 가스 분배 플레이트는:
    오목 상부면을 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  5. 제4항에 있어서, 상기 가스 분배 플레이트의 상기 오목 상부면은 약 0.05 인치 내지 약 1 인치 사이의 코드(chord) 깊이를 가지는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  6. 제3항에 있어서, 상기 다수의 제2 초크들의 상기 통로는 상기 다수의 제1 초크들의 상기 통로보다 짧은 깊이를 가지는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  7. 제2항에 있어서, 상기 가스 분배 플레이트는:
    오목 하류면(downstream surface)을 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  8. 제2항에 있어서, 상기 초크들은 플라즈마 프로세싱 동안 공동 캐소드 경사를 발생시키도록 선택된 구성을 갖고, 상기 초크들의 직경은 약 0.01 인치 내지 약 1 인치 사이인, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  9. 제1항에 있어서,
    상기 장치는 상기 챔버 내에 배치된 기판 지지 어셈블리를 더 포함하며, 상기 기판 지지 어셈블리와 상기 가스 분배 플레이트는 이들 사이에 경사 이격(gradient spacing)을 형성하도록 구성되는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  10. 제1항에 있어서,
    상기 장치는 상기 가스 분배 플레이트의 상부 또는 하부면 중 적어도 하나에 대향하여 배치된 어댑터 플레이트를 더 포함하며, 상기 어댑터 플레이트는 상기 가스 분배 플레이트의 모서리에 배치되는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  11. 제10항에 있어서, 상기 어댑터 플레이트는:
    상기 어댑터 플레이트를 관통하여 형성되며 상기 가스 분배 플레이트의 모서 리를 통해 형성된 상기 다수의 제1 초크들과 정렬되는 다수의 통로들을 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  12. 제1항에 있어서,
    상기 가스 분배 플레이트는 상기 다수의 제1 및 제2 초크들의 내부에 위치된 가스 확산기 플레이트를 통해 형성된 다수의 제3 초크들을 더 포함하며, 상기 다수의 제3 초크들은 상기 다수의 제1 초크들보다 작은 유동 저항을 갖는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  13. 태양 전지 어플리케이션에 적합한 막을 증착하는 장치로서,
    프로세싱 챔버; 및
    상기 프로세싱 챔버내에 배치되고 네 측부에 의해 분리된 적어도 네 개의 모서리들을 갖는 사변형의 가스 분배 플레이트를 포함하며, 상기 가스 분배 플레이트는:
    상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리들에 위치된 다수의 제1 초크들(chokes); 및
    상기 가스 분배 플레이트를 관통하여 형성되고 상기 모서리 영역들 사이에 있는 상기 가스 분배 플레이트의 측부를 따라 위치된 다수의 제2 초크들 - 상기 다수의 제1 초크들은 상기 다수의 제2 초크들보다 큰 길이를 가짐 - 을 포함하는,
    태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  14. 제13항에 있어서, 상기 가스 분배 플레이트는:
    만곡된 하류면을 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  15. 제13항에 있어서,
    상기 가스 분배 플레이트는 약 0.05 인치 내지 약 1 인치 사이의 코드 깊이를 갖는 오목 상부면을 갖는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  16. 제13항에 있어서, 상기 초크들은 약 0.01 인치 내지 약 1 인치 사이의 직경을 갖는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  17. 제13항에 있어서,
    상기 장치는 상기 가스 분배 플레이트의 상부면에 부착된 어댑터 플레이트를 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  18. 제13항에 있어서,
    상기 장치는 상기 가스 분배 플레이트의 각각의 모서리에 부착된 어댑터 플 레이트를 더 포함하고, 상기 다수의 제1 초크들을 통한 유동 저항은 그 하부에 배치된 초크들을 통한 유동 저항을 증가시키는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  19. 태양 전지 어플리케이션에 적합한 막을 증착하는 장치로서,
    프로세싱 챔버; 및
    상기 프로세싱 챔버내에 배치되고, 적어도 세 개의 서로 다른 유동 저항의 영역을 규정하도록 배치된 다수의 초크들이 내부에 관통 형성되는, 가스 분배 플레이트 - 상기 가스 분배 플레이트의 모서리에 규정된 제1 영역은 상기 가스 분배 플레이트의 가장자리를 따라 규정된 제2 영역의 유동 저항 보다 큰 유동 저항을 가지며, 상기 가스 분배 플레이트의 중심에서 규정된 제3 영역은 상기 제2 영역보다 작은 유동 저항을 갖음 - 를 포함하는,
    태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  20. 제19항에 있어서, 상기 가스 분배 플레이트의 상기 제3 영역에 형성된 초크들은 상기 가스 분배 플레이트의 상기 제2 영역에 형성된 초크들보다 작은 직경을 갖는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  21. 제19항에 있어서, 상기 가스 분배 플레이트의 상기 제3 영역에 형성된 초크들은 상기 가스 분배 플레이트의 상기 제2 영역에 형성된 초크들보다 짧은 길이를 갖는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  22. 제19항에 있어서, 상기 가스 분배 플레이트는:
    만곡된 하류면을 더 포함하는, 태양 전지 어플리케이션에 적합한 막을 증착하는 장치.
  23. 챔버 내에서 태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법으로서,
    챔버 내에 배치된 기판 지지 어셈블리와 마주하는 가스 분배 플레이트를 구비한 챔버로 기판을 제공하는 단계;
    상기 가스 분배 플레이트의 모서리를 통해 상기 기판쪽으로 상기 가스 분배 플레이트의 중심을 통해 흐르는 프로세스 가스의 속도보다 느린 속도로 프로세스 가스를 흘리는 단계; 및
    상기 프로세스 가스로부터 기판상에 미정질 실리콘 층을 증착하는 단계를 포함하는, 태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법.
  24. 제23항에 있어서,
    프로세싱 동안 상기 초크들의 적어도 일부내에 플라즈마를 유지하는 단계를 더 포함하는, 태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법.
  25. 제23항에 있어서, 상기 가스 분배 플레이트의 모서리를 통해 프로세스 가스를 흘리는 단계는:
    1:20 내지 1:200 사이의 비율로 실란 가스 및 수소 가스를 챔버로 흘리는 단계를 더 포함하는, 태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법.
  26. 제23항에 있어서, 상기 가스 분배 플레이트의 모서리를 통해 프로세스 가스를 흘리는 단계는:
    상기 가스 분배 플레이트의 모서리에 위치된 어댑터 플레이트를 관통하여 프로세스 가스를 흘리는 단계를 더 포함하는, 태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법.
  27. 제23항에 있어서, 상기 가스 분배 플레이트의 모서리를 통해 프로세스 가스를 흘리는 단계는:
    상기 가스 분배 플레이트의 중심에서의 저항성 흐름보다 상기 가스 분배 플레이트의 모서리에서 보다 큰 저항성 흐름을 제공하는 단계를 더 포함하는, 태양 전지 어플리케이션에 적합한 실리콘 막을 증착하는 방법.
KR1020070107949A 2007-06-07 2007-10-25 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법및 장치 KR100960756B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/759,599 US20080302303A1 (en) 2007-06-07 2007-06-07 Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US11/759,599 2007-06-07

Publications (2)

Publication Number Publication Date
KR20080107968A true KR20080107968A (ko) 2008-12-11
KR100960756B1 KR100960756B1 (ko) 2010-06-01

Family

ID=40094685

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070107949A KR100960756B1 (ko) 2007-06-07 2007-10-25 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법및 장치

Country Status (3)

Country Link
US (3) US20080302303A1 (ko)
KR (1) KR100960756B1 (ko)
CN (1) CN101319309B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102034729B1 (ko) * 2018-05-04 2019-10-21 (주)뉴젠텍 플라즈마 발생 및 유도를 위한 플라즈마 블록
KR20230043816A (ko) * 2014-08-29 2023-03-31 램 리써치 코포레이션 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
US12029133B2 (en) 2020-02-26 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
ATE551439T1 (de) * 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
CN103572253B (zh) * 2012-07-30 2016-02-10 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室和具有它的半导体设备
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102067002B1 (ko) * 2013-05-08 2020-01-16 주성엔지니어링(주) 가스 공급 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102102787B1 (ko) 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6644881B2 (ja) 2015-10-04 2020-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高アスペクト比フィーチャ向けの乾燥プロセス
WO2017062134A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Small thermal mass pressurized chamber
WO2017062141A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Substrate support and baffle apparatus
WO2017062136A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Reduced volume processing chamber
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US9997336B2 (en) * 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11123837B2 (en) * 2017-12-22 2021-09-21 Applied Materials, Inc. Method of removal of sharp corners from diffuser plate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
DE102018123523A1 (de) * 2018-09-25 2020-03-26 Meyer Burger (Germany) Gmbh Prozessmodul und Anlage mit wenigstens einem solchen Prozessmodul
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP2022516714A (ja) 2018-12-28 2022-03-02 コーニング インコーポレイテッド インピーダンスディスクを使用して押出ダイに蒸着被覆するためのシステムおよび方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20220018554A (ko) * 2019-06-07 2022-02-15 어플라이드 머티어리얼스, 인코포레이티드 만곡된 표면을 갖는 페이스플레이트
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210007281A (ko) * 2019-07-10 2021-01-20 주성엔지니어링(주) 기판처리장치
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN111403256B (zh) * 2020-03-24 2022-03-22 北京北方华创微电子装备有限公司 半导体工艺装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113818005A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备设备及方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11961739B2 (en) 2020-10-05 2024-04-16 Applied Materials, Inc. Boron concentration tunability in boron-silicon films
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230122134A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Deposition chamber system diffuser with increased power efficiency

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
KR100279963B1 (ko) * 1997-12-30 2001-04-02 윤종용 반도체소자제조용가스디퓨져및이를설치한반응로
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
WO2002014810A2 (en) * 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN100386668C (zh) * 2004-05-12 2008-05-07 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230043816A (ko) * 2014-08-29 2023-03-31 램 리써치 코포레이션 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
KR102034729B1 (ko) * 2018-05-04 2019-10-21 (주)뉴젠텍 플라즈마 발생 및 유도를 위한 플라즈마 블록
US12029133B2 (en) 2020-02-26 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning

Also Published As

Publication number Publication date
US20080302303A1 (en) 2008-12-11
US20090000551A1 (en) 2009-01-01
US20120103264A1 (en) 2012-05-03
CN101319309B (zh) 2012-05-02
CN101319309A (zh) 2008-12-10
KR100960756B1 (ko) 2010-06-01

Similar Documents

Publication Publication Date Title
KR100960756B1 (ko) 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법및 장치
US8142606B2 (en) Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US7648892B2 (en) Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US9441295B2 (en) Multi-channel gas-delivery system
US7741144B2 (en) Plasma treatment between deposition processes
US7919398B2 (en) Microcrystalline silicon deposition for thin film solar applications
US9206513B2 (en) Apparatus for forming deposited film
US20110135843A1 (en) Deposited Film Forming Device and Deposited Film Forming Method
KR20080068523A (ko) 다수―정크션 태양 전지 그리고 그 제조 방법 및 장치
TW200807506A (en) Method for forming microcrystalline silicon film and solar cell
KR20110074854A (ko) 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치
US20090130827A1 (en) Intrinsic amorphous silicon layer
EP2471973A1 (en) Apparatus for forming deposited film and method for forming deposited film
KR20120016955A (ko) 플라즈마를 이용한 기판 처리 장치
WO2011099205A1 (ja) 成膜装置
TWI475708B (zh) 利用流量梯度設計以沉積均勻矽膜之方法與設備
US20020006476A1 (en) Apparatus and method for forming a deposited film by means of plasma CVD

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180510

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190430

Year of fee payment: 10