WO2007105431A1 - 基板処理装置および基板処理方法 - Google Patents

基板処理装置および基板処理方法 Download PDF

Info

Publication number
WO2007105431A1
WO2007105431A1 PCT/JP2007/053151 JP2007053151W WO2007105431A1 WO 2007105431 A1 WO2007105431 A1 WO 2007105431A1 JP 2007053151 W JP2007053151 W JP 2007053151W WO 2007105431 A1 WO2007105431 A1 WO 2007105431A1
Authority
WO
WIPO (PCT)
Prior art keywords
temperature
substrate
processing
cooling
cooling gas
Prior art date
Application number
PCT/JP2007/053151
Other languages
English (en)
French (fr)
Inventor
Masaaki Ueno
Masakazu Shimada
Takeo Hanashima
Haruo Morikawa
Akira Hayashida
Original Assignee
Hitachi Kokusai Electric Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc. filed Critical Hitachi Kokusai Electric Inc.
Priority to US12/087,479 priority Critical patent/US8501599B2/en
Priority to JP2008505019A priority patent/JP5153614B2/ja
Publication of WO2007105431A1 publication Critical patent/WO2007105431A1/ja
Priority to US12/382,343 priority patent/US8507296B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Definitions

  • the present invention relates to a substrate processing apparatus and a substrate processing method for processing a substrate such as a semiconductor wafer.
  • Patent Document 1 describes the deviation between the temperature at the edge of the substrate and the temperature at the center that occurs when the heating temperature of the substrate is changed within a predetermined time, the temperature at the edge of the substrate, and the center.
  • the change temperature amount N to achieve the desired average temperature deviation M is obtained using the steady-state deviation from the temperature of the substrate, and the heating temperature for the substrate is controlled to make the film thickness formed on the substrate uniform.
  • a substrate processing apparatus is disclosed.
  • Patent Document 1 Pamphlet of International Publication No. 2005/008755
  • An object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of controlling the uniformity of the thickness of a film formed on a substrate.
  • a substrate processing apparatus includes a processing chamber for processing a substrate, a heating device for optically heating the substrate accommodated in the processing chamber on the outer peripheral side of the substrate, A cooling device that cools the outer peripheral side of the substrate by flowing a fluid near the outer periphery of the substrate that is heated by the heating device, a temperature detection unit that detects the temperature in the processing chamber, and a temperature that is detected by the temperature detection unit. And a heating control unit for controlling the heating device and the cooling device so as to provide a temperature difference between the central portion and the end portion of the substrate while maintaining the temperature of the central portion of the substrate at a predetermined temperature.
  • FIG. 1 is a diagram showing an overall configuration of a semiconductor processing apparatus to which the present invention can be applied.
  • FIG. 2 is a diagram illustrating a processing chamber in a state where the boat and wafer shown in FIG. 1 are accommodated.
  • FIG. 3 is a diagram showing the configuration of a peripheral portion of the processing chamber shown in FIGS. 1 and 2 and a configuration of a first control program for controlling the processing chamber.
  • FIG. 4 is a diagram showing a configuration of a control unit shown in FIG.
  • FIG. 5 is a diagram illustrating the shape of a wafer to be processed in a semiconductor processing apparatus.
  • FIG. 6 is a diagram exemplifying a state where an L type temperature sensor (L type TC) is provided for a processing chamber containing a boat and a boat.
  • L type TC L type temperature sensor
  • FIG. 7 is a flowchart showing a method for correcting the temperature measurement value of the internal temperature sensor, which changes as the cooling gas passes through the cooling gas flow path, for each position in the substrate surface.
  • FIG. 8 is a graph showing an example of the relationship between the temperature set when the semiconductor processing apparatus forms a film on a substrate such as a wafer and the film thickness, and (A) shows the set temperature relative to the in-plane position of the substrate. It is a graph which shows an Example, (B) is a graph which shows the film thickness formed according to the preset temperature shown to (A).
  • FIG. 9 is a graph showing a comparative example of the relationship between the temperature set when the semiconductor processing apparatus forms a film on a substrate such as a wafer and the film thickness, and (A) shows the set temperature relative to the in-plane position of the substrate. It is a graph which shows a comparative example, (B) is a graph which shows the film thickness formed according to the preset temperature shown to (A).
  • FIG. 10 is a view showing a first modification of the processing chamber.
  • FIG. 11 is a view showing a second modification of the processing chamber.
  • FIG. 12 is a view showing a third modification of the processing chamber.
  • FIG. 1 is a diagram showing an overall configuration of a semiconductor processing apparatus 1 to which the present invention can be applied.
  • FIG. 2 is a diagram showing an example of the processing chamber 3 in which the boat 14 and the wafer 12 shown in FIG. 1 are accommodated.
  • FIG. 3 is a diagram showing the configuration of the peripheral components of the processing chamber 3 shown in FIGS. 1 and 2 and the configuration of the first control program 40 that controls the processing chamber 3.
  • the semiconductor processing apparatus 1 is a so-called low pressure CVD apparatus for processing a substrate such as a semiconductor.
  • the semiconductor processing apparatus 1 includes a cassette delivery unit 100, a cassette stocker 102 provided on the back side of the cassette delivery unit 100, a buffer cassette stocker 104 provided above the cassette stocker 102, and a cassette stocker.
  • the wafer mover 106 provided on the back side of 102, the boat elevator 108 provided on the back side of the wafer mover 106 and carrying the boat 14 on which the wafer 12 is set, and provided above the wafer mover 106. It consists of a processing chamber 3 and a control unit 2.
  • the processing chamber 3 shown in FIG. From other components (described later with reference to FIG. 3) such as shaft 348, such as stainless steel 350, O-ring 351, cooling gas flow path 352, exhaust path 354, exhaust section 355, and processing gas flow rate control device. Constructed, the side is covered with heat insulating material 300-1, and the upper part is covered with heat insulating material 300-2.
  • a plurality of heat insulating plates 140 are provided at the lower part of the boat 14.
  • the outer tube 360 also has, for example, a quartz force that transmits light, and is formed in a cylindrical shape having an opening in the lower part.
  • the inner tube 362 has a quartz force that transmits light, for example, is formed in a cylindrical shape, and is disposed on the inner side of the water tube 360 on a concentric circle thereof. Accordingly, a cylindrical space is formed between the outer tube 360 and the inner tube 362.
  • the heater 32 is disposed between four temperature control parts (U, CU, CL, L) 320-1 to 320-4 and the water tube 360, each of which can set and adjust the temperature.
  • External temperature sensors such as thermocouples
  • Internal temperature sensors such as thermocouples (furnace) arranged in the outer tube 360 corresponding to the temperature adjustment parts 320-1 to 322-4 and the temperature adjustment parts 320-1 to 320-20-4 (Including TC) 324-1 to 324-4.
  • the internal temperature sensors 324-1 to 324-4 may be provided inside the inner tube 362, or may be provided between the inner tube 362 and the outer tube 360, and the temperature adjustment portion 320-1 to 320 — It may be provided so as to detect the temperature at the center of the wafer between the wafer 12 and the wafer 12 by being bent every four.
  • Each of the temperature adjustment portions 320-1 to 320-4 of the heater 32 emits, for example, light for optically heating the wafer 12 from the periphery of the outer tube 360, passes through the outer tube 360, and is absorbed by the wafer 12.
  • the wafer 12 is heated (heated) by light.
  • the cooling gas passage 352 is formed between the heat insulating material 300-1 and the outer tube 360 so as to allow a fluid such as cooling gas to pass through, and is provided at the lower end of the heat insulating material 300-1.
  • the cooling gas supplied from the suction holes 353 is passed upward of the water tube 360.
  • the cooling gas is, for example, air or nitrogen (N2).
  • cooling gas flow path 352 is configured such that the cooling gas is blown out toward the counter tube 360 between each of the temperature adjustment portions 320-1 to 320-4.
  • the cooling gas cools the water tube 360, and the cooled water tube 360 cools the wafer 12 set in the boat 14 from the circumferential direction (outer peripheral side).
  • the cooling gas passing through the cooling gas flow path 352 cools the outer tube 360 and the wafer 12 set in the boat 14 from the circumferential direction (outer peripheral side).
  • An exhaust passage 354 is provided above the cooling gas passage 352.
  • the exhaust passage 354 guides the cooling gas supplied from the intake hole 353 and passing upward through the cooling gas passage 352 to the outside of the heat insulating material 300-2. [0018] Further, the exhaust passage 354 is provided with an exhaust section 355 for exhausting the cooling gas.
  • the exhaust unit 355 includes a cooling gas exhaust device 356 such as a blower and a radiator 357, and exhausts the cooling gas guided to the outside of the heat insulating material 300-2 through the exhaust hole 358 through the exhaust path 354.
  • a cooling gas exhaust device 356 such as a blower and a radiator 357
  • the radiator 357 cools the cooling gas heated by cooling the outer tube 360 and the wafer 12 in the processing chamber 3 with cooling water or the like.
  • a shirter 359 is provided in the vicinity of the intake hole 353 and the radiator 357, and the opening and closing of the cooling gas passage 352 and the exhaust passage 354 are controlled by a not-shown shirter control unit.
  • the processing chamber 3 includes a temperature control device 370, a temperature measurement device 372, a processing gas flow control device (mass flow controller; MFC) 374, a boat elevator control device (elevator controller).
  • EC mass flow controller
  • MFC mass flow controller
  • Elevator controller boat elevator control device
  • EC pressure sensor
  • PS pressure regulator
  • API Auto Pressure Control
  • EP process gas exhaust
  • inverter 384 inverter
  • the temperature control device 370 includes temperature adjustment portions 320-1 to 320 according to control from the control unit 2.
  • the temperature measuring device 372 detects the temperature of each of the temperature sensors 322-1 to 322-4, 324-1 to 324-4, and outputs them to the control unit 2 as temperature measurement values.
  • the boat elevator control device (EC) 376 drives the boat elevator 108 in accordance with the control from the control unit 2.
  • APC pressure adjusting device
  • N2 ballast controller N2 ballast controller
  • EP382 a vacuum pump etc. are used, for example.
  • the inverter 384 controls the rotation speed of the cooling gas exhaust device 356 as a blower.
  • FIG. 4 is a diagram showing a configuration of the control unit 2 shown in FIG.
  • control unit 2 includes a CPU 200, a memory 204, a display device, a touch panel and a keyboard / display unit 22 including a mouse, and a recording unit 24 such as an HD 'CD. Consists of
  • control unit 2 includes a configuration part as a general computer that can control the semiconductor processing apparatus 1.
  • the control unit 2 uses these components to execute a control program for reduced-pressure CVD processing (for example, the control program 40 shown in FIG. 3), and controls each component of the semiconductor processing apparatus 1 to control the semiconductor wafer 12. In contrast, the reduced pressure CVD process described below is executed.
  • a control program for reduced-pressure CVD processing for example, the control program 40 shown in FIG. 3
  • the reduced pressure CVD process described below is executed.
  • the control program 40 includes a process control unit 400, a temperature control unit 410, a process gas flow rate control unit 412, a drive control unit 414, a pressure control unit 416, a process gas exhaust device control unit 418, and a temperature. It comprises a measuring unit 420, a cooling gas flow rate control unit 422, and a temperature set value storage unit 424.
  • control program 40 is supplied to the control unit 2 via the recording medium 240 (FIG. 4), loaded into the memory 204, and executed.
  • the temperature setting value storage unit 424 stores the temperature setting value of the processing recipe for the wafer 12 and outputs it to the process control unit 400.
  • the process control unit 400 displays each component of the control program 40 according to the user operation on the input unit 22 (Fig. 4) or the processing procedure (processing recipe) recorded in the recording unit 24.
  • the portion is controlled, and a low pressure CVD process is performed on the wafer 12 as will be described later.
  • the temperature measurement unit 420 receives the temperature measurement values of the temperature sensors 322 and 324 via the temperature measurement device 372 and outputs the temperature measurement values to the process control unit 400.
  • the temperature control unit 410 receives the temperature set value and the temperature measurement values of the temperature sensors 322 and 3 24 from the process control unit 400, and feedback controls the power supplied to the temperature adjustment unit 320 to heat the inside of the water tube 360.
  • the wafer 12 is brought to a desired temperature.
  • the processing gas flow rate control unit 412 controls the MFC 374 and adjusts the flow rate of the processing gas or inert gas supplied into the water tube 360.
  • the drive controller 414 controls the boat elevator 108 to hold the boat 14 and this The lifted wafer 12 is moved up and down.
  • the drive control unit 414 controls the boat elevator 108 to rotate the boat 14 and the wafer 12 held by the boat 14 via the rotation shaft 348.
  • the pressure control unit 416 receives the measured pressure value of the processing gas in the water tube 360 by the PS 378, controls the APC 380, and sets the processing gas in the water tube 360 to a desired pressure.
  • the processing gas exhaust device control unit 418 controls the EP 382 to exhaust the processing gas or the inert gas inside the water tube 360.
  • the cooling gas flow rate control unit 422 controls the flow rate of the cooling gas exhausted by the cooling gas exhaust device 356 via the inverter 384.
  • the number of component parts such as the temperature adjustment parts 320-1 to 320-4 may be indicated.
  • the number of component parts is illustrated for the purpose of clarifying the description. However, it is not intended to limit the technical scope of the present invention.
  • An O-ring 351 is disposed between 344 and the lower opening of the hold 350, so that the air tube 360 and the hold 350 are hermetically sealed.
  • An inert gas or a processing gas is introduced into the water tube 360 through a gas introduction nozzle 340 located below the water tube 360.
  • a trachea 346 (Fig. 2) connected to PS378, APC380 and EP382.
  • the processing gas flowing between the water tube 360 and the inner tube 362 is exhaust pipe 346,
  • the APC 380 controls the pressure so that the inside of the water tube 360 becomes a preset desired pressure. Adjust according to the instructions of Obe 416.
  • the APC 380 adjusts according to the instruction of the pressure control unit 416 so that the inside of the water tube 360 becomes normal pressure when the inert gas is to be introduced so that the inside of the water tube 360 becomes normal pressure, or the water tube 360
  • the inside of the water tube 360 is adjusted according to the instruction of the pressure control unit 416.
  • a boat 14 holding a large number of semiconductor substrates (wafers) 12 is connected to a lower rotating shaft 348 of the boat 14.
  • the rotating shaft 348 is connected to the boat elevator 108 (FIG. 1), and the boat elevator 108 raises and lowers the boat 14 at a predetermined speed according to the control via the EC 376. Further, the boat elevator 108 rotates the wafer 12 and the boat 14 at a predetermined speed via the rotation shaft 348.
  • the wafer 12 to be processed is transported in a state of being loaded in the wafer cassette 490 (FIG. 1) and transferred to the cassette transfer unit 100.
  • the cassette transfer unit 100 transfers this Weno cup 12 to the cassette stocker 102 or the buffer force set stocker 104.
  • the wafer mover 106 takes out the wafers 12 from the cassette stocker 102 and loads them into the boat 14 in multiple stages in a horizontal state.
  • the boat elevator 108 raises the boat 14 loaded with the wafers 12 and guides the boat 14 into the processing chamber 3.
  • the boat elevator 108 lowers the boat 14 loaded with the processed wafers 12 and removes it from the processing chamber 3.
  • FIG. 5 is a diagram illustrating the shape of the wafer 12 to be processed in the semiconductor processing apparatus 1 (FIG. 1).
  • the surface of the wafer 12 (hereinafter, the surface of the wafer 12 is also simply referred to as the wafer 12) has a shape as shown in FIG.
  • the wafer 12 is irradiated with the temperature adjustment portions 320-1 to 320-4, and the water tube 36 is irradiated.
  • the ambient force of the water tube 360 is also heated by the light transmitted through 0.
  • the temperature at the end of the surface of the wafer 12 is higher than the temperature at the center. Become higher.
  • the temperature adjustment part 320-1 to 320-4 applied the edge force center of the wafer 12 such that the closer to the outer periphery of the wafer 12, the higher the temperature becomes, and the lower the temperature, the lower the temperature. A mortar-shaped temperature deviation will occur in the wafer 12.
  • a processing gas such as a reaction gas is also supplied from the outer peripheral side of the wafer 12, depending on the type of film formed on the wafer 12, the reaction rate between the end portion and the center portion of the wafer 12 is increased. There are different things.
  • a processing gas such as a reaction gas is consumed at the edge of the wafer 12 and then reaches the center of the wafer 12, so that the concentration of the processing gas is higher at the center of the wafer 12 than at the edge of the wafer 12. Becomes low.
  • the film formed on the wafer 12 is caused by the supply of the reaction gas from the outer peripheral force of the wafer 12.
  • the thickness may be uneven at the end and the center.
  • the processing chamber 3 heats the temperature of the central portion of the wafer 12 to a predetermined set temperature (processing temperature) by the temperature adjustment portion 320 and passes the cooling gas through the cooling gas flow path 352 to pass through the wafers 12 and 12.
  • processing temperature processing temperature
  • heating control is performed to adjust the film thickness in accordance with the reaction rate at which the film is formed on the wafer 12. Etc.).
  • FIG. 6 is a diagram illustrating a state in which an L-type temperature sensor (L-type TC) 390 is provided for the processing chamber 3 in which the boat 14 and the wafer 12 are accommodated.
  • L-type TC L-type temperature sensor
  • the control unit 2 uses the temperature measurement value of the internal temperature sensor 324, for example, the end of the wafer 12 The temperature and center temperature (temperature relative to the position in the substrate surface) are calculated, and the flow rate of the cooling gas passing through the temperature adjustment section 320 and the cooling gas flow path 352 may be controlled.
  • the internal temperature sensor 324 is provided between the boat 14 and the inner tube 362.
  • the internal temperature sensor 324 may be provided between the inner tube 362 and the water tube 360.
  • the actual end temperature, center temperature, and cooling gas of the wafer 12 pass through the cooling gas flow path 352.
  • the change in the temperature measurement value of the internal temperature sensor 324 due to the passage it is necessary to correct the change in the temperature measurement value of the internal temperature sensor 324 as the cooling gas passes through the cooling gas flow path 352.
  • the L-type temperature sensor 390 is a thermocouple formed in, for example, a plurality of character shapes in order to measure the temperature in the vicinity of the center portion of the wafer 12 that is substantially the same height as the internal temperature sensor 324. The measured value is output to control unit 2.
  • the L-type temperature sensor 390 measures the temperature near the center of the wafer 12 at a plurality of locations before the semiconductor processing apparatus 1 starts processing the wafer 12, and the semiconductor processing apparatus 1 performs processing on the wafer 12. If you do, it will be removed.
  • the L-type temperature sensor 390 is hermetically sealed with a joint interposed in the furnace opening lid 344.
  • the temperature detected by the L-type temperature sensor 390 is regarded as the temperature at the center of the wafer 12, and the temperature detected by the internal temperature sensor 324 is regarded as the temperature at the end of the wafer 12 to control the temperature.
  • the temperature control is performed by regarding the difference between the temperature detected by the L-type temperature sensor 390 and the temperature detected by the internal temperature sensor 324 as a temperature deviation within the wafer surface.
  • FIG. 7 is a flowchart (S 10) showing a method for correcting the temperature measurement value of the internal temperature sensor 324 that changes as the cooling gas passes through the cooling gas flow path 352 for each position in the substrate surface. .
  • step 100 the control unit 2 performs cooling with the cooling gas.
  • the temperature is controlled so that the temperature detected by the L-type temperature sensor 390 matches the predetermined set temperature (processing temperature).
  • the detection result of the internal temperature sensor (in-furnace TC) 324 for the predetermined set temperature (process temperature) is acquired. .
  • step 102 the control unit 2 sets the cooling gas flow rate passing through the cooling gas flow path 352 (that is, the flow rate of the cooling gas exhausted by the cooling gas exhaust device 356) to a constant flow rate.
  • Temperature force detected by the L-type temperature sensor 390 The temperature is controlled so that it matches the specified set temperature (processing temperature).
  • the detection result of the internal temperature sensor (in-furnace TC) 324 for the predetermined set temperature (process temperature) is acquired. .
  • the flow rate of the cooling gas passing through the cooling gas flow path 352 is changed, and the temperature detected by the L-type temperature sensor 390 matches the predetermined set temperature (processing temperature) while keeping the changed flow rate constant. To control the temperature.
  • the detection result of the internal temperature sensor (in-furnace TC) 324 for the predetermined set temperature (process temperature) is acquired. .
  • the control unit 2 performs step 202 (S202) between the flow rate of the cooling gas passing through the cooling gas flow path 352, the predetermined set temperature (the temperature detected by the L-type temperature sensor 390), and the temperature detected by the internal temperature sensor 324. Repeat a certain number of times to clarify the relationship.
  • step 104 the control unit 2 determines the temperature correction value (depending on the cooling gas) of the internal temperature sensor 324 with respect to the cooling gas flow rate when the predetermined set temperature (the temperature detected by the L type temperature sensor 390) is reached. The value corresponding to the change in the temperature measurement value) is calculated.
  • step 106 the control unit 2 correlates the temperature correction value calculated in the process of S204 with the cooling gas flow rate as a correlation at a predetermined set temperature (process temperature), for example, It is stored as additional information in the temperature set value storage unit 424 or the like.
  • the controller 2 removes the L-type temperature sensor 390 when processing the wafer 12. Then, by using the temperature correction value stored in the process of S106, the temperature measurement value of the internal temperature sensor 324 is corrected, and the cooling gas flow rate passing through the cooling gas flow path 352 corresponding to the temperature correction value (i.e., Set the temperature of the temperature adjustment section 320 so that the measured temperature value of the corrected internal temperature sensor 324 matches the desired set temperature (processing temperature). Control.
  • the L-type temperature sensor 390 has been described as a thermocouple having a plurality of locations formed in an L shape so that the temperature near the center of the wafer 12 can be measured at a plurality of locations. It is also possible to use a thermocouple formed in a one-point force shape so that the temperature near the center can be measured at one location, and obtain the relationship between the temperature correction value of the internal temperature sensor 324 and the cooling gas flow rate.
  • the number of L-type temperature sensors 390 installed may be different from the number of internal temperature sensors 324.
  • thermocouple embedded in the center of the wafer
  • the semiconductor processing apparatus 1 controls the semiconductor wafers 12 arranged at predetermined intervals in the processing chamber 3 under the control of the control program 40 (FIG. 3) executed on the control unit 2 (FIGS. 1 and 4).
  • Si3N4 film, Si02 film, polysilicon (Poly-Si) film, etc. are formed by CVD.
  • the boat elevator 108 lowers the boat 14.
  • a desired number of wafers 12 to be processed are set in the lowered boat 14, and the boat 14 holds the set wafers 12.
  • each of the four temperature control portions 320-1 to 320-4 of the heater 32 heats the inside of the water tube 360 according to the setting, and the central portion of the wafer 12 is set to a predetermined constant. Heat to temperature.
  • the cooling gas flows through the cooling gas flow path 352 according to the setting, and the water tube 360 and the wafer 12 set in the boat 14 are cooled from the circumferential direction (outer peripheral side).
  • the MFC 374 adjusts the flow rate of the introduced gas through the gas introduction nozzle 340 (Fig. 2). Introduce and fill the inert tube 360 with inert gas.
  • the boat elevator 108 raises the boat 14 and moves it into the water tube 360 in a state in which an inert gas having a desired processing temperature is filled.
  • the inert gas in the water tube 360 is exhausted by the EP 382, the inside of the water tube 360 is evacuated, and the boat 14 and the wafer 12 held by the boat 14 are rotated via the rotating shaft 348. It is done.
  • EP382 exhausts the processing gas from the inside of the water tube 360 during the low-pressure CVD process via the exhaust pipe 346, and the APC 380 sets the processing gas in the water tube 360 to a desired pressure.
  • the low pressure CVD process is performed on the wafer 12 for a predetermined time.
  • the process gas inside the Veguta tube 360 that moves to the process for the next wafer 12 is replaced with an inert gas, and the pressure is further increased to normal pressure. Further, a cooling gas is caused to flow through the cooling gas flow path 352 to cool the inside of the water tube 360 to a predetermined temperature.
  • the boat elevator 108 raises the boat 14 holding the wafer 12 to be subjected to the reduced pressure CVD process, and sets the boat 14 in the outer tube 360.
  • the following reduced-pressure CVD process is performed on the wafer 12 set in this way.
  • the cooling gas is a force that can control the film thickness if the pre-processing force of the wafer 12 is allowed to flow until the processing is completed.
  • FIG. 8 is a graph showing an example of the relationship between the temperature and the film thickness set when the semiconductor processing apparatus 1 forms a film on a substrate such as the wafer 12, and (A) is a setting for the position in the substrate plane. It is a graph which shows the Example of temperature, (B) is a graph which shows the film thickness formed according to the preset temperature shown to (A).
  • FIG. 9 is a graph showing a comparative example of the relationship between the temperature and the film thickness set when the semiconductor processing apparatus 1 forms a film on a substrate such as the wafer 12, and (A) is a setting for the position in the substrate plane. It is a graph which shows the comparative example of temperature, (B) is a graph which shows the film thickness formed according to the preset temperature shown to (A).
  • the control unit 2 has a temperature adjustment portion so that the center of the substrate has a predetermined set temperature (processing temperature) and the end of the substrate is lower than the processing temperature.
  • processing temperature processing temperature
  • the temperature of 320 and the flow rate of the cooling gas passing through the cooling gas flow path 352 are controlled, the film thickness formed on the substrate is almost uniform at the center and the edge of the substrate as shown in FIG. become.
  • the temperature of the end portion of the substrate is lower than the temperature of the central portion of the substrate.
  • the control unit 2 controls the temperature of the temperature adjustment part 320 so that the center part and the end part of the substrate have a predetermined set temperature (processing temperature). If (for example, the cooling gas does not flow into the cooling gas flow path 352), as shown in FIG. 9B, the thickness of the film formed at the end of the substrate is the thickness of the film formed at the center of the substrate. Thicker than the thickness.
  • the cooling gas is flowed so that the substrate temperature and the temperature of the water tube 360 are equal, the temperature at the center of the substrate and the temperature at the end of the substrate are equal.
  • the temperature correction value of the internal temperature sensor 324 at the predetermined set temperature (processing temperature) obtained in advance shown in FIG. 6 is stored in correspondence with the cooling gas flow rate.
  • one condition is selected from the data stored in the temperature set value storage unit 424, and the control unit 2 controls the temperature adjustment part 320 of the heater 32 via the temperature control unit 410 under the selected condition.
  • the cooling gas exhaust device 356 is controlled via the cooling gas flow rate control unit 422 and the inverter 384.
  • a temperature correction value is set for the measured temperature of the internal temperature sensor 324, and the temperature correction is performed.
  • the cooling gas flow rate corresponding to the value is set, and the temperature adjustment part 320 of the heater 32 is heated and controlled based on the measured temperature of the internal temperature sensor 324 after correction, and the cooling gas exhaust device corresponding to the set cooling gas flow rate The flow rate of the cooling gas exhausted by the 356 is controlled.
  • the processing gas is introduced into the water tube 360 via the gas introduction nozzle 340 while rotating the boat 14 and the wafer 12 held by the boat 14 in the water tube 360, and with respect to the wafer 12.
  • a film having a predetermined thickness is formed.
  • the processed wafer 12 is taken out from the outer tube 360. After the wafer 12 is taken out, the film thickness of the processed wafer 12 is measured, and it is confirmed whether the film thickness in the substrate surface (in the surface of the wafer 12) is uniform.
  • the temperature correction value of the internal temperature sensor 324 at the time of processing temperature is stored in correspondence with the cooling gas flow rate, for example, one condition selected previously from the data in the temperature setting value storage unit 424 Further, a value having a larger temperature correction value and a corresponding cooling gas flow rate are selected, and a film having a predetermined film thickness is again formed on the wafer 12 under the selected conditions.
  • the thickness of the film formed at the edge of the substrate within the substrate surface is smaller than the thickness of the film formed at the center of the substrate, it is obtained in advance as shown in FIG.
  • the temperature correction value of the internal temperature sensor 324 at the predetermined set temperature is stored in correspondence with the cooling gas flow rate, for example, from the data in the temperature set value storage unit 424 first.
  • a temperature correction value smaller than the selected one condition and a corresponding cooling gas flow rate are selected, and a film having a predetermined film thickness is again formed on the wafer 12 under the selected condition. Rub.
  • Fine adjustment is performed by actually repeating the film formation on the wafer 12 until the film thickness in the substrate surface becomes uniform at the desired film thickness.
  • the temperature correction value is stored in each of the internal temperature sensors 324-1 to 324-4 corresponding to the temperature adjustment portions 320-1 to 320-4, and the temperature correction value is set.
  • Heat control is performed using the corresponding cooling gas flow rate, and not only the uniformity of the film thickness within the substrate surface but also the film between the substrate surfaces Also fine-tune the uniformity of thickness (thickness between multiple wafers held in boat 14).
  • the temperature correction value of the internal temperature sensor 324 stored at the predetermined set temperature (processing temperature) obtained in advance shown in FIG.
  • a predetermined film thickness is obtained under the condition that the temperature correction value of the internal temperature sensor 324 and the cooling gas flow rate are directly changed with reference to the data. Fine adjustment may be performed until the value becomes uniform.
  • the L-type temperature sensor 390 is detected by the L-type temperature sensor 390 without rotating the boat 14 and the wafer 12 held by the L-type temperature sensor 390 in a state of hermetically sealing the furnace lid 344 with a joint interposed therebetween. Fine adjustment is performed until the predetermined film thickness becomes uniform under the condition that the temperature correction value of the internal temperature sensor 324 and the cooling gas flow rate are directly changed so that the temperature to be set becomes the predetermined set temperature (processing temperature).
  • processing temperature processing temperature
  • the processing gas is introduced into the water tube 360 via the gas introduction nozzle 340 while rotating the boat 14 and the wafer 12 held by the boat 14 in the water tube 360, and a predetermined film is formed on the wafer 12. A thick film is formed.
  • the heater 32 controls the end (periphery) temperature and the center temperature of the wafer 12 by the cooling gas while controlling the center temperature of the wafer 12 to be a constant temperature according to the set temperature.
  • the temperature so as to provide a temperature difference between them, the in-plane film thickness uniformity of the wafer 12 and the film thickness uniformity between the surfaces can be improved without changing the film quality.
  • the refractive index of the film may vary depending on the processing temperature, or the processing temperature may be lowered from a high temperature to a low temperature.
  • the etching rate is low, and the film changes from high to high depending on the processing temperature.
  • the film is formed while lowering the processing temperature from high temperature to low temperature.
  • the stress value is high, the film is low, and the film changes depending on the treatment temperature.
  • control unit 2 controls the temperature of the water tube 360 by controlling the temperature of the temperature adjustment unit 320 and the flow rate of the cooling gas passing through the cooling gas flow path 352, By controlling the in-plane temperature of a substrate such as wafer 12 to prevent the film quality from changing, it is possible to control the uniformity of the thickness of the film formed on the substrate. Play.
  • FIG. 10 is a view showing a first modification of the processing chamber 3.
  • the first modification of the processing chamber 3 is that the cooling gas exhaust devices 392 and 393 such as blowers having different exhaust amounts (total flow rates) in the exhaust path 354 are connected to the shirts 394 and 395, respectively. Is provided.
  • the cooling gas exhaust devices 392 and 393 are individually controlled by the control unit 2 through the inverters 396-1 and 396-2, respectively.
  • the first modification of the processing chamber 3 is that the control unit 2 individually controls the inverters 396-1 and 396-2 and the shirts 394 and 395 so that the cooling gas passing through the cooling gas flow path 352 is controlled.
  • the flow rate is controlled with great force.
  • the conductance from the exhaust path 354 to the cooling gas exhaust devices 392 and 393 is reduced, or the flow rate of the cooling gas is controlled by providing a damper 397 or the like. It may be done.
  • the first modification of the processing chamber 3 can finely control the flow rate of the cooling gas passing through the cooling gas flow path 352, the cooling of the outer side of the outer tube 360 and the wafer 12 can be performed with low strength. It is possible to control the uniformity of the thickness of the film formed on the wafer 12.
  • FIG. 11 is a view showing a second modification of the processing chamber 3. Note that, in the second modification of the processing chamber 3, the same reference numerals are given to substantially the same parts as the processing chamber 3 shown in FIG.
  • the second modified example of the processing chamber 3 includes a pipe 398 between the water tube 360 and the temperature adjustment unit 320.
  • a cooling gas is caused to flow through the pipe 398 by, for example, a blower (exhaust device) not shown.
  • the second modification of the processing chamber 3 controls the uniformity of the thickness of the film formed on the wafer 12 by flowing the cooling gas through the pipe 398 to cool the outer periphery of the wafer tube 360 and the wafer 12. To do.
  • the flow rate of the cooling gas passing through the pipe 398 and the cooling gas flow path 352 may be individually controlled, or the wafer may be controlled by the flow rate of the cooling gas passing through the pipe 398.
  • the uniformity of the thickness of the film formed on 12 may be controlled.
  • FIG. 12 is a view showing a third modification of the processing chamber 3.
  • the water tube 360 has a hollow structure, and the cooling gas flow path 399 is formed in the water tube 360.
  • the cooling gas flow path 399 is supplied with cooling gas by a blower (exhaust device) (not shown), for example.
  • the third modification of the processing chamber 3 controls the uniformity of the thickness of the film formed on the wafer 12 by cooling the outer peripheral side of the wafer 12 by flowing the cooling gas through the cooling gas flow path 399. .
  • the semiconductor processing apparatus 1 may control the flow rate of the cooling gas with an intake device, or may be based on mass flow control.
  • the heating device may be of a type that performs light heating, for example, a resistance heating method or a lamp heating method.
  • the semiconductor processing apparatus 1 may be configured to cool the outer side of the water tube 360 and the wafer 12 by flowing a liquid such as water instead of the cooling gas.
  • the cooling device is provided on the outer peripheral side of the processing chamber, and is provided with a cooling gas passage through which a cooling gas flows, an exhaust passage through which the cooling gas flowing through the cooling gas passage can be exhausted, and an exhaust passage. And an exhaust part for exhausting the cooling gas.
  • the exhaust unit includes a plurality of exhaust devices having different displacements, and the heating control unit individually controls the plurality of exhaust devices.
  • the substrate processing method includes a step of optically heating a substrate housed in a processing chamber using a heating device, and a cooling device for flowing a fluid in the vicinity of the outer periphery of the substrate.
  • the process of cooling the side, the process of detecting the temperature in the processing chamber, and the detected temperature! And controlling the heating device and the cooling device.
  • the substrate processing method includes a step of optically heating a substrate housed in a processing chamber from the outer peripheral side of the substrate with a heating device, and flowing a cooling gas near the outer periphery of the substrate so that the outer peripheral side of the substrate is A step of cooling, a step of exhausting the cooling gas by a plurality of exhaust devices having different displacements, a step of detecting the temperature in the processing chamber, and the caloric heat device and the plurality of exhaust devices based on the detected temperatures Individually controlling each of them.
  • a temperature acquisition unit that acquires the temperature at the center and the outer periphery of the substrate, respectively, and a temperature that is set when the cooling device changes the amount of fluid that flows while the heating device heats the substrate. Based on the correlation acquired by the correlation acquisition unit that acquires the correlation between the flow rate of the fluid and the temperature deviation between the center portion and the outer peripheral side of the substrate, and the set temperature of the heating unit described above.
  • the substrate processing apparatus further comprising: a set temperature correction unit that corrects
  • the correlation acquisition unit when the amount of fluid that the cooling device flows while the heating device heats the substrate, changes the set temperature, the flow rate of the fluid, and the temperature detection. Further acquiring a correlation with the temperature detected by the output unit, the set temperature correction unit corrects the set temperature of the heating device based on the correlation acquired by the correlation acquisition unit (6) 2.
  • the substrate processing apparatus according to 1.
  • the present invention can be used for a substrate processing apparatus that controls the uniformity of the thickness of a film formed on a substrate.

Abstract

 基板を処理する処理室と、前記処理室内に収容された基板を基板の外周側から光加熱する加熱装置と、前記加熱装置が光加熱する基板の外周近傍に流体を流すことにより、基板の外周側を冷却する冷却装置と、前記処理室内の温度を検出する温度検出部と、前記温度検出部が検出する温度に基づいて、前記基板の中心部の温度を所定温度に維持しつつ該中心部と前記基板の端部とに温度差を設けるように前記加熱装置および前記冷却装置を制御する加熱制御部とを有する基板処理装置。

Description

明 細 書
基板処理装置および基板処理方法
技術分野
[0001] 本発明は、半導体ウェハ等の基板を処理する基板処理装置および基板処理方法 に関する。
背景技術
[0002] 例えば、特許文献 1は、基板の加熱温度を所定時間内に変化させたときに発生す る基板端部の温度と中心部の温度との偏差と、基板端部の温度と中心部の温度との 定常偏差とを用いて、所望の平均温度偏差 Mを実現するための変化温度量 Nを求 め、基板に対する加熱温度を制御して、基板に形成される膜厚を均一にする基板処 理装置を開示する。
しカゝしながら、所望の平均温度偏差 Mを実現しても、基板に形成される膜厚の均一 '性に限度があった。
[0003] 特許文献 1:国際公開第 2005/008755号パンフレット
発明の開示
発明が解決しょうとする課題
[0004] 本発明は、基板に形成する膜の厚さの均一性を制御することができる基板処理装 置および基板処理方法を提供することを目的として!/ヽる。
課題を解決するための手段
[0005] 上記目的を解決するために、本発明に係る基板処理装置は、基板を処理する処理 室と、前記処理室内に収容された基板を基板の外周側力 光加熱する加熱装置と、 前記加熱装置が光加熱する基板の外周近傍に流体を流すことにより、基板の外周側 を冷却する冷却装置と、前記処理室内の温度を検出する温度検出部と、前記温度 検出部が検出する温度に基づいて、前記基板の中心部の温度を所定温度に維持し つつ該中心部と前記基板の端部とに温度差を設けるように前記加熱装置および前 記冷却装置を制御する加熱制御部とを有する。
図面の簡単な説明 [0006] [図 1]本発明が適応されうる半導体処理装置の全体構成を示す図である。
[図 2]図 1に示したボートおよびウェハを収容した状態の処理室を例示する図である。
[図 3]図 1,図 2に示した処理室の周辺の構成部分、および、処理室に対する制御を 行う第 1の制御プログラムの構成を示す図である。
[図 4]図 1に示した制御部の構成を示す図である。
[図 5]半導体処理装置における処理の対象となるウェハの形状を例示する図である。
[図 6]ボートおよびを収容した処理室に対し、 Lタイプ温度センサ (Lタイプ TC)を設け た状態を例示する図である。
[図 7]冷却ガスが冷却ガス流路を通過することによって変化する内部温度センサの温 度測定値を基板面内位置ごとに補正する方法を示すフローチャートである。
[図 8]半導体処理装置がウェハなどの基板に膜を形成する場合に設定する温度と膜 厚との関係の実施例を示すグラフであって、 (A)は基板面内位置に対する設定温度 の実施例を示すグラフであり、 (B)は (A)に示した設定温度に応じて形成される膜厚 を示すグラフである。
[図 9]半導体処理装置がウェハなどの基板に膜を形成する場合に設定する温度と膜 厚との関係の比較例を示すグラフであって、 (A)は基板面内位置に対する設定温度 の比較例を示すグラフであり、 (B)は (A)に示した設定温度に応じて形成される膜厚 を示すグラフである。
[図 10]処理室の第 1の変形例を示す図である。
[図 11]処理室の第 2の変形例を示す図である。
[図 12]処理室の第 3の変形例を示す図である。
符号の説明
[0007] 1 · · ·半導体処理装置
12…ウエノヽ
14· "ボート
100· · 'カセット授受ユニット
102· · ·カセットストッカ
106 · · ·ウェハ移動機 108· · 'ボートエレベータ
490···ウェハカセット
2···制御部
22···表示'入力部
200··· CPU
204· "メモリ
24···記録部
240···記録媒体
40···制御プログラム
400···プロセス制御部
410···温度制御部
412·· '処理ガス流量制御部
414···駆動制御部
416···圧力制御部
418· · ·処理ガス排気装置制御部
420···温度測定部
422· · '冷却ガス流量制御部
424···温度設定値記憶部
442· · '温度設定補正値記憶部
3···処理室
300· "断熱材
32· · 'ヒータ
320···温度調整部分
322, 324···温度センサ 340···ガス導入ノズノレ
344···炉口蓋
346·· '排気管
348· "回転軸 350·• ·マ-ホーノレド
351· •·οリング
352· ··冷却ガス流路
353· ··吸気孔
354· ··排気路
355· ··排気部
356· ··冷却ガス排気装置
357· ··ラジェタ
358· ··排気孔
359· • 'シャツタ
360· ··了ウタチューブ
362· • 'インナチューブ
370· ··温度制御装置
372· ··温度測定装置
374· ••MFC
376· ••EC
378· ••PS
380· ••APC
382· •·ΕΡ
390· ••Lタイプ温度センサ
392, 393···冷却ガス排気;
394, 395· · 'シャツタ
396- -1, 396— 2···インバ
397· • ·ダンバ
398· ··配管
399· ··冷却ガス流路
発明を実施するための最良の形態 [本発明の背景] 本発明の理解を助けるために、実施形態の説明に先立って、まず、本発明がなさ れるに至った背景を説明する。
[0009] [半導体処理装置 1]
図 1は、本発明が適応されうる半導体処理装置 1の全体構成を示す図である。 図 2は、図 1に示したボート 14およびウェハ 12を収容した状態の処理室 3を例示す る図である。
図 3は、図 1,図 2に示した処理室 3の周辺の構成部分、および、処理室 3に対する 制御を行う第 1の制御プログラム 40の構成を示す図である。
[0010] 半導体処理装置 1は、例えば半導体などの基板を処理するいわゆる減圧 CVD装 置である。
図 1に示すように、半導体処理装置 1は、カセット授受ユニット 100、カセット授受ュ ニット 100の背面側に設けられたカセットストッカ 102、カセットストッカ 102の上方に 設けられたバッファカセットストッカ 104、カセットストッカ 102の背面側に設けられたゥ ェハ移動機 106、ウェハ移動機 106の背面側に設けられ、ウェハ 12がセットされた ボート 14を搬送するボートエレベータ 108、ウェハ移動機 106の上方に設けられた 処理室 3、および、制御部 2から構成される。
[0011] [処理室 3]
図 2に示すように、図 1に示した処理室 3は、中空のヒータ 32、外管(ァウタチューブ ) 360、内管(インナチユーブ) 362、ガス導入ノズル 340、炉口蓋 344、排気管 346、 回転軸 348、例えばステンレスからなるマ-ホールド 350、 Oリング 351、冷却ガス流 路 352、排気路 354、排気部 355および処理ガス流量制御装置などその他の構成 部分(図 3を参照して後述)から構成され、側部が断熱材 300— 1により覆われ、上部 が断熱材 300— 2により覆われて ヽる。
また、ボート 14の下部には、複数の断熱板 140が設けられている。
[0012] ァウタチューブ 360は、光を透過させる例えば石英力もなり、下部に開口を有する 円筒状の形態に形成されている。
インナチューブ 362は、光を透過させる例えば石英力もなり、円筒状の形態に形成 され、ァウタチューブ 360の内側に、これの同心円上に配設される。 従って、ァウタチューブ 360とインナチューブ 362との間には円筒状の空間が形成 される。
[0013] ヒータ 32は、それぞれに対する温度の設定および調節が可能な 4つの温度調節部 分(U, CU, CL, L) 320— 1〜320—4とァウタチューブ 360との間に配設される熱 電対などの外部温度センサ 322— 1〜322— 4、および、温度調整部分 320— 1〜3 20— 4に対応してァウタチューブ 360内に配設される熱電対などの内部温度センサ( 炉内 TC) 324— 1〜324— 4を含む。
内部温度センサ 324— 1〜324—4は、インナチューブ 362の内側に設けられても よいし、インナチューブ 362とァウタチューブ 360との間に設けられてもよいし、温度 調整部分 320— 1〜320— 4ごとにそれぞれ折り曲げられて、ウェハ 12とウェハ 12と の間のウェハ中心部の温度を検出するように設けられてもよい。
[0014] ヒータ 32の温度調整部分 320— 1〜320— 4それぞれは、例えばウェハ 12を光加 熱するための光をァウタチューブ 360の周囲から放射し、ァウタチューブ 360を透過 してウェハ 12に吸収される光によってウェハ 12を昇温 (加熱)する。
[0015] 冷却ガス流路 352は、冷却ガスなどの流体を通過させるように断熱材 300— 1とァ ウタチューブ 360との間に形成されており、断熱材 300— 1の下端部に設けられた吸 気孔 353から供給される冷却ガスをァウタチューブ 360の上方に向けて通過させる。 冷却ガスは、例えば空気または窒素 (N2)などである。
[0016] また、冷却ガス流路 352は、温度調整部分 320— 1〜320— 4それぞれの間カもァ ウタチューブ 360に向けて冷却ガスが吹き出すようにされて!、る。
冷却ガスはァウタチューブ 360を冷却し、冷却されたァウタチューブ 360はボート 1 4にセットされたウェハ 12を周方向(外周側)から冷却する。
つまり、冷却ガス流路 352を通過する冷却ガスにより、ァウタチューブ 360、および ボート 14にセットされたウェハ 12が周方向(外周側)から冷却されるようになっている
[0017] 冷却ガス流路 352の上方には排気路 354が設けられている。排気路 354は、吸気 孔 353から供給されて冷却ガス流路 352を上方に向けて通過した冷却ガスを断熱材 300— 2の外側へ導く。 [0018] また、排気路 354には、冷却ガスを排気する排気部 355が設けられている。
排気部 355は、ブロワなどの冷却ガス排気装置 356およびラジェタ 357を有し、排 気路 354により断熱材 300— 2の外側に導かれた冷却ガスを排気孔 358から排気す る。
ラジェタ 357は、処理室 3内でァウタチューブ 360およびウェハ 12などを冷却する ことにより昇温した冷却ガスを冷却水などにより冷却する。
[0019] なお、吸気孔 353およびラジェタ 357の近傍には、それぞれシャツタ 359が設けら れており、図示しないシャツタ制御部によって冷却ガス流路 352および排気路 354の 開閉が制御されている。
[0020] また、図 3に示すように、処理室 3には、温度制御装置 370、温度測定装置 372、処 理ガス流量制御装置(マスフローコントローラ; MFC) 374、ボートエレベータ制御装 置(エレベータコントローラ; EC) 376、圧力センサ(PS) 378、圧力調整装置(APC; Auto Pressure Control (valve)) 380、処理ガス排気装置(EP) 382およびインバータ 384が付加される。
[0021] 温度制御装置 370は、制御部 2からの制御に従って温度調整部分 320— 1〜320
4それぞれを駆動する。
温度測定装置 372は、温度センサ 322— 1〜322— 4, 324— 1〜324— 4それぞ れの温度を検出し、温度測定値として制御部 2に対して出力する。
[0022] ボートエレベータ制御装置(EC) 376は、制御部 2からの制御に従ってボートエレ ベータ 108を駆動する。
圧力調整装置(以下、 APC) 380としては、例えば、 APC、 N2バラスト制御器など が用いられる。
また、 EP382としては、例えば、真空ポンプなどが用いられる。
インバータ 384は、冷却ガス排気装置 356のブロアとしての回転数を制御する。
[0023] [制御部 2]
図 4は、図 1に示した制御部 2の構成を示す図である。
図 4に示すように、制御部 2は、 CPU200、メモリ 204、表示装置、タツチパネルおよ びキーボード 'マウスなどを含む表示 ·入力部 22、および、 HD' CDなどの記録部 24 から構成される。
つまり、制御部 2は、半導体処理装置 1を制御可能な一般的なコンピュータとしての 構成部分を含む。
制御部 2は、これらの構成部分により、減圧 CVD処理用の制御プログラム (例えば 、図 3に示した制御プログラム 40)を実行し、半導体処理装置 1の各構成部分を制御 して、半導体ウェハ 12に対して、以下に述べる減圧 CVD処理を実行させる。
[0024] [第 1の制御プログラム 40]
再び図 3を参照する。
図 3に示すように、制御プログラム 40は、プロセス制御部 400、温度制御部 410、処 理ガス流量制御部 412、駆動制御部 414、圧力制御部 416、処理ガス排気装置制 御部 418、温度測定部 420、冷却ガス流量制御部 422および温度設定値記憶部 42 4から構成される。
制御プログラム 40は、例えば、記録媒体 240 (図 4)を介して制御部 2に供給され、 メモリ 204にロードされて実行される。
[0025] 温度設定値記憶部 424は、ウェハ 12に対する処理レシピの温度設定値を記憶し、 プロセス制御部 400に対して出力する。
プロセス制御部 400は、制御部 2の表示.入力部 22 (図 4)に対するユーザの操作、 あるいは、記録部 24に記録された処理の手順 (処理レシピ)などに従って、制御プロ グラム 40の各構成部分を制御し、後述するように、ウェハ 12に対する減圧 CVD処理 を実行する。
[0026] 温度測定部 420は、温度測定装置 372を介して温度センサ 322, 324の温度測定 値を受け入れ、プロセス制御部 400に対して出力する。
温度制御部 410は、プロセス制御部 400から温度設定値および温度センサ 322, 3 24の温度測定値を受け、温度調整部分 320に対して供給する電力をフィードバック 制御して、ァウタチューブ 360内部を加熱し、ウェハ 12を所望の温度とさせる。
[0027] 処理ガス流量制御部 412は、 MFC374を制御し、ァウタチューブ 360内部に供給 する処理ガスまたは不活性ガスの流量を調整する。
駆動制御部 414は、ボートエレベータ 108を制御して、ボート 14およびこれに保持 されたウェハ 12の昇降を行わせる。
また、駆動制御部 414は、ボートエレベータ 108を制御して、回転軸 348を介して ボート 14およびこれに保持されたウェハ 12を回転させる。
[0028] 圧力制御部 416は、 PS378によるァウタチューブ 360内の処理ガスの圧力測定値 を受け、 APC380に対する制御を行い、ァウタチューブ 360内部の処理ガスを所望 の圧力とする。
処理ガス排気装置制御部 418は、 EP382を制御し、ァウタチューブ 360内部の処 理ガスまたは不活性ガスを排気させる。
[0029] 冷却ガス流量制御部 422は、インバータ 384を介して冷却ガス排気装置 356が排 気する冷却ガスの流量を制御する。
[0030] なお、以下の説明においては、温度調整部分 320— 1〜320— 4など、複数ある構 成部分のいずれかを特定せずに示す場合には、単に、温度調整部分 320と略記す ることがある。
また、以下の説明において、温度調整部分 320— 1〜320— 4など、構成部分の個 数を示す場合があるが、構成部分の個数は、説明の具体化'明確ィ匕のために例示さ れたものであって、本発明の技術的範囲を限定することを意図して挙げられたもので はない。
[0031] ァウタチューブ 360の下端とマ-ホールド 350の上部開口部との間、および炉口蓋
344とマ-ホールド 350の下部開口部との間には、 Oリング 351が配設され、ァウタチ ユーブ 360とマ-ホールド 350との間は気密にシールされる。
ァウタチューブ 360の下方に位置するガス導入ノズル 340を介して、不活性ガスあ るいは処理ガスがァウタチューブ 360内に導入される。
[0032] マユホーノレド 350の上部には、 PS378、 APC380および EP382に連結された 気管 346 (図 2)が取り付けられて 、る。
ァウタチューブ 360とインナチューブ 362との間を流れる処理ガスは、排気管 346、
APC380および EP382を介して外部に排出される。
[0033] APC380は、 PS378によるァウタチューブ 360内の圧力測定値に基づく制御に従 つて、ァウタチューブ 360内部が、予め設定された所望の圧力になるように、圧力制 御部 416の指示に従って調整する。
つまり、 APC380は、ァウタチューブ 360内を常圧とするよう不活性ガスが導入され るべき時には、ァウタチューブ 360内が常圧になるように、圧力制御部 416の指示に 従って調整し、あるいは、ァウタチューブ 360内を低圧とし、ウェハ 12を処理するよう 処理ガスが導入されるべき時には、ァウタチューブ 360内が所望の低い圧力になるよ うに、圧力制御部 416の指示に従って調整する。
[0034] 多数の半導体基板(ウェハ) 12を保持するボート 14は、ボート 14の下部回転軸 34 8が連結されている。
さらに、回転軸 348は、ボートエレベータ 108 (図 1)に連結され、ボートエレベータ 1 08は、 EC376を介した制御に従って、所定のスピードでボート 14を昇降させる。 また、ボートエレベータ 108は、回転軸 348を介して、ウェハ 12およびボート 14を 所定のスピードで回転させる。
[0035] 被処理物のウェハ 12は、ウェハカセット 490 (図 1)に装填された状態で搬送され、 カセット授受ユニット 100に授載される。
カセット授受ユニット 100は、このウエノヽ 12を、カセットストッカ 102またはバッファ力 セットストッカ 104に移載する。
ウェハ移動機 106は、カセットストッカ 102からウェハ 12を取り出し、ボート 14に水 平な状態で多段に装填する。
[0036] ボートエレベータ 108は、ウェハ 12が装填されたボート 14を上昇させて処理室 3内 に導く。
また、ボートエレベータ 108は、処理済みのウェハ 12が装填されたボート 14を下降 させて処理室 3内から取り出す。
[0037] [ウェハ 12の温度と膜厚]
図 5は、半導体処理装置 1 (図 1)における処理の対象となるウェハ 12の形状を例示 する図である。
ウェハ 12の面(以下、ウェハ 12の面を、単にウェハ 12とも記す)は、図 5に示すよう な形状をしており、ボート 14において、水平に保持される。
また、ウェハ 12は、温度調整部分 320— 1〜320— 4が放射してァウタチューブ 36 0を透過した光により、ァウタチューブ 360の周囲力も加熱される。
[0038] したがって、ウェハ 12は、端部が多くの光を吸収し、冷却ガス流路 352に冷却ガス が流されない場合には、ウェハ 12の面の端部の温度が中心部の温度に比べて高く なる。
つまり、温度調整部分 320— 1〜320— 4によって、ウェハ 12の外周に近ければ近 いほど温度が高ぐ中心部に近ければ近いほど温度が低いという、ウェハ 12の端部 力 中心部にかけたすり鉢状の温度偏差がウェハ 12に生じることになる。
[0039] また、反応ガスなどの処理ガスも、ウェハ 12の外周側から供給されるので、ウェハ 1 2に形成される膜の種類によっては、ウェハ 12の端部と中心部とで反応速度が異な ることがある。
例えば、反応ガスなどの処理ガスは、ウェハ 12の端部で消費され、その後ウェハ 1 2の中心部に至るため、ウェハ 12の中心部では、ウェハ 12の端部にくらべて処理ガ スの濃度が低くなつてしまう。
したがって、仮に、ウェハ 12の端部と中心部との間に温度偏差が生じていないとし ても、反応ガスのウェハ 12の外周側力もの供給に起因して、ウェハ 12に形成される 膜の厚さが、端部と中心部とで不均一になることがある。
[0040] 一方、冷却ガスが冷却ガス流路 352を通過すると、上述したように、ァウタチューブ 360、およびボート 14にセットされたウェハ 12が周方向(外周側)から冷却される。 つまり、処理室 3は、温度調整部分 320によってウェハ 12の中心部の温度を所定 の設定温度 (処理温度)まで加熱し、冷却ガス流路 352に冷却ガスを通過させてゥェ ノ、 12の外周側を冷却することにより、ウェハ 12の中心部および端部それぞれに対し て異なる温度を設定することができる。
[0041] このように、ウェハ 12に、均一な膜を形成するためには、ウェハ 12上に膜を形成す る反応速度などに応じて、膜厚を調整するための加熱制御 (加熱と冷却とを含む制 御など)を行う必要がある。
[0042] 図 6は、ボート 14およびウェハ 12を収容した処理室 3に対し、 Lタイプ温度センサ( Lタイプ TC) 390を設けた状態を例示する図である。
制御部 2は、内部温度センサ 324の温度測定値を用いて、例えばウェハ 12の端部 温度および中心部温度 (基板面内位置に対する温度)を算出し、温度調整部分 320 、および冷却ガス流路 352を通過する冷却ガスの流量を制御するようにされてもょ ヽ
[0043] 内部温度センサ 324は、ボート 14とインナチューブ 362との間に設けられている。
なお、内部温度センサ 324は、インナチューブ 362とァウタチューブ 360との間に 設けられてもよい。
内部温度センサ 324の温度測定値を用いてウェハ 12の端部および中心部の温度 を算出する場合、例えば実際のウェハ 12の端部温度、中心部温度、および冷却ガス が冷却ガス流路 352を通過することによる内部温度センサ 324の温度測定値の変化 を用いて、冷却ガスが冷却ガス流路 352を通過することによる内部温度センサ 324の 温度測定値の変化を補正する必要がある。
[0044] Lタイプ温度センサ 390は、内部温度センサ 324とほぼ同じ高さのウェハ 12の中心 部近傍の温度を測定するために例えば複数箇所力 字状に形成された熱電対であ り、温度測定値を制御部 2に対して出力する。
また、 Lタイプ温度センサ 390は、半導体処理装置 1がウェハ 12の処理を開始する 以前にウェハ 12の中心部近傍の温度を複数箇所で測定し、半導体処理装置 1がゥ ェハ 12の処理を行う場合には取り外されるようになって 、る。
なお、 Lタイプ温度センサ 390は、炉口蓋 344に継ぎ手を介在して気密にシールさ れるようになっている。
この Lタイプ温度センサ 390の検出する温度をウェハ 12の中心部の温度とみなし、 さらに内部温度センサ 324の検出する温度がウェハ 12の端部の温度とみなして、温 度制御するようにする。
また、 Lタイプ温度センサ 390の検出する温度と内部温度センサ 324の検出する温 度との差がウェハ面内温度偏差であるとみなして、温度制御するようにする。
[0045] 図 7は、冷却ガスが冷却ガス流路 352を通過することによって変化する内部温度セ ンサ 324の温度測定値を基板面内位置ごとに補正する方法を示すフローチャート(S 10)である。
図 7に示すように、ステップ 100 (S 100)において、制御部 2は、冷却ガスによる冷 却をせずに、 Lタイプ温度センサ 390の検出する温度が、所定の設定温度 (処理温 度)に一致するように温度制御する。
そして Lタイプ温度センサ 390の検出する温度が所定の設定温度 (処理温度)と一 致した際の、所定の設定温度 (処理温度)に対する内部温度センサ (炉内 TC) 324 の検出結果を取得する。
[0046] ステップ 102 (S102)において、制御部 2は、冷却ガス流路 352を通過する冷却ガ ス流量 (すなわち、冷却ガス排気装置 356が排気する冷却ガスの流量)を一定流量と しつつ、 Lタイプ温度センサ 390の検出する温度力 所定の設定温度 (処理温度)に 一致するように温度制御する。
そして Lタイプ温度センサ 390の検出する温度が所定の設定温度 (処理温度)と一 致した際の、所定の設定温度 (処理温度)に対する内部温度センサ (炉内 TC) 324 の検出結果を取得する。
さらに、冷却ガス流路 352を通過する冷却ガス流量を変化させ、変化させた流量に て一定流量としつつ、 Lタイプ温度センサ 390の検出する温度が、所定の設定温度( 処理温度)に一致するように温度制御する。
そして Lタイプ温度センサ 390の検出する温度が所定の設定温度 (処理温度)と一 致した際の、所定の設定温度 (処理温度)に対する内部温度センサ (炉内 TC) 324 の検出結果を取得する。
制御部 2は、ステップ 202 (S202)を冷却ガス流路 352を通過する冷却ガス流量と、 所定の設定温度 (Lタイプ温度センサ 390の検出する温度)と内部温度センサ 324の 検出する温度との関係が明らかになるよう所定回数くり返す。
[0047] ステップ 104 (S104)において、制御部 2は、所定の設定温度 (Lタイプ温度センサ 390の検出する温度)にした時の冷却ガス流量に対する内部温度センサ 324の温度 補正値 (冷却ガスによる温度測定値の変化に対応する値)をそれぞれ算出する。
[0048] ステップ 106 (S106)において、制御部 2は、 S204の処理で算出した温度補正値 をそれぞれ冷却ガス流量と対応させて所定の設定温度 (処理温度)時の相関関係と して、例えば温度設定値記憶部 424などに追加情報として記憶する。
[0049] そして、制御部 2は、ウェハ 12を処理する場合に、 Lタイプ温度センサ 390を取り外 し、 S106の処理で記憶した温度補正値を用いることにより、内部温度センサ 324の 温度測定値を補正し、その温度補正値に対応する冷却ガス流路 352を通過する冷 却ガス流量 (すなわち、冷却ガス排気装置 356が排気する冷却ガスの流量)に設定し 、補正後の内部温度センサ 324の温度測定値が所望の設定温度 (処理温度)と一致 するように、温度調整部分 320の温度を制御する。
なお、 Lタイプ温度センサ 390は、ウェハ 12の中心部近傍の温度を複数箇所で測 定可能なように、複数箇所が L字形状に形成された熱電対として説明したが、例えば 、ウェハ 12の中心部近傍の温度を 1箇所で測定可能なように 1箇所力 字形状に形 成された熱電対とし、内部温度センサ 324の温度補正値と冷却ガス流量との関係を 求めてもよい。
また、 Lタイプ温度センサ 390の設置個数は、内部温度センサ 324と異なる個数とし てもよい。
さらに、 Lタイプ温度センサ 390の替わりにウェハ中心部に熱電対を埋め込んだタ イブの温度センサを用いてもよ!、。
[0050] [半導体処理装置 1による減圧 CVD処理の概要]
半導体処理装置 1は、制御部 2 (図 1,図 4)上で実行される制御プログラム 40 (図 3 )の制御により、処理室 3内に所定の間隔で並べられた半導体ウェハ 12に対して、 C VDにより、 Si3N4膜、 Si02膜およびポリシリコン(Poly— Si)膜などの形成を行う。
[0051] 処理室 3を用いた膜形成をさらに説明する。
まず、ボートエレベータ 108は、ボート 14を下降させる。
下降したボート 14には、処理の対象となるウェハ 12が、所望の枚数セットされ、ボ ート 14は、セットされたウェハ 12を保持する。
[0052] 次に、ヒータ 32の 4つの温度調節部分 320— 1〜320— 4それぞれは、設定に従つ て、ァウタチューブ 360の内部を加熱し、ウェハ 12の中心部が予め設定された一定 の温度になるように加熱する。
一方、冷却ガス流路 352には、設定に従って冷却ガスが流され、ァウタチューブ 36 0、およびボート 14にセットされたウェハ 12が周方向(外周側)から冷却される。
[0053] 次に、ガス導入ノズル 340 (図 2)を介して、 MFC374は、導入するガスの流量を調 節して、ァウタチューブ 360内に不活性ガスを導入し、充填する。
ボートエレベータ 108は、ボート 14を上昇させ、所望の処理温度の不活性ガスが充 填された状態のァウタチューブ 360内に移動させる。
[0054] 次に、ァウタチューブ 360内の不活性ガスは EP382により排気され、ァウタチュー ブ 360内部は真空状態とされ、ボート 14およびこれに保持されているウェハ 12は、 回転軸 348を介して回転させられる。
この状態で、ガス導入ノズル 340を介して処理ガスがァウタチューブ 360内に導入 されると、導入された処理ガスは、ァウタチューブ 360内を上昇し、ウェハ 12に対して 均等に供給される。
[0055] EP382は、減圧 CVD処理中のァウタチューブ 360内から、排気管 346を介して処 理ガスを排気し、 APC380は、ァウタチューブ 360内の処理ガスを、所望の圧力とす る。
以上のように、ウェハ 12に対して、減圧 CVD処理が所定時間、実行される。
[0056] 減圧 CVD処理が終了すると、次のウェハ 12に対する処理に移るベぐァウタチュ ーブ 360の内部の処理ガスが不活性ガスにより置換され、さらに常圧とされる。 さらに、冷却ガス流路 352に冷却ガスが流されて、ァウタチューブ 360内が所定の 温度まで冷却される。
この状態で、ボート 14およびこれに保持された処理済みのウェハ 12は、ボートエレ ベータ 108により下降させられ、ァウタチューブ 360から取り出される。
ボートエレベータ 108は、次に減圧 CVD処理の対象となるウェハ 12が保持された ボート 14を上昇させ、ァウタチューブ 360内にセットする。
このようにセットされたウェハ 12に対して、次の減圧 CVD処理が実行される。
[0057] なお、冷却ガスは、ウェハ 12の処理前力も処理終了までの間に流されれば、膜厚 を制御することができる力 ウェハ 12をセットしたボート 14をァウタチューブ 360内に 移動させる場合、およびァウタチューブ 360内からボート 14を取り出す場合にも、流 されることが好ましい。
これにより、処理室 3の熱容量により、処理室 3内に熱がこもって温度が変動してし まうことを防止することができるとともに、スループットを向上させることができる。 [0058] [膜厚の均一性の制御例]
図 8は、半導体処理装置 1がウェハ 12などの基板に膜を形成する場合に設定する 温度と膜厚との関係の実施例を示すグラフであって、 (A)は基板面内位置に対する 設定温度の実施例を示すグラフであり、 (B)は (A)に示した設定温度に応じて形成さ れる膜厚を示すグラフである。
図 9は、半導体処理装置 1がウェハ 12などの基板に膜を形成する場合に設定する 温度と膜厚との関係の比較例を示すグラフであって、 (A)は基板面内位置に対する 設定温度の比較例を示すグラフであり、 (B)は (A)に示した設定温度に応じて形成さ れる膜厚を示すグラフである。
[0059] 図 8 (A)に示すように、基板の中心部が所定の設定温度 (処理温度)になり、基板 の端部が処理温度よりも低くなるように、制御部 2が温度調整部分 320の温度、およ び冷却ガス流路 352を通過する冷却ガス流量を制御すると、図 8 (B)に示すように、 基板に形成される膜厚が基板の中心部および端部においてほぼ均一になる。
例えば、基板温度に比べてァウタチューブ 360の温度が低くなるように冷却ガスが 流されると、基板の中心部の温度よりも基板の端部の温度が下がる。
[0060] 一方、図 9 (A)に示すように、基板の中心部および端部が所定の設定温度 (処理温 度)になるように、制御部 2が温度調整部分 320の温度などを制御する(例えば冷却 ガス流路 352に冷却ガスを流さない)と、図 9 (B)に示すように、基板の端部に形成さ れる膜の厚さが基板の中心部に形成される膜の厚さよりも厚くなる。
例えば、基板温度とァウタチューブ 360の温度が同等になるように冷却ガスが流さ れると、基板の中心部の温度と基板の端部の温度とが同等になる。
[0061] 具体的には、図 6に示した予め求めておいた所定の設定温度 (処理温度)にした時 の内部温度センサ 324の温度補正値をそれぞれ冷却ガス流量と対応させて記憶さ せた例えば温度設定値記憶部 424にあるデータの中から、 1つの条件を選択し、該 選択した条件にて制御部 2が温度制御部 410を介しヒータ 32の温度調整部分 320を 制御しつつ、冷却ガス流量制御部 422およびインバータ 384を介し、冷却ガス排気 装置 356を制御する。
[0062] すなわち、内部温度センサ 324の測定温度に温度補正値を設定し、その温度補正 値に対応する冷却ガス流量を設定し、補正後の内部温度センサ 324の測定温度に 基づきヒータ 32の温度調整部分 320を加熱制御しつつ、設定した冷却ガス流量に対 応して冷却ガス排気装置 356が排気する冷却ガスの流量を制御する。
この制御した状態で、ァウタチューブ 360内にて、ボート 14およびこれに保持され ているウェハ 12を回転しつつ、ガス導入ノズル 340を介して処理ガスをァウタチュー ブ 360内に導入しウェハ 12に対して所定の膜厚の膜を形成処理させる。
[0063] そして、処理後のウェハ 12をァウタチューブ 360から取り出す。ウェハ 12を取り出 した後、処理後のウェハ 12の膜厚を測定し、基板面内(ウェハ 12の面内)の膜厚が 均一になっているか確認する。
基板面内のうち基板の端部に形成される膜の厚さが基板中心部に形成される膜の 厚さより厚ければ、前述の図 6に示した予め求めておいて所定の設定温度 (処理温 度)にした時の内部温度センサ 324の温度補正値をそれぞれ冷却ガス流量と対応さ せて記憶させた例えば温度設定値記憶部 424にあるデータの中から、先に選択した 1つの条件よりさらに温度補正値の大きい値と対応する冷却ガス流量とを選択し、該 選択した条件にて再び、上記のウェハ 12に対して所定の膜厚の膜を形成処理させ るようにする。
[0064] 一方、基板面内のうち基板の端部に形成される膜の厚さが基板中心部に形成され る膜の厚さより薄ければ、前述の図 6に示した予め求めておいた所定の設定温度 (処 理温度)にした時の内部温度センサ 324の温度補正値をそれぞれ冷却ガス流量と対 応させて記憶させた例えば温度設定値記憶部 424にあるデータの中から、先に選択 した 1つの条件よりさらに温度補正値の小さい値と対応する冷却ガス流量とを選択し 、該選択した条件にて再び、上記のウェハ 12に対して所定の膜厚の膜を形成処理さ せるよう〖こする。
基板面内の膜厚が所望の膜厚にて均一になるまでウェハ 12へ実際に成膜を繰り 返すことにより、微調整を行う。
[0065] また、この際、温度調整部分 320— 1〜320— 4に対応するそれぞれの内部温度セ ンサ 324— 1〜324— 4に温度補正値をカ卩えた値と、その温度補正値に対応する冷 却ガス流量を用いて加熱制御し、基板面内膜厚の均一性のみならず、基板面間膜 厚 (ボート 14に保持される複数枚のウェハ間の膜厚)の均一性の微調整をも行うよう にする。
[0066] なお、図 6に示した予め求めておいた所定の設定温度 (処理温度)にした時の内部 温度センサ 324の温度補正値をそれぞれ冷却ガス流量と対応させて記憶させた例え ば温度設定値記憶部 424にあるデータの中には、所望の条件がない場合には、該 データを参考に内部温度センサ 324の温度補正値と冷却ガス流量とを直接変更した 条件で所定の膜厚が均一になるまで微調整を行うようにしてもよい。
この時、 Lタイプ温度センサ 390を、炉口蓋 344に継ぎ手を介在して気密にシール した状態で、ボート 14およびこれに保持されるウェハ 12を回転させずに、 Lタイプ温 度センサ 390の検出する温度が所定の設定温度 (処理温度)となるように、内部温度 センサ 324の温度補正値と冷却ガス流量とを直接変更した条件で所定の膜厚が均 一になるまで微調整を行うようにしてもょ ヽ。
[0067] 微調整が完了したら、膜厚均一性が良好となる求められた内部温度センサ 324の 温度補正値と冷却ガス流量にて設定し、補正後の内部温度センサ 324の測定温度 に基づきヒータ 32の温度調整部分 320を加熱制御しつつ、設定した冷却ガス流量に 対応した冷却ガス排気装置 356が冷却ガスの流量を制御する。
この制御した状態で、ァウタチューブ 360内にてボート 14およびこれに保持される ウェハ 12を回転しつつ、ガス導入ノズル 340を介して処理ガスをァウタチューブ 360 内に導入しウェハ 12に対して所定の膜厚の膜を形成処理させる。
[0068] このように、ヒータ 32は、設定温度どおりにウェハ 12の中心部温度を一定温度に維 持するように制御しつつ、冷却ガスによりウェハ 12の端部 (周縁)温度と中心部温度 とに温度差を設けるように温度制御することにより、膜質を変えることなぐウェハ 12 の面内膜厚均一性、さらには、面間の膜厚均一性を向上することができる。
例えば、 Si3N4膜等の CVD膜を成膜する場合、処理温度を変動させながら成膜 処理すると、膜の屈折率が処理温度に応じて変動してしまったり、処理温度を高温か ら低温へ降下させつつ成膜処理すると、エッチングレートが低 、膜から高 、膜へと処 理温度に応じて変化してしまう。
また、 Si3N4膜の生成においては、処理温度を高温から低温へ降下させつつ成膜 処理すると、ストレス値が高 、膜から低 、膜へと処理温度に応じて変化してしまう。
[0069] そこで、半導体処理装置 1は、制御部 2が温度調整部分 320の温度、および冷却ガ ス流路 352を通過する冷却ガス流量を制御することにより、ァウタチューブ 360の温 度を制御し、ウェハ 12などの基板の面内温度を制御して、膜質が変化することを防 止しつつ、基板に形成する膜の厚さの均一性を制御することができると 、う優れた効 果を奏する。
[0070] [処理室 3の第 1の変形例]
次に、処理室 3の変形例について説明する。
図 10は、処理室 3の第 1の変形例を示す図である。
なお、処理室 3の第 1の変形例において、図 2に示した処理室 3を構成する部分と 実質的に同一のものには、同一の符号が付してある。
[0071] 図 10に示すように、処理室 3の第 1の変形例は、排気路 354に排気量 (総流量)が 互いに異なるブロアなどの冷却ガス排気装置 392,393がシャツタ 394, 395をそれぞ れ介して設けられている。
冷却ガス排気装置 392,393は、それぞれインバータ 396— 1, 396— 2を介し、制 御部 2によって回転数が個別に制御されている。
また、処理室 3の第 1の変形例は、制御部 2によってインバータ 396— 1, 396- 2 およびシャツタ 394, 395が個別に制御されることにより、冷却ガス流路 352を通過す る冷却ガスの流量が細力べ制御されるようになっている。
[0072] さらに、処理室 3の第 1の変形例は、排気路 354から冷却ガス排気装置 392,393ま でのコンダクタンスを小さくしたり、ダンバ 397などを設けて冷却ガスの流量を制御す るようにされてちよい。
したがって、処理室 3の第 1の変形例は、冷却ガス流路 352を通過する冷却ガスの 流量を細力べ制御することができるので、ァウタチューブ 360およびウェハ 12の外周 側の冷却を細力べ制御することができ、ウェハ 12に形成する膜の厚さの均一性を細 力べ制御することができる。
[0073] [処理室 3の第 2の変形例]
図 11は、処理室 3の第 2の変形例を示す図である。 なお、処理室 3の第 2の変形例において、図 2に示した処理室 3を構成する部分と 実質的に同一のものには、同一の符号が付してある。
[0074] 図 11に示すように、処理室 3の第 2の変形例は、ァウタチューブ 360と温度調整部 分 320との間に配管 398を有する。
配管 398には、例えば図示しないブロア (排気装置)などにより冷却ガスが流される ようになっている。
つまり、処理室 3の第 2の変形例は、配管 398に冷却ガスを流すことにより、ァウタチ ユーブ 360およびウェハ 12の外周側を冷却し、ウェハ 12に形成する膜の厚さの均一 性を制御する。
なお、処理室 3の第 2の変形例は、配管 398および冷却ガス流路 352を通過させる 冷却ガス流量を個別に制御するようにされてもよいし、配管 398を通過する冷却ガス 流量によってウェハ 12に形成する膜の厚さの均一性を制御するようにされてもよい。
[0075] [処理室 3の第 3の変形例]
図 12は、処理室 3の第 3の変形例を示す図である。
なお、処理室 3の第 3の変形例において、図 2に示した処理室 3を構成する部分と 実質的に同一のものには、同一の符号が付してある。
[0076] 図 12に示すように、処理室 3の第 3の変形例は、ァウタチューブ 360が中空構造に なっており、ァウタチューブ 360に冷却ガス流路 399が形成されている。
冷却ガス流路 399には、例えば図示しないブロア (排気装置)などにより冷却ガスが 流されるようになって!/、る。
つまり、処理室 3の第 3の変形例は、冷却ガス流路 399に冷却ガスを流すことにより 、ウェハ 12の外周側を冷却し、ウェハ 12に形成する膜の厚さの均一性を制御する。
[0077] なお、本発明は、前記実施形態に限定されるものではなぐその要旨を逸脱しない 範囲で種々の変更が可能であることはいうまでもない。例えば、半導体処理装置 1は 、吸気装置によって冷却ガス流量を制御するようにしてもよいし、マスフロー制御によ るものであってもよい。
また、加熱装置は、光加熱するタイプであればよぐ例えば抵抗加熱方式であって もよいし、ランプ加熱方式であってもよい。 また、半導体処理装置 1は、冷却ガスに代えて、例えば水などの液体を流すことに より、ァウタチューブ 360およびウェハ 12の外周側を冷却するようにされてもょ ヽ。 以上のように、本発明は、特許請求の範囲に記載した事項を有するが、さらに次の ような実施形態が含まれる。
(1)前記冷却装置は、前記処理室の外周側に設けられ、冷却ガスを流す冷却ガス 流路と、前記冷却ガス流路を流れる冷却ガスを排気可能に導く排気路と、前記排気 路に設けられ、冷却ガスを排気する排気部とを有する。
(2)前記排気部は、排気量が異なる複数の排気装置を有し、前記加熱制御部は、 前記複数の排気装置を個別に制御する。
(3)本発明に係る基板処理方法は、処理室内に収容された基板を加熱装置により 基板の外周側力ゝら光加熱する工程と、基板の外周近傍に流体を流す冷却装置により 基板の外周側を冷却する工程と、前記処理室内の温度を検出する工程と、検出した 温度に基づ!/ヽて、前記加熱装置および前記冷却装置を制御する工程とを有する。
(4)本発明に係る基板処理方法は、処理室内に収容された基板を加熱装置により 基板の外周側から光加熱する工程と、基板の外周近傍に冷却ガスを流して基板の外 周側を冷却する工程と、排気量が異なる複数の排気装置により冷却ガスを排気する 工程と、前記処理室内の温度を検出する工程と、検出した温度に基づいて、前記カロ 熱装置および前記複数の排気装置を個別に制御する工程とを有する。
(5)前記加熱装置は、基板の中心部が一定温度である設定温度になるように基板 を光加熱する請求項 1〜3のいずれかに記載の基板処理装置。
(6)基板の中心部と外周側の温度をそれぞれ取得する温度取得部と、前記加熱装 置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定 温度および流体の流量と、基板の中心部と外周側との温度偏差との相関関係を取得 する相関関係取得部と、前記相関関係取得部が取得した相関関係に基づいて、前 記加熱部の設定温度を補正する設定温度補正部とを有する(5)に記載の基板処理 装置。
(7)前記相関関係取得部は、前記加熱装置が基板を加熱する間に前記冷却装置 が流す流体の量を変化させた場合に、設定温度および流体の流量と、前記温度検 出部が検出した温度との相関関係をさらに取得し、前記設定温度補正部は、前記相 関関係取得部が取得した相関関係に基づいて、前記加熱装置の設定温度を補正す る(6)に記載の基板処理装置。
(8)前記加熱装置は、基板の中心部が一定温度である設定温度になるように基板 を光加熱する請求項 4または 5に記載の基板処理方法。
(9)基板を処理室内に収容する工程と、処理室内に収容された基板を加熱装置に より基板の外周側から光加熱する工程と、基板の外周近傍に流体を流す冷却装置に より基板の外周側を冷却する工程と、前記処理室内の温度を検出する工程と、検出 した温度に基づいて、前記加熱装置および前記冷却装置を制御する工程と、基板を 処理室外に搬出する工程とを有する基板処理方法。
産業上の利用可能性
以上述べたように、本発明は、基板に形成する膜の厚さの均一性を制御する基板 処理装置に利用することができる。

Claims

請求の範囲
[1] 基板を処理する処理室と、
前記処理室内に収容された基板を基板の外周側から光加熱する加熱装置と、 前記加熱装置が光加熱する基板の外周近傍に流体を流すことにより、基板の外周 側を冷却する冷却装置と、
前記処理室内の温度を検出する温度検出部と、
前記温度検出部が検出する温度に基づ!/、て、前記基板の中心部の温度を所定温 度に維持しつつ該中心部と前記基板の端部とに温度差を設けるように前記加熱装置 および前記冷却装置を制御する加熱制御部と
を有する基板処理装置。
[2] 前記冷却装置は、
前記処理室の外周側に設けられ、冷却ガスを流す冷却ガス流路と、
前記冷却ガス流路を流れる冷却ガスを排気可能に導く排気路と、
前記排気路に設けられ、冷却ガスを排気する排気部と
を有する請求項 1に記載の基板処理装置。
[3] 前記排気部は、
排気量が異なる複数の排気装置を有し、
前記加熱制御部は、
前記複数の排気装置を個別に制御する
請求項 2に記載の基板処理装置。
[4] 処理室内に収容された基板を加熱装置により基板の外周側から光加熱する工程と 基板の外周近傍に流体を流す冷却装置により基板の外周側を冷却する工程と、 前記処理室内の温度を検出する工程と、
検出した温度に基づ 、て、前記基板の中心部の温度を所定温度に維持しつつ該 中心部と前記基板の端部とに温度差を設けるように前記加熱装置および前記冷却 装置を制御する工程と
を有する基板処理方法。
[5] 処理室内に収容された基板を加熱装置により基板の外周側から光加熱する工程と 基板の外周近傍に冷却ガスを流して基板の外周側を冷却する工程と、 排気量が異なる複数の排気装置により冷却ガスを排気する工程と、
前記処理室内の温度を検出する工程と、
検出した温度に基づ 、て、前記基板の中心部の温度を所定温度に維持しつつ該 中心部と前記基板の端部とに温度差を設けるように前記加熱装置および前記複数の 排気装置を個別に制御する工程と
を有する基板処理方法。
[6] 前記所定温度は一定の温度である請求項 1に記載の基板処理装置。
[7] 前記所定温度は一定の温度である請求項 4に記載の基板処理方法。
[8] 前記処理室内に処理ガスを導入する処理ガス導入部と該処理ガス導入部から導入 される処理ガスの流量を制御する処理ガス流量制御部とをさらに備え、
前記処理ガス流量制御部は、前記加熱制御部が前記基板の中心部の温度を所定 温度に維持しつつ該中心部と前記基板の端部とに温度差を設けるように制御してい る最中に前記処理ガス導入部カゝら前記処理ガスを前記処理室内に導入するよう制御 する請求項 1に記載の基板処理装置。
[9] 前記基板の中心部の温度を所定温度に維持しつつ該中心部と前記基板の端部と に温度差を設けた状態で、処理ガスを前記処理室内に導入し前記基板を処理する 工程とをさらに有する請求項 4に記載の基板処理方法。
[10] 基板の中心部と外周側の温度をそれぞれ取得する温度取得部と、
前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた 場合に、設定温度および流体の流量と、
基板の中心部と外周側との温度偏差との相関関係を取得する相関関係取得部と、 前記相関関係取得部が取得した相関関係に基づいて、前記加熱部の設定温度を 補正する設定温度補正部と
を有する請求項 1に記載の基板処理装置。
[11] 前記相関関係取得部は、 前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた 場合に、設定温度および流体の流量と、前記温度検出部が検出した温度との相関 関係をさらに取得し、
前記設定温度補正部は、
前記相関関係取得部が取得した相関関係に基づいて、前記加熱装置の設定温度 を補正する請求項 10に記載の基板処理装置。
[12] 前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた 場合に、設定温度および流体の流量と、基板の中心部と外周側との温度偏差との相 関関係を取得する工程と、
取得した相関関係に基づ 、て、前記加熱部の設定温度を補正する工程とをさらに 有し、
検出した温度を補正した温度に基づ!/、て、前記加熱装置および前記冷却装置を 制御する請求項 4に記載の基板処理方法。
[13] 前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた 場合に、設定温度および流体の流量と、検出した温度との相関関係を取得する工程 と、
取得した相関関係に基づ!ヽて、前記加熱装置の設定温度を補正する工程とをさら に有し、
検出した温度を補正した温度に基づ!/、て、前記加熱装置および前記冷却装置を 制御する請求項 12に記載の基板処理方法。
[14] 前記所定温度は一定の温度である請求項 10に記載の基板処理装置。
[15] 前記処理室内の基板は、
所定の間隔で複数並べられており、前記処理ガス導入部から前記処理ガス内に導 入された前記処理ガスが前記基板の外周側から供給される請求項 8に記載の基板 処理装置。
[16] 前記処理室内に導入された前記処理ガスが所定の間隔で複数並べられた前記基 板の外周側から供給される工程と
をさらに有する請求項 9に記載の基板処理方法。
PCT/JP2007/053151 2006-03-07 2007-02-21 基板処理装置および基板処理方法 WO2007105431A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/087,479 US8501599B2 (en) 2006-03-07 2007-02-21 Substrate processing apparatus and substrate processing method
JP2008505019A JP5153614B2 (ja) 2006-03-07 2007-02-21 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
US12/382,343 US8507296B2 (en) 2006-03-07 2009-03-13 Substrate processing method and film forming method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006-061318 2006-03-07
JP2006061318 2006-03-07

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/087,479 A-371-Of-International US8501599B2 (en) 2006-03-07 2007-02-21 Substrate processing apparatus and substrate processing method
US12/382,343 Division US8507296B2 (en) 2006-03-07 2009-03-13 Substrate processing method and film forming method

Publications (1)

Publication Number Publication Date
WO2007105431A1 true WO2007105431A1 (ja) 2007-09-20

Family

ID=38509267

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/053151 WO2007105431A1 (ja) 2006-03-07 2007-02-21 基板処理装置および基板処理方法

Country Status (5)

Country Link
US (2) US8501599B2 (ja)
JP (3) JP5153614B2 (ja)
KR (2) KR101003446B1 (ja)
TW (2) TWI505366B (ja)
WO (1) WO2007105431A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
JP2012080081A (ja) * 2010-09-09 2012-04-19 Tokyo Electron Ltd 縦型熱処理装置
WO2014088026A1 (ja) * 2012-12-07 2014-06-12 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法および制御プログラム

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101003446B1 (ko) * 2006-03-07 2010-12-28 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
JP5594597B2 (ja) * 2008-09-02 2014-09-24 株式会社ラスコ 冷却装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
US20110159199A1 (en) * 2009-12-28 2011-06-30 Guardian Industries Corp. Large area combustion deposition line, and associated methods
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
TW201200628A (en) * 2010-06-29 2012-01-01 Hon Hai Prec Ind Co Ltd Coating apparatus
JP5721219B2 (ja) * 2010-07-09 2015-05-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び加熱装置
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
KR101509286B1 (ko) * 2010-09-09 2015-04-06 도쿄엘렉트론가부시키가이샤 종형 열처리 장치
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
JP5296132B2 (ja) * 2011-03-24 2013-09-25 東京エレクトロン株式会社 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140146854A1 (en) * 2012-04-19 2014-05-29 Solexel, Inc. Temperature calibration and control for semiconductor reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6080451B2 (ja) * 2012-09-25 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及び熱電対支持体
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6170847B2 (ja) * 2013-03-25 2017-07-26 株式会社日立国際電気 断熱構造体、加熱装置、基板処理装置および半導体装置の製造方法
JP6106519B2 (ja) * 2013-05-09 2017-04-05 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、成膜装置及び基板処理システム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9331430B2 (en) 2013-10-18 2016-05-03 JTech Solutions, Inc. Enclosed power outlet
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6280407B2 (ja) * 2014-03-19 2018-02-14 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、基板処理装置及び基板処理システム
JP6279396B2 (ja) * 2014-05-12 2018-02-14 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
KR101945264B1 (ko) * 2016-10-27 2019-02-07 삼성전자주식회사 기판 처리 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10205283B2 (en) 2017-04-13 2019-02-12 JTech Solutions, Inc. Reduced cross-section enclosed power outlet
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043402B2 (en) * 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD843321S1 (en) 2018-03-26 2019-03-19 JTech Solutions, Inc. Extendable outlet
USD841592S1 (en) 2018-03-26 2019-02-26 JTech Solutions, Inc. Extendable outlet
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
JP7289355B2 (ja) * 2019-07-01 2023-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114402425A (zh) * 2020-08-18 2022-04-26 玛特森技术公司 具有冷却系统的快速热处理系统
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD999742S1 (en) 2021-04-01 2023-09-26 JTech Solutions, Inc. Safety interlock outlet box
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115565852A (zh) * 2022-12-06 2023-01-03 西安奕斯伟材料科技有限公司 用于对硅片进行背封的方法和设备
CN116007390A (zh) * 2022-12-15 2023-04-25 湖南优热科技有限责任公司 一种带有快速主动冷却系统的石墨化炉

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992624A (ja) * 1995-09-25 1997-04-04 Semitsukusu Eng Kk 熱処理炉
JP2001308085A (ja) * 2000-02-18 2001-11-02 Tokyo Electron Ltd 熱処理方法
JP2003031506A (ja) * 2001-07-17 2003-01-31 Toshiba Corp 半導体薄膜の成膜装置及び半導体薄膜の成膜方法
JP2003031510A (ja) * 2001-07-19 2003-01-31 Sharp Corp 熱処理装置および熱処理方法
WO2005008755A1 (ja) * 2003-07-18 2005-01-27 Hitachi Kokusai Electric Inc. 温度制御方法、基板処理装置及び半導体製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3244809B2 (ja) * 1992-09-30 2002-01-07 株式会社東芝 薄膜形成方法及び薄膜形成装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3184000B2 (ja) * 1993-05-10 2001-07-09 株式会社東芝 薄膜の形成方法およびその装置
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR20010110291A (ko) 2000-02-18 2001-12-12 히가시 데쓰로 기판처리방법
EP1320124B1 (en) * 2000-07-25 2008-03-12 Tokyo Electron Limited Method of determining heat treatment conditions
JP4806127B2 (ja) * 2001-02-01 2011-11-02 東京エレクトロン株式会社 薄膜形成方法
US7190400B2 (en) * 2001-06-04 2007-03-13 Texas Instruments Incorporated Charge multiplier with logarithmic dynamic range compression implemented in charge domain
US8796589B2 (en) * 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
JP2005032883A (ja) * 2003-07-09 2005-02-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4610908B2 (ja) * 2004-02-24 2011-01-12 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
KR101003446B1 (ko) * 2006-03-07 2010-12-28 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
US7972444B2 (en) * 2007-11-07 2011-07-05 Mattson Technology, Inc. Workpiece support with fluid zones for temperature control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992624A (ja) * 1995-09-25 1997-04-04 Semitsukusu Eng Kk 熱処理炉
JP2001308085A (ja) * 2000-02-18 2001-11-02 Tokyo Electron Ltd 熱処理方法
JP2003031506A (ja) * 2001-07-17 2003-01-31 Toshiba Corp 半導体薄膜の成膜装置及び半導体薄膜の成膜方法
JP2003031510A (ja) * 2001-07-19 2003-01-31 Sharp Corp 熱処理装置および熱処理方法
WO2005008755A1 (ja) * 2003-07-18 2005-01-27 Hitachi Kokusai Electric Inc. 温度制御方法、基板処理装置及び半導体製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
JP2012080081A (ja) * 2010-09-09 2012-04-19 Tokyo Electron Ltd 縦型熱処理装置
US9255736B2 (en) 2010-09-09 2016-02-09 Tokyo Electron Limited Vertical-type heat treatment apparatus
WO2014088026A1 (ja) * 2012-12-07 2014-06-12 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法および制御プログラム
JPWO2014088026A1 (ja) * 2012-12-07 2017-01-05 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法および制御プログラム

Also Published As

Publication number Publication date
JP5547775B2 (ja) 2014-07-16
KR101003446B1 (ko) 2010-12-28
TW201140699A (en) 2011-11-16
KR20100087401A (ko) 2010-08-04
TWI349968B (en) 2011-10-01
JP2012216851A (ja) 2012-11-08
KR101005518B1 (ko) 2011-01-04
TW200741878A (en) 2007-11-01
JPWO2007105431A1 (ja) 2009-07-30
JP2009158968A (ja) 2009-07-16
JP5153614B2 (ja) 2013-02-27
US20090197352A1 (en) 2009-08-06
JP5153699B2 (ja) 2013-02-27
US20090029486A1 (en) 2009-01-29
TWI505366B (zh) 2015-10-21
US8501599B2 (en) 2013-08-06
KR20080080142A (ko) 2008-09-02
US8507296B2 (en) 2013-08-13

Similar Documents

Publication Publication Date Title
JP5547775B2 (ja) 基板処理装置、基板処理方法、制御プログラムおよび記録媒体
JP5665239B2 (ja) 半導体製造装置及び基板処理方法
US7727780B2 (en) Substrate processing method and semiconductor manufacturing apparatus
US11495477B2 (en) Substrate processing apparatus
US20090095422A1 (en) Semiconductor manufacturing apparatus and substrate processing method
US20200333766A1 (en) Substrate processing apparatus, substrate processing method, semiconductor device manufacturing method, and control program
US8417394B2 (en) Substrate processing apparatus, semiconductor device manufacturing method and temperature controlling method
JP5647712B2 (ja) 基板処理方法、半導体装置の製造方法および半導体製造装置
US20190024232A1 (en) Substrate processing apparatus and substrate retainer
CN110911310A (zh) 衬底处理装置及半导体器件的制造方法
JP6736755B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JPWO2005008755A1 (ja) 温度制御方法、基板処理装置及び半導体製造方法
KR20210024141A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2020092163A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2008505019

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020087015396

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 12087479

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 07714651

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 1020107014186

Country of ref document: KR