JP5153614B2 - 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法 - Google Patents

基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法 Download PDF

Info

Publication number
JP5153614B2
JP5153614B2 JP2008505019A JP2008505019A JP5153614B2 JP 5153614 B2 JP5153614 B2 JP 5153614B2 JP 2008505019 A JP2008505019 A JP 2008505019A JP 2008505019 A JP2008505019 A JP 2008505019A JP 5153614 B2 JP5153614 B2 JP 5153614B2
Authority
JP
Japan
Prior art keywords
substrate
temperature
outer peripheral
outer tube
peripheral side
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008505019A
Other languages
English (en)
Other versions
JPWO2007105431A1 (ja
Inventor
正昭 上野
真一 島田
建夫 花島
晴夫 森川
晃 林田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2008505019A priority Critical patent/JP5153614B2/ja
Publication of JPWO2007105431A1 publication Critical patent/JPWO2007105431A1/ja
Application granted granted Critical
Publication of JP5153614B2 publication Critical patent/JP5153614B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Description

本発明は、半導体ウエハ等の基板を処理する基板処理装置および基板処理方法に関する。
例えば、特許文献1は、基板の加熱温度を所定時間内に変化させたときに発生する基板端部の温度と中心部の温度との偏差と、基板端部の温度と中心部の温度との定常偏差とを用いて、所望の平均温度偏差Mを実現するための変化温度量Nを求め、基板に対する加熱温度を制御して、基板に形成される膜厚を均一にする基板処理装置を開示する。
しかしながら、所望の平均温度偏差Mを実現しても、基板に形成される膜厚の均一性に限度があった。
国際公開第2005/008755号パンフレット
本発明は、基板に形成する膜の厚さの均一性を制御することができる基板処理装置および基板処理方法を提供することを目的としている。
上記目的を解決するために、本発明に係る基板処理装置は、基板を処理する処理室と、前記処理室内に収容された基板を基板の外周側から光加熱する加熱装置と、前記加熱装置が光加熱する基板の外周近傍に流体を流すことにより、基板の外周側を冷却する冷却装置と、前記処理室内の温度を検出する温度検出部と、前記温度検出部が検出する温度に基づいて、前記基板の中心部の温度を所定温度に維持しつつ該中心部と前記基板の端部とに温度差を設けるように前記加熱装置および前記冷却装置を制御する加熱制御部とを有する。
本発明が適応されうる半導体処理装置の全体構成を示す図である。 図1に示したボートおよびウエハを収容した状態の処理室を例示する図である。 図1,図2に示した処理室の周辺の構成部分、および、処理室に対する制御を行う第1の制御プログラムの構成を示す図である。 図1に示した制御部の構成を示す図である。 半導体処理装置における処理の対象となるウエハの形状を例示する図である。 ボートおよびを収容した処理室に対し、Lタイプ温度センサ(LタイプTC)を設けた状態を例示する図である。 冷却ガスが冷却ガス流路を通過することによって変化する内部温度センサの温度測定値を基板面内位置ごとに補正する方法を示すフローチャートである。 半導体処理装置がウエハなどの基板に膜を形成する場合に設定する温度と膜厚との関係の実施例を示すグラフであって、(A)は基板面内位置に対する設定温度の実施例を示すグラフであり、(B)は(A)に示した設定温度に応じて形成される膜厚を示すグラフである。 半導体処理装置がウエハなどの基板に膜を形成する場合に設定する温度と膜厚との関係の比較例を示すグラフであって、(A)は基板面内位置に対する設定温度の比較例を示すグラフであり、(B)は(A)に示した設定温度に応じて形成される膜厚を示すグラフである。 処理室の第1の変形例を示す図である。 処理室の第2の変形例を示す図である。 処理室の第3の変形例を示す図である。
符号の説明
1・・・半導体処理装置
12・・・ウエハ
14・・・ボート
100・・・カセット授受ユニット
102・・・カセットストッカ
106・・・ウエハ移動機
108・・・ボートエレベータ
490・・・ウエハカセット
2・・・制御部
22・・・表示・入力部
200・・・CPU
204・・・メモリ
24・・・記録部
240・・・記録媒体
40・・・制御プログラム
400・・・プロセス制御部
410・・・温度制御部
412・・・処理ガス流量制御部
414・・・駆動制御部
416・・・圧力制御部
418・・・処理ガス排気装置制御部
420・・・温度測定部
422・・・冷却ガス流量制御部
424・・・温度設定値記憶部
442・・・温度設定補正値記憶部
3・・・処理室
300・・・断熱材
32・・・ヒータ
320・・・温度調整部分
322,324・・・温度センサ
340・・・ガス導入ノズル
344・・・炉口蓋
346・・・排気管
348・・・回転軸
350・・・マニホールド
351・・・Oリング
352・・・冷却ガス流路
353・・・吸気孔
354・・・排気路
355・・・排気部
356・・・冷却ガス排気装置
357・・・ラジエタ
358・・・排気孔
359・・・シャッタ
360・・・アウタチューブ
362・・・インナチューブ
370・・・温度制御装置
372・・・温度測定装置
374・・・MFC
376・・・EC
378・・・PS
380・・・APC
382・・・EP
390・・・Lタイプ温度センサ
392,393・・・冷却ガス排気装置
394,395・・・シャッタ
396−1,396−2・・・インバータ
397・・・ダンパ
398・・・配管
399・・・冷却ガス流路
[本発明の背景]
本発明の理解を助けるために、実施形態の説明に先立って、まず、本発明がなされるに至った背景を説明する。
[半導体処理装置1]
図1は、本発明が適応されうる半導体処理装置1の全体構成を示す図である。
図2は、図1に示したボート14およびウエハ12を収容した状態の処理室3を例示する図である。
図3は、図1,図2に示した処理室3の周辺の構成部分、および、処理室3に対する制御を行う第1の制御プログラム40の構成を示す図である。
半導体処理装置1は、例えば半導体などの基板を処理するいわゆる減圧CVD装置である。
図1に示すように、半導体処理装置1は、カセット授受ユニット100、カセット授受ユニット100の背面側に設けられたカセットストッカ102、カセットストッカ102の上方に設けられたバッファカセットストッカ104、カセットストッカ102の背面側に設けられたウエハ移動機106、ウエハ移動機106の背面側に設けられ、ウエハ12がセットされたボート14を搬送するボートエレベータ108、ウエハ移動機106の上方に設けられた処理室3、および、制御部2から構成される。
[処理室3]
図2に示すように、図1に示した処理室3は、中空のヒータ32、外管(アウタチューブ)360、内管(インナチューブ)362、ガス導入ノズル340、炉口蓋344、排気管346、回転軸348、例えばステンレスからなるマニホールド350、Oリング351、冷却ガス流路352、排気路354、排気部355および処理ガス流量制御装置などその他の構成部分(図3を参照して後述)から構成され、側部が断熱材300−1により覆われ、上部が断熱材300−2により覆われている。
また、ボート14の下部には、複数の断熱板140が設けられている。
アウタチューブ360は、光を透過させる例えば石英からなり、下部に開口を有する円筒状の形態に形成されている。
インナチューブ362は、光を透過させる例えば石英からなり、円筒状の形態に形成され、アウタチューブ360の内側に、これの同心円上に配設される。
従って、アウタチューブ360とインナチューブ362との間には円筒状の空間が形成される。
ヒータ32は、それぞれに対する温度の設定および調節が可能な4つの温度調節部分(U,CU,CL,L)320−1〜320−4とアウタチューブ360との間に配設される熱電対などの外部温度センサ322−1〜322−4、および、温度調整部分320−1〜320−4に対応してアウタチューブ360内に配設される熱電対などの内部温度センサ(炉内TC)324−1〜324−4を含む。
内部温度センサ324−1〜324−4は、インナチューブ362の内側に設けられてもよいし、インナチューブ362とアウタチューブ360との間に設けられてもよいし、温度調整部分320−1〜320−4ごとにそれぞれ折り曲げられて、ウエハ12とウエハ12との間のウエハ中心部の温度を検出するように設けられてもよい。
ヒータ32の温度調整部分320−1〜320−4それぞれは、例えばウエハ12を光加熱するための光をアウタチューブ360の周囲から放射し、アウタチューブ360を透過してウエハ12に吸収される光によってウエハ12を昇温(加熱)する。
冷却ガス流路352は、冷却ガスなどの流体を通過させるように断熱材300−1とアウタチューブ360との間に形成されており、断熱材300−1の下端部に設けられた吸気孔353から供給される冷却ガスをアウタチューブ360の上方に向けて通過させる。
冷却ガスは、例えば空気または窒素(N2)などである。
また、冷却ガス流路352は、温度調整部分320−1〜320−4それぞれの間からアウタチューブ360に向けて冷却ガスが吹き出すようにされている。
冷却ガスはアウタチューブ360を冷却し、冷却されたアウタチューブ360はボート14にセットされたウエハ12を周方向(外周側)から冷却する。
つまり、冷却ガス流路352を通過する冷却ガスにより、アウタチューブ360、およびボート14にセットされたウエハ12が周方向(外周側)から冷却されるようになっている。
冷却ガス流路352の上方には排気路354が設けられている。排気路354は、吸気孔353から供給されて冷却ガス流路352を上方に向けて通過した冷却ガスを断熱材300−2の外側へ導く。
また、排気路354には、冷却ガスを排気する排気部355が設けられている。
排気部355は、ブロワなどの冷却ガス排気装置356およびラジエタ357を有し、排気路354により断熱材300−2の外側に導かれた冷却ガスを排気孔358から排気する。
ラジエタ357は、処理室3内でアウタチューブ360およびウエハ12などを冷却することにより昇温した冷却ガスを冷却水などにより冷却する。
なお、吸気孔353およびラジエタ357の近傍には、それぞれシャッタ359が設けられており、図示しないシャッタ制御部によって冷却ガス流路352および排気路354の開閉が制御されている。
また、図3に示すように、処理室3には、温度制御装置370、温度測定装置372、処理ガス流量制御装置(マスフローコントローラ;MFC)374、ボートエレベータ制御装置(エレベータコントローラ;EC)376、圧力センサ(PS)378、圧力調整装置(APC; Auto Pressure Control (valve))380、処理ガス排気装置(EP)382およびインバータ384が付加される。
温度制御装置370は、制御部2からの制御に従って温度調整部分320−1〜320−4それぞれを駆動する。
温度測定装置372は、温度センサ322−1〜322−4,324−1〜324−4それぞれの温度を検出し、温度測定値として制御部2に対して出力する。
ボートエレベータ制御装置(EC)376は、制御部2からの制御に従ってボートエレベータ108を駆動する。
圧力調整装置(以下、APC)380としては、例えば、APC、N2バラスト制御器などが用いられる。
また、EP382としては、例えば、真空ポンプなどが用いられる。
インバータ384は、冷却ガス排気装置356のブロアとしての回転数を制御する。
[制御部2]
図4は、図1に示した制御部2の構成を示す図である。
図4に示すように、制御部2は、CPU200、メモリ204、表示装置、タッチパネルおよびキーボード・マウスなどを含む表示・入力部22、および、HD・CDなどの記録部24から構成される。
つまり、制御部2は、半導体処理装置1を制御可能な一般的なコンピュータとしての構成部分を含む。
制御部2は、これらの構成部分により、減圧CVD処理用の制御プログラム(例えば、図3に示した制御プログラム40)を実行し、半導体処理装置1の各構成部分を制御して、半導体ウエハ12に対して、以下に述べる減圧CVD処理を実行させる。
[第1の制御プログラム40]
再び図3を参照する。
図3に示すように、制御プログラム40は、プロセス制御部400、温度制御部410、処理ガス流量制御部412、駆動制御部414、圧力制御部416、処理ガス排気装置制御部418、温度測定部420、冷却ガス流量制御部422および温度設定値記憶部424から構成される。
制御プログラム40は、例えば、記録媒体240(図4)を介して制御部2に供給され、メモリ204にロードされて実行される。
温度設定値記憶部424は、ウエハ12に対する処理レシピの温度設定値を記憶し、プロセス制御部400に対して出力する。
プロセス制御部400は、制御部2の表示・入力部22(図4)に対するユーザの操作、あるいは、記録部24に記録された処理の手順(処理レシピ)などに従って、制御プログラム40の各構成部分を制御し、後述するように、ウエハ12に対する減圧CVD処理を実行する。
温度測定部420は、温度測定装置372を介して温度センサ322,324の温度測定値を受け入れ、プロセス制御部400に対して出力する。
温度制御部410は、プロセス制御部400から温度設定値および温度センサ322,324の温度測定値を受け、温度調整部分320に対して供給する電力をフィードバック制御して、アウタチューブ360内部を加熱し、ウエハ12を所望の温度とさせる。
処理ガス流量制御部412は、MFC374を制御し、アウタチューブ360内部に供給する処理ガスまたは不活性ガスの流量を調整する。
駆動制御部414は、ボートエレベータ108を制御して、ボート14およびこれに保持されたウエハ12の昇降を行わせる。
また、駆動制御部414は、ボートエレベータ108を制御して、回転軸348を介してボート14およびこれに保持されたウエハ12を回転させる。
圧力制御部416は、PS378によるアウタチューブ360内の処理ガスの圧力測定値を受け、APC380に対する制御を行い、アウタチューブ360内部の処理ガスを所望の圧力とする。
処理ガス排気装置制御部418は、EP382を制御し、アウタチューブ360内部の処理ガスまたは不活性ガスを排気させる。
冷却ガス流量制御部422は、インバータ384を介して冷却ガス排気装置356が排気する冷却ガスの流量を制御する。
なお、以下の説明においては、温度調整部分320−1〜320−4など、複数ある構成部分のいずれかを特定せずに示す場合には、単に、温度調整部分320と略記することがある。
また、以下の説明において、温度調整部分320−1〜320−4など、構成部分の個数を示す場合があるが、構成部分の個数は、説明の具体化・明確化のために例示されたものであって、本発明の技術的範囲を限定することを意図して挙げられたものではない。
アウタチューブ360の下端とマニホールド350の上部開口部との間、および炉口蓋344とマニホールド350の下部開口部との間には、Oリング351が配設され、アウタチューブ360とマニホールド350との間は気密にシールされる。
アウタチューブ360の下方に位置するガス導入ノズル340を介して、不活性ガスあるいは処理ガスがアウタチューブ360内に導入される。
マニホールド350の上部には、PS378、APC380およびEP382に連結された排気管346(図2)が取り付けられている。
アウタチューブ360とインナチューブ362との間を流れる処理ガスは、排気管346、APC380およびEP382を介して外部に排出される。
APC380は、PS378によるアウタチューブ360内の圧力測定値に基づく制御に従って、アウタチューブ360内部が、予め設定された所望の圧力になるように、圧力制御部416の指示に従って調整する。
つまり、APC380は、アウタチューブ360内を常圧とするよう不活性ガスが導入されるべき時には、アウタチューブ360内が常圧になるように、圧力制御部416の指示に従って調整し、あるいは、アウタチューブ360内を低圧とし、ウエハ12を処理するよう処理ガスが導入されるべき時には、アウタチューブ360内が所望の低い圧力になるように、圧力制御部416の指示に従って調整する。
多数の半導体基板(ウエハ)12を保持するボート14は、ボート14の下部回転軸348が連結されている。
さらに、回転軸348は、ボートエレベータ108(図1)に連結され、ボートエレベータ108は、EC376を介した制御に従って、所定のスピードでボート14を昇降させる。
また、ボートエレベータ108は、回転軸348を介して、ウエハ12およびボート14を所定のスピードで回転させる。
被処理物のウエハ12は、ウエハカセット490(図1)に装填された状態で搬送され、カセット授受ユニット100に授載される。
カセット授受ユニット100は、このウエハ12を、カセットストッカ102またはバッファカセットストッカ104に移載する。
ウエハ移動機106は、カセットストッカ102からウエハ12を取り出し、ボート14に水平な状態で多段に装填する。
ボートエレベータ108は、ウエハ12が装填されたボート14を上昇させて処理室3内に導く。
また、ボートエレベータ108は、処理済みのウエハ12が装填されたボート14を下降させて処理室3内から取り出す。
[ウエハ12の温度と膜厚]
図5は、半導体処理装置1(図1)における処理の対象となるウエハ12の形状を例示する図である。
ウエハ12の面(以下、ウエハ12の面を、単にウエハ12とも記す)は、図5に示すような形状をしており、ボート14において、水平に保持される。
また、ウエハ12は、温度調整部分320−1〜320−4が放射してアウタチューブ360を透過した光により、アウタチューブ360の周囲から加熱される。
したがって、ウエハ12は、端部が多くの光を吸収し、冷却ガス流路352に冷却ガスが流されない場合には、ウエハ12の面の端部の温度が中心部の温度に比べて高くなる。
つまり、温度調整部分320−1〜320−4によって、ウエハ12の外周に近ければ近いほど温度が高く、中心部に近ければ近いほど温度が低いという、ウエハ12の端部から中心部にかけたすり鉢状の温度偏差がウエハ12に生じることになる。
また、反応ガスなどの処理ガスも、ウエハ12の外周側から供給されるので、ウエハ12に形成される膜の種類によっては、ウエハ12の端部と中心部とで反応速度が異なることがある。
例えば、反応ガスなどの処理ガスは、ウエハ12の端部で消費され、その後ウエハ12の中心部に至るため、ウエハ12の中心部では、ウエハ12の端部にくらべて処理ガスの濃度が低くなってしまう。
したがって、仮に、ウエハ12の端部と中心部との間に温度偏差が生じていないとしても、反応ガスのウエハ12の外周側からの供給に起因して、ウエハ12に形成される膜の厚さが、端部と中心部とで不均一になることがある。
一方、冷却ガスが冷却ガス流路352を通過すると、上述したように、アウタチューブ360、およびボート14にセットされたウエハ12が周方向(外周側)から冷却される。
つまり、処理室3は、温度調整部分320によってウエハ12の中心部の温度を所定の設定温度(処理温度)まで加熱し、冷却ガス流路352に冷却ガスを通過させてウエハ12の外周側を冷却することにより、ウエハ12の中心部および端部それぞれに対して異なる温度を設定することができる。
このように、ウエハ12に、均一な膜を形成するためには、ウエハ12上に膜を形成する反応速度などに応じて、膜厚を調整するための加熱制御(加熱と冷却とを含む制御など)を行う必要がある。
図6は、ボート14およびウエハ12を収容した処理室3に対し、Lタイプ温度センサ(LタイプTC)390を設けた状態を例示する図である。
制御部2は、内部温度センサ324の温度測定値を用いて、例えばウエハ12の端部温度および中心部温度(基板面内位置に対する温度)を算出し、温度調整部分320、および冷却ガス流路352を通過する冷却ガスの流量を制御するようにされてもよい。
内部温度センサ324は、ボート14とインナチューブ362との間に設けられている。
なお、内部温度センサ324は、インナチューブ362とアウタチューブ360との間に設けられてもよい。
内部温度センサ324の温度測定値を用いてウエハ12の端部および中心部の温度を算出する場合、例えば実際のウエハ12の端部温度、中心部温度、および冷却ガスが冷却ガス流路352を通過することによる内部温度センサ324の温度測定値の変化を用いて、冷却ガスが冷却ガス流路352を通過することによる内部温度センサ324の温度測定値の変化を補正する必要がある。
Lタイプ温度センサ390は、内部温度センサ324とほぼ同じ高さのウエハ12の中心部近傍の温度を測定するために例えば複数箇所がL字状に形成された熱電対であり、温度測定値を制御部2に対して出力する。
また、Lタイプ温度センサ390は、半導体処理装置1がウエハ12の処理を開始する以前にウエハ12の中心部近傍の温度を複数箇所で測定し、半導体処理装置1がウエハ12の処理を行う場合には取り外されるようになっている。
なお、Lタイプ温度センサ390は、炉口蓋344に継ぎ手を介在して気密にシールされるようになっている。
このLタイプ温度センサ390の検出する温度をウエハ12の中心部の温度とみなし、さらに内部温度センサ324の検出する温度がウエハ12の端部の温度とみなして、温度制御するようにする。
また、Lタイプ温度センサ390の検出する温度と内部温度センサ324の検出する温度との差がウエハ面内温度偏差であるとみなして、温度制御するようにする。
図7は、冷却ガスが冷却ガス流路352を通過することによって変化する内部温度センサ324の温度測定値を基板面内位置ごとに補正する方法を示すフローチャート(S10)である。
図7に示すように、ステップ100(S100)において、制御部2は、冷却ガスによる冷却をせずに、Lタイプ温度センサ390の検出する温度が、所定の設定温度(処理温度)に一致するように温度制御する。
そしてLタイプ温度センサ390の検出する温度が所定の設定温度(処理温度)と一致した際の、所定の設定温度(処理温度)に対する内部温度センサ(炉内TC)324の検出結果を取得する。
ステップ102(S102)において、制御部2は、冷却ガス流路352を通過する冷却ガス流量(すなわち、冷却ガス排気装置356が排気する冷却ガスの流量)を一定流量としつつ、Lタイプ温度センサ390の検出する温度が、所定の設定温度(処理温度)に一致するように温度制御する。
そしてLタイプ温度センサ390の検出する温度が所定の設定温度(処理温度)と一致した際の、所定の設定温度(処理温度)に対する内部温度センサ(炉内TC)324の検出結果を取得する。
さらに、冷却ガス流路352を通過する冷却ガス流量を変化させ、変化させた流量にて一定流量としつつ、Lタイプ温度センサ390の検出する温度が、所定の設定温度(処理温度)に一致するように温度制御する。
そしてLタイプ温度センサ390の検出する温度が所定の設定温度(処理温度)と一致した際の、所定の設定温度(処理温度)に対する内部温度センサ(炉内TC)324の検出結果を取得する。
制御部2は、ステップ02(S02)を冷却ガス流路352を通過する冷却ガス流量と、所定の設定温度(Lタイプ温度センサ390の検出する温度)と内部温度センサ324の検出する温度との関係が明らかになるよう所定回数くり返す。
ステップ104(S104)において、制御部2は、所定の設定温度(Lタイプ温度センサ390の検出する温度)にした時の冷却ガス流量に対する内部温度センサ324の温度補正値(冷却ガスによる温度測定値の変化に対応する値)をそれぞれ算出する。
ステップ106(S106)において、制御部2は、S04の処理で算出した温度補正値をそれぞれ冷却ガス流量と対応させて所定の設定温度(処理温度)時の相関関係として、例えば温度設定値記憶部424などに追加情報として記憶する。
そして、制御部2は、ウエハ12を処理する場合に、Lタイプ温度センサ390を取り外し、S106の処理で記憶した温度補正値を用いることにより、内部温度センサ324の温度測定値を補正し、その温度補正値に対応する冷却ガス流路352を通過する冷却ガス流量(すなわち、冷却ガス排気装置356が排気する冷却ガスの流量)に設定し、補正後の内部温度センサ324の温度測定値が所望の設定温度(処理温度)と一致するように、温度調整部分320の温度を制御する。
なお、Lタイプ温度センサ390は、ウエハ12の中心部近傍の温度を複数箇所で測定可能なように、複数箇所がL字形状に形成された熱電対として説明したが、例えば、ウエハ12の中心部近傍の温度を1箇所で測定可能なように1箇所がL字形状に形成された熱電対とし、内部温度センサ324の温度補正値と冷却ガス流量との関係を求めてもよい。
また、Lタイプ温度センサ390の設置個数は、内部温度センサ324と異なる個数としてもよい。
さらに、Lタイプ温度センサ390の替わりにウエハ中心部に熱電対を埋め込んだタイプの温度センサを用いてもよい。
[半導体処理装置1による減圧CVD処理の概要]
半導体処理装置1は、制御部2(図1,図4)上で実行される制御プログラム40(図3)の制御により、処理室3内に所定の間隔で並べられた半導体ウエハ12に対して、CVDにより、Si3N4膜、SiO2膜およびポリシリコン(Poly−Si)膜などの形成を行う。
処理室3を用いた膜形成をさらに説明する。
まず、ボートエレベータ108は、ボート14を下降させる。
下降したボート14には、処理の対象となるウエハ12が、所望の枚数セットされ、ボート14は、セットされたウエハ12を保持する。
次に、ヒータ32の4つの温度調節部分320−1〜320−4それぞれは、設定に従って、アウタチューブ360の内部を加熱し、ウエハ12の中心部が予め設定された一定の温度になるように加熱する。
一方、冷却ガス流路352には、設定に従って冷却ガスが流され、アウタチューブ360、およびボート14にセットされたウエハ12が周方向(外周側)から冷却される。
次に、ガス導入ノズル340(図2)を介して、MFC374は、導入するガスの流量を調節して、アウタチューブ360内に不活性ガスを導入し、充填する。
ボートエレベータ108は、ボート14を上昇させ、所望の処理温度の不活性ガスが充填された状態のアウタチューブ360内に移動させる。
次に、アウタチューブ360内の不活性ガスはEP382により排気され、アウタチューブ360内部は真空状態とされ、ボート14およびこれに保持されているウエハ12は、回転軸348を介して回転させられる。
この状態で、ガス導入ノズル340を介して処理ガスがアウタチューブ360内に導入されると、導入された処理ガスは、アウタチューブ360内を上昇し、ウエハ12に対して均等に供給される。
EP382は、減圧CVD処理中のアウタチューブ360内から、排気管346を介して処理ガスを排気し、APC380は、アウタチューブ360内の処理ガスを、所望の圧力とする。
以上のように、ウエハ12に対して、減圧CVD処理が所定時間、実行される。
減圧CVD処理が終了すると、次のウエハ12に対する処理に移るべく、アウタチューブ360の内部の処理ガスが不活性ガスにより置換され、さらに常圧とされる。
さらに、冷却ガス流路352に冷却ガスが流されて、アウタチューブ360内が所定の温度まで冷却される。
この状態で、ボート14およびこれに保持された処理済みのウエハ12は、ボートエレベータ108により下降させられ、アウタチューブ360から取り出される。
ボートエレベータ108は、次に減圧CVD処理の対象となるウエハ12が保持されたボート14を上昇させ、アウタチューブ360内にセットする。
このようにセットされたウエハ12に対して、次の減圧CVD処理が実行される。
なお、冷却ガスは、ウエハ12の処理前から処理終了までの間に流されれば、膜厚を制御することができるが、ウエハ12をセットしたボート14をアウタチューブ360内に移動させる場合、およびアウタチューブ360内からボート14を取り出す場合にも、流されることが好ましい。
これにより、処理室3の熱容量により、処理室3内に熱がこもって温度が変動してしまうことを防止することができるとともに、スループットを向上させることができる。
[膜厚の均一性の制御例]
図8は、半導体処理装置1がウエハ12などの基板に膜を形成する場合に設定する温度と膜厚との関係の実施例を示すグラフであって、(A)は基板面内位置に対する設定温度の実施例を示すグラフであり、(B)は(A)に示した設定温度に応じて形成される膜厚を示すグラフである。
図9は、半導体処理装置1がウエハ12などの基板に膜を形成する場合に設定する温度と膜厚との関係の比較例を示すグラフであって、(A)は基板面内位置に対する設定温度の比較例を示すグラフであり、(B)は(A)に示した設定温度に応じて形成される膜厚を示すグラフである。
図8(A)に示すように、基板の中心部が所定の設定温度(処理温度)になり、基板の端部が処理温度よりも低くなるように、制御部2が温度調整部分320の温度、および冷却ガス流路352を通過する冷却ガス流量を制御すると、図8(B)に示すように、基板に形成される膜厚が基板の中心部および端部においてほぼ均一になる。
例えば、基板温度に比べてアウタチューブ360の温度が低くなるように冷却ガスが流されると、基板の中心部の温度よりも基板の端部の温度が下がる。
一方、図9(A)に示すように、基板の中心部および端部が所定の設定温度(処理温度)になるように、制御部2が温度調整部分320の温度などを制御する(例えば冷却ガス流路352に冷却ガスを流さない)と、図9(B)に示すように、基板の端部に形成される膜の厚さが基板の中心部に形成される膜の厚さよりも厚くなる。
例えば、基板温度とアウタチューブ360の温度が同等になるように冷却ガスが流されると、基板の中心部の温度と基板の端部の温度とが同等になる。
具体的には、図6に示した予め求めておいた所定の設定温度(処理温度)にした時の内部温度センサ324の温度補正値をそれぞれ冷却ガス流量と対応させて記憶させた例えば温度設定値記憶部424にあるデータの中から、1つの条件を選択し、該選択した条件にて制御部2が温度制御部410を介しヒータ32の温度調整部分320を制御しつつ、冷却ガス流量制御部422およびインバータ384を介し、冷却ガス排気装置356を制御する。
すなわち、内部温度センサ324の測定温度に温度補正値を設定し、その温度補正値に対応する冷却ガス流量を設定し、補正後の内部温度センサ324の測定温度に基づきヒータ32の温度調整部分320を加熱制御しつつ、設定した冷却ガス流量に対応して冷却ガス排気装置356が排気する冷却ガスの流量を制御する。
この制御した状態で、アウタチューブ360内にて、ボート14およびこれに保持されているウエハ12を回転しつつ、ガス導入ノズル340を介して処理ガスをアウタチューブ360内に導入しウエハ12に対して所定の膜厚の膜を形成処理させる。
そして、処理後のウエハ12をアウタチューブ360から取り出す。ウエハ12を取り出した後、処理後のウエハ12の膜厚を測定し、基板面内(ウエハ12の面内)の膜厚が均一になっているか確認する。
基板面内のうち基板の端部に形成される膜の厚さが基板中心部に形成される膜の厚さより厚ければ、前述の図6に示した予め求めておいて所定の設定温度(処理温度)にした時の内部温度センサ324の温度補正値をそれぞれ冷却ガス流量と対応させて記憶させた例えば温度設定値記憶部424にあるデータの中から、先に選択した1つの条件よりさらに温度補正値の大きい値と対応する冷却ガス流量とを選択し、該選択した条件にて再び、上記のウエハ12に対して所定の膜厚の膜を形成処理させるようにする。
一方、基板面内のうち基板の端部に形成される膜の厚さが基板中心部に形成される膜の厚さより薄ければ、前述の図6に示した予め求めておいた所定の設定温度(処理温度)にした時の内部温度センサ324の温度補正値をそれぞれ冷却ガス流量と対応させて記憶させた例えば温度設定値記憶部424にあるデータの中から、先に選択した1つの条件よりさらに温度補正値の小さい値と対応する冷却ガス流量とを選択し、該選択した条件にて再び、上記のウエハ12に対して所定の膜厚の膜を形成処理させるようにする。
基板面内の膜厚が所望の膜厚にて均一になるまでウエハ12へ実際に成膜を繰り返すことにより、微調整を行う。
また、この際、温度調整部分320−1〜320−4に対応するそれぞれの内部温度センサ324−1〜324−4に温度補正値を加えた値と、その温度補正値に対応する冷却ガス流量を用いて加熱制御し、基板面内膜厚の均一性のみならず、基板面間膜厚(ボート14に保持される複数枚のウエハ間の膜厚)の均一性の微調整をも行うようにする。
なお、図6に示した予め求めておいた所定の設定温度(処理温度)にした時の内部温度センサ324の温度補正値をそれぞれ冷却ガス流量と対応させて記憶させた例えば温度設定値記憶部424にあるデータの中には、所望の条件がない場合には、該データを参考に内部温度センサ324の温度補正値と冷却ガス流量とを直接変更した条件で所定の膜厚が均一になるまで微調整を行うようにしてもよい。
この時、Lタイプ温度センサ390を、炉口蓋344に継ぎ手を介在して気密にシールした状態で、ボート14およびこれに保持されるウエハ12を回転させずに、Lタイプ温度センサ390の検出する温度が所定の設定温度(処理温度)となるように、内部温度センサ324の温度補正値と冷却ガス流量とを直接変更した条件で所定の膜厚が均一になるまで微調整を行うようにしてもよい。
微調整が完了したら、膜厚均一性が良好となる求められた内部温度センサ324の温度補正値と冷却ガス流量にて設定し、補正後の内部温度センサ324の測定温度に基づきヒータ32の温度調整部分320を加熱制御しつつ、設定した冷却ガス流量に対応した冷却ガス排気装置356が冷却ガスの流量を制御する。
この制御した状態で、アウタチューブ360内にてボート14およびこれに保持されるウエハ12を回転しつつ、ガス導入ノズル340を介して処理ガスをアウタチューブ360内に導入しウエハ12に対して所定の膜厚の膜を形成処理させる。
このように、ヒータ32は、設定温度どおりにウエハ12の中心部温度を一定温度に維持するように制御しつつ、冷却ガスによりウエハ12の端部(周縁)温度と中心部温度とに温度差を設けるように温度制御することにより、膜質を変えることなく、ウエハ12の面内膜厚均一性、さらには、面間の膜厚均一性を向上することができる。
例えば、Si3N4膜等のCVD膜を成膜する場合、処理温度を変動させながら成膜処理すると、膜の屈折率が処理温度に応じて変動してしまったり、処理温度を高温から低温へ降下させつつ成膜処理すると、エッチングレートが低い膜から高い膜へと処理温度に応じて変化してしまう。
また、Si3N4膜の生成においては、処理温度を高温から低温へ降下させつつ成膜処理すると、ストレス値が高い膜から低い膜へと処理温度に応じて変化してしまう。
そこで、半導体処理装置1は、制御部2が温度調整部分320の温度、および冷却ガス流路352を通過する冷却ガス流量を制御することにより、アウタチューブ360の温度を制御し、ウエハ12などの基板の面内温度を制御して、膜質が変化することを防止しつつ、基板に形成する膜の厚さの均一性を制御することができるという優れた効果を奏する。
[処理室3の第1の変形例]
次に、処理室3の変形例について説明する。
図10は、処理室3の第1の変形例を示す図である。
なお、処理室3の第1の変形例において、図2に示した処理室3を構成する部分と実質的に同一のものには、同一の符号が付してある。
図10に示すように、処理室3の第1の変形例は、排気路354に排気量(総流量)が互いに異なるブロアなどの冷却ガス排気装置392,393がシャッタ394,395をそれぞれ介して設けられている。
冷却ガス排気装置392,393は、それぞれインバータ396−1,396−2を介し、制御部2によって回転数が個別に制御されている。
また、処理室3の第1の変形例は、制御部2によってインバータ396−1,396−2およびシャッタ394,395が個別に制御されることにより、冷却ガス流路352を通過する冷却ガスの流量が細かく制御されるようになっている。
さらに、処理室3の第1の変形例は、排気路354から冷却ガス排気装置392,393までのコンダクタンスを小さくしたり、ダンパ397などを設けて冷却ガスの流量を制御するようにされてもよい。
したがって、処理室3の第1の変形例は、冷却ガス流路352を通過する冷却ガスの流量を細かく制御することができるので、アウタチューブ360およびウエハ12の外周側の冷却を細かく制御することができ、ウエハ12に形成する膜の厚さの均一性を細かく制御することができる。
[処理室3の第2の変形例]
図11は、処理室3の第2の変形例を示す図である。
なお、処理室3の第2の変形例において、図2に示した処理室3を構成する部分と実質的に同一のものには、同一の符号が付してある。
図11に示すように、処理室3の第2の変形例は、アウタチューブ360と温度調整部分320との間に配管398を有する。
配管398には、例えば図示しないブロア(排気装置)などにより冷却ガスが流されるようになっている。
つまり、処理室3の第2の変形例は、配管398に冷却ガスを流すことにより、アウタチューブ360およびウエハ12の外周側を冷却し、ウエハ12に形成する膜の厚さの均一性を制御する。
なお、処理室3の第2の変形例は、配管398および冷却ガス流路352を通過させる冷却ガス流量を個別に制御するようにされてもよいし、配管398を通過する冷却ガス流量によってウエハ12に形成する膜の厚さの均一性を制御するようにされてもよい。
[処理室3の第3の変形例]
図12は、処理室3の第3の変形例を示す図である。
なお、処理室3の第3の変形例において、図2に示した処理室3を構成する部分と実質的に同一のものには、同一の符号が付してある。
図12に示すように、処理室3の第3の変形例は、アウタチューブ360が中空構造になっており、アウタチューブ360に冷却ガス流路399が形成されている。
冷却ガス流路399には、例えば図示しないブロア(排気装置)などにより冷却ガスが流されるようになっている。
つまり、処理室3の第3の変形例は、冷却ガス流路399に冷却ガスを流すことにより、ウエハ12の外周側を冷却し、ウエハ12に形成する膜の厚さの均一性を制御する。
なお、本発明は、前記実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々の変更が可能であることはいうまでもない。例えば、半導体処理装置1は、吸気装置によって冷却ガス流量を制御するようにしてもよいし、マスフロー制御によるものであってもよい。
また、加熱装置は、光加熱するタイプであればよく、例えば抵抗加熱方式であってもよいし、ランプ加熱方式であってもよい。
また、半導体処理装置1は、冷却ガスに代えて、例えば水などの液体を流すことにより、アウタチューブ360およびウエハ12の外周側を冷却するようにされてもよい。
以上のように、本発明は、特許請求の範囲に記載した事項を有するが、さらに次のような実施形態が含まれる。
(1)前記冷却装置は、前記処理室の外周側に設けられ、冷却ガスを流す冷却ガス流路と、前記冷却ガス流路を流れる冷却ガスを排気可能に導く排気路と、前記排気路に設けられ、冷却ガスを排気する排気部とを有する。
(2)前記排気部は、排気量が異なる複数の排気装置を有し、前記加熱制御部は、前記複数の排気装置を個別に制御する。
(3)本発明に係る基板処理方法は、処理室内に収容された基板を加熱装置により基板の外周側から光加熱する工程と、基板の外周近傍に流体を流す冷却装置により基板の外周側を冷却する工程と、前記処理室内の温度を検出する工程と、検出した温度に基づいて、前記加熱装置および前記冷却装置を制御する工程とを有する。
(4)本発明に係る基板処理方法は、処理室内に収容された基板を加熱装置により基板の外周側から光加熱する工程と、基板の外周近傍に冷却ガスを流して基板の外周側を冷却する工程と、排気量が異なる複数の排気装置により冷却ガスを排気する工程と、前記処理室内の温度を検出する工程と、検出した温度に基づいて、前記加熱装置および前記複数の排気装置を個別に制御する工程とを有する。
(5)前記加熱装置は、基板の中心部が一定温度である設定温度になるように基板を光加熱する請求項1〜3のいずれかに記載の基板処理装置。
(6)基板の中心部と外周側の温度をそれぞれ取得する温度取得部と、前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定温度および流体の流量と、基板の中心部と外周側との温度偏差との相関関係を取得する相関関係取得部と、前記相関関係取得部が取得した相関関係に基づいて、前記加熱部の設定温度を補正する設定温度補正部とを有する(5)に記載の基板処理装置。
(7)前記相関関係取得部は、前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定温度および流体の流量と、前記温度検出部が検出した温度との相関関係をさらに取得し、前記設定温度補正部は、前記相関関係取得部が取得した相関関係に基づいて、前記加熱装置の設定温度を補正する(6)に記載の基板処理装置。
(8)前記加熱装置は、基板の中心部が一定温度である設定温度になるように基板を光加熱する請求項4または5に記載の基板処理方法。
(9)基板を処理室内に収容する工程と、処理室内に収容された基板を加熱装置により基板の外周側から光加熱する工程と、基板の外周近傍に流体を流す冷却装置により基板の外周側を冷却する工程と、前記処理室内の温度を検出する工程と、検出した温度に基づいて、前記加熱装置および前記冷却装置を制御する工程と、基板を処理室外に搬出する工程とを有する基板処理方法。
以上述べたように、本発明は、基板に形成する膜の厚さの均一性を制御する基板処理装置に利用することができる。

Claims (19)

  1. 基板の外周側から処理ガスを供給し当該基板を成膜処理する、複数枚の基板を収容可能なアウタチューブと、
    前記アウタチューブに収容された基板を基板の外周側から光加熱する加熱装置と、
    前記アウタチューブの内壁よりも外側に流体を流すことにより、基板の外周側を冷却する冷却装置と、
    前記基板の中心側及び外周側の温度、又は、前記アウタチューブ内の温度を検出する温度検出部と、
    前記検出された前記基板の中心側及び外周側の温度、又は、前記検出された前記アウタチューブ内の温度を基に算出された基板の中心側及び基板の外周側の温度に基づいて、前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように前記加熱装置および前記冷却装置を制御する制御部と
    を有する基板処理装置。
  2. 前記冷却装置は、
    前記アウタチューブの内壁よりも外側に冷却ガスを流す冷却ガス流路と、
    前記冷却ガス流路を流れる冷却ガスを排気可能に導く排気路と、
    前記排気路に設けられ、冷却ガスを排気する排気部と
    を有する請求項1に記載の基板処理装置。
  3. 前記排気部は、
    排気量が異なる複数の排気装置を有し、
    前記制御部は、
    前記複数の排気装置を個別に制御する
    請求項2に記載の基板処理装置。
  4. 前記所定温度は一定の温度である請求項1に記載の基板処理装置。
  5. 前記アウタチューブ内に処理ガスを導入する処理ガス導入部と該処理ガス導入部から導入される処理ガスの流量を制御する処理ガス流量制御部とをさらに備え、
    前記処理ガス流量制御部は、前記制御部が前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように制御している最中に前記処理ガス導入部から前記処理ガスを前記アウタチューブ内に導入するよう制御する請求項1に記載の基板処理装置。
  6. 前記基板は、所定の間隔で複数並べられており、
    前記基板の外周側から前記処理ガスが導入されるように前記処理ガス導入部が設けられている請求項に記載の基板処理装置。
  7. 基板の中心側と外周側の温度をそれぞれ取得する温度取得部と、
    前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定温度および流体の流量と、
    基板の中心側と外周側との温度偏差との相関関係を取得する相関関係取得部と、
    前記相関関係取得部が取得した相関関係に基づいて、前記加熱部の設定温度を補正する設定温度補正部と
    を有する請求項1に記載の基板処理装置。
  8. 前記相関関係取得部は、
    前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定温度および流体の流量と、前記温度検出部が検出した温度との相関関係をさらに取得し、
    前記設定温度補正部は、
    前記相関関係取得部が取得した相関関係に基づいて、前記加熱装置の設定温度を補正する請求項に記載の基板処理装置。
  9. 前記所定温度は一定の温度である請求項に記載の基板処理装置。
  10. 複数枚の基板を収容可能なアウタチューブに収容された基板の外周側から処理ガスを供給する成膜工程と、
    前記アウタチューブに収容された基板を加熱装置により基板の外周側から光加熱する工程と、
    前記アウタチューブの内壁よりも外側に流体を流す冷却装置により基板の外周側を冷却する工程と、
    前記基板の中心側及び外周側の温度、又は、前記アウタチューブ内の温度を検出する工程と、
    検出した前記基板の中心側及び外周側の温度、又は、前記検出した前記アウタチューブ内の温度を基に算出された基板の中心側及び基板の外周側の温度に基づいて、前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように前記加熱装置および前記冷却装置を制御する工程と
    を有する半導体基板の処理方法。
  11. 前記所定温度は一定の温度である請求項10に記載の半導体基板の処理方法。
  12. 前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くした状態で、処理ガスを前記アウタチューブ内に導入し前記基板を処理する工程とをさらに有する請求項10に記載の半導体基板の処理方法。
  13. 所定の間隔で複数並べられた基板の外周側から前記処理ガスを供給する工程
    をさらに有する請求項12に記載の半導体基板の処理方法。
  14. 温度取得部が、前記基板の中心側の温度及び前記基板の外周側の温度を取得する工程と、
    前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定温度および流体の流量と、基板の中心側と外周側との温度偏差との相関関係を取得する工程と、
    取得した相関関係に基づいて、前記加熱部の設定温度を補正する工程とをさらに有し、
    検出した温度を補正した温度に基づいて、前記加熱装置および前記冷却装置を制御する請求項10に記載の半導体基板の処理方法。
  15. 前記加熱装置が基板を加熱する間に前記冷却装置が流す流体の量を変化させた場合に、設定温度および流体の流量と、検出した温度との相関関係を取得する工程と、
    取得した相関関係に基づいて、前記加熱装置の設定温度を補正する工程とをさらに有し、
    検出した温度を補正した温度に基づいて、前記加熱装置および前記冷却装置を制御する請求項14に記載の半導体基板の処理方法。
  16. 複数枚の基板を収容可能なアウタチューブに収容された基板の外周側から処理ガスを供給する成膜工程と、
    前記アウタチューブに収容された基板を加熱装置により基板の外周側から光加熱する工程と、
    前記アウタチューブの内壁よりも外側に冷却ガスを流して基板の外周側を冷却する工程と、
    排気量が異なる複数の排気装置により冷却ガスを排気する工程と、
    前記基板の中心側及び外周側の温度、又は、前記アウタチューブ内の温度を検出する工程と、
    検出した前記基板の中心側及び外周側の温度、又は、前記検出した前記アウタチューブ内の温度を基に算出された基板の中心側及び基板の外周側の温度に基づいて、前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように前記加熱装置および前記複数の排気装置を個別に制御する工程と
    を有する半導体基板の処理方法。
  17. 複数枚の基板を収容可能なアウタチューブに収容された基板の外周側から処理ガスを供給させる成膜手順と、
    前記アウタチューブに収容された基板を加熱装置により基板の外周側から光加熱させる手順と、
    前記アウタチューブの内壁よりも外側に流体を流す冷却装置により基板の外周側を冷却させる手順と、
    検出された前記基板の中心側及び外周側の温度、又は、前記検出した前記アウタチューブ内の温度を基に算出された基板の中心側及び基板の外周側の温度に基づいて、前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように前記加熱装置および前記冷却装置を制御する手順と
    を制御部に実行させるための制御プログラム。
  18. 複数枚の基板を収容可能なアウタチューブに収容された基板の外周側から処理ガスを供給させる成膜手順と、
    前記アウタチューブに収容された基板を加熱装置により基板の外周側から光加熱させる手順と、
    前記アウタチューブの内壁よりも外側に流体を流す冷却装置により基板の外周側を冷却させる手順と、
    検出された前記基板の中心側及び外周側の温度、又は、前記検出した前記アウタチューブ内の温度を基に算出された基板の中心側及び基板の外周側の温度に基づいて、前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように前記加熱装置および前記冷却装置を制御する手順と
    を制御部に実行させるための制御プログラムが記録された記録媒体。
  19. 複数枚の基板を収容可能なアウタチューブに収容された基板の外周側から処理ガスを供給する成膜工程と、
    前記アウタチューブに収容された基板を加熱装置により基板の外周側から光加熱する工程と、
    前記アウタチューブの内壁よりも外側に流体を流す冷却装置により基板の外周側を冷却する工程と、
    前記基板の中心側及び外周側の温度、又は、前記アウタチューブ内の温度を検出する工程と、
    検出した前記基板の中心側及び外周側の温度、又は、前記検出した前記アウタチューブ内の温度を基に算出された基板の中心側及び基板の外周側の温度に基づいて、前記基板の中心側の温度を所定温度に維持しつつ前記基板の外周側の温度を該基板の中心側の温度よりも低くなるように前記加熱装置および前記冷却装置を制御する工程と
    を有する基板処理方法。
JP2008505019A 2006-03-07 2007-02-21 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法 Active JP5153614B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008505019A JP5153614B2 (ja) 2006-03-07 2007-02-21 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2006061318 2006-03-07
JP2006061318 2006-03-07
PCT/JP2007/053151 WO2007105431A1 (ja) 2006-03-07 2007-02-21 基板処理装置および基板処理方法
JP2008505019A JP5153614B2 (ja) 2006-03-07 2007-02-21 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2009067667A Division JP5153699B2 (ja) 2006-03-07 2009-03-19 半導体基板の処理方法、膜形成方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
JP2012127093A Division JP5547775B2 (ja) 2006-03-07 2012-06-04 基板処理装置、基板処理方法、制御プログラムおよび記録媒体

Publications (2)

Publication Number Publication Date
JPWO2007105431A1 JPWO2007105431A1 (ja) 2009-07-30
JP5153614B2 true JP5153614B2 (ja) 2013-02-27

Family

ID=38509267

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2008505019A Active JP5153614B2 (ja) 2006-03-07 2007-02-21 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
JP2009067667A Active JP5153699B2 (ja) 2006-03-07 2009-03-19 半導体基板の処理方法、膜形成方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
JP2012127093A Active JP5547775B2 (ja) 2006-03-07 2012-06-04 基板処理装置、基板処理方法、制御プログラムおよび記録媒体

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2009067667A Active JP5153699B2 (ja) 2006-03-07 2009-03-19 半導体基板の処理方法、膜形成方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
JP2012127093A Active JP5547775B2 (ja) 2006-03-07 2012-06-04 基板処理装置、基板処理方法、制御プログラムおよび記録媒体

Country Status (5)

Country Link
US (2) US8501599B2 (ja)
JP (3) JP5153614B2 (ja)
KR (2) KR101003446B1 (ja)
TW (2) TWI349968B (ja)
WO (1) WO2007105431A1 (ja)

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5153614B2 (ja) * 2006-03-07 2013-02-27 株式会社日立国際電気 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
JP5594597B2 (ja) * 2008-09-02 2014-09-24 株式会社ラスコ 冷却装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
US20110159199A1 (en) * 2009-12-28 2011-06-30 Guardian Industries Corp. Large area combustion deposition line, and associated methods
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
TW201200628A (en) * 2010-06-29 2012-01-01 Hon Hai Prec Ind Co Ltd Coating apparatus
JP5721219B2 (ja) * 2010-07-09 2015-05-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び加熱装置
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
JP5893280B2 (ja) * 2010-09-09 2016-03-23 東京エレクトロン株式会社 縦型熱処理装置
KR101509286B1 (ko) 2010-09-09 2015-04-06 도쿄엘렉트론가부시키가이샤 종형 열처리 장치
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
JP5296132B2 (ja) * 2011-03-24 2013-09-25 東京エレクトロン株式会社 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013159062A1 (en) * 2012-04-19 2013-10-24 Solexel, Inc. Temperature calibration and control for semiconductor reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6080451B2 (ja) * 2012-09-25 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及び熱電対支持体
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6262148B2 (ja) * 2012-12-07 2018-01-17 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法および制御プログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6170847B2 (ja) * 2013-03-25 2017-07-26 株式会社日立国際電気 断熱構造体、加熱装置、基板処理装置および半導体装置の製造方法
JP6106519B2 (ja) * 2013-05-09 2017-04-05 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、成膜装置及び基板処理システム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9331430B2 (en) 2013-10-18 2016-05-03 JTech Solutions, Inc. Enclosed power outlet
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6280407B2 (ja) * 2014-03-19 2018-02-14 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、基板処理装置及び基板処理システム
JP6279396B2 (ja) * 2014-05-12 2018-02-14 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
KR101945264B1 (ko) * 2016-10-27 2019-02-07 삼성전자주식회사 기판 처리 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10205283B2 (en) 2017-04-13 2019-02-12 JTech Solutions, Inc. Reduced cross-section enclosed power outlet
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043402B2 (en) * 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD843321S1 (en) 2018-03-26 2019-03-19 JTech Solutions, Inc. Extendable outlet
USD841592S1 (en) 2018-03-26 2019-02-26 JTech Solutions, Inc. Extendable outlet
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
WO2021002228A1 (ja) * 2019-07-01 2021-01-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114402425A (zh) * 2020-08-18 2022-04-26 玛特森技术公司 具有冷却系统的快速热处理系统
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD999742S1 (en) 2021-04-01 2023-09-26 JTech Solutions, Inc. Safety interlock outlet box
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115565852A (zh) * 2022-12-06 2023-01-03 西安奕斯伟材料科技有限公司 用于对硅片进行背封的方法和设备
CN116007390A (zh) * 2022-12-15 2023-04-25 湖南优热科技有限责任公司 一种带有快速主动冷却系统的石墨化炉

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992624A (ja) * 1995-09-25 1997-04-04 Semitsukusu Eng Kk 熱処理炉
JP2001308085A (ja) * 2000-02-18 2001-11-02 Tokyo Electron Ltd 熱処理方法
JP2002231716A (ja) * 2001-02-01 2002-08-16 Tokyo Electron Ltd 薄膜形成方法及び薄膜形成装置
JP2003031510A (ja) * 2001-07-19 2003-01-31 Sharp Corp 熱処理装置および熱処理方法
JP2005032883A (ja) * 2003-07-09 2005-02-03 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3244809B2 (ja) * 1992-09-30 2002-01-07 株式会社東芝 薄膜形成方法及び薄膜形成装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3184000B2 (ja) * 1993-05-10 2001-07-09 株式会社東芝 薄膜の形成方法およびその装置
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR20010110291A (ko) 2000-02-18 2001-12-12 히가시 데쓰로 기판처리방법
KR100720775B1 (ko) * 2000-07-25 2007-05-22 동경 엘렉트론 주식회사 열처리조건의 결정방법
US7190400B2 (en) * 2001-06-04 2007-03-13 Texas Instruments Incorporated Charge multiplier with logarithmic dynamic range compression implemented in charge domain
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
JP2003031506A (ja) * 2001-07-17 2003-01-31 Toshiba Corp 半導体薄膜の成膜装置及び半導体薄膜の成膜方法
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
JPWO2005008755A1 (ja) * 2003-07-18 2006-09-07 株式会社日立国際電気 温度制御方法、基板処理装置及び半導体製造方法
JP4610908B2 (ja) * 2004-02-24 2011-01-12 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
JP5153614B2 (ja) * 2006-03-07 2013-02-27 株式会社日立国際電気 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
US7972444B2 (en) * 2007-11-07 2011-07-05 Mattson Technology, Inc. Workpiece support with fluid zones for temperature control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992624A (ja) * 1995-09-25 1997-04-04 Semitsukusu Eng Kk 熱処理炉
JP2001308085A (ja) * 2000-02-18 2001-11-02 Tokyo Electron Ltd 熱処理方法
JP2002231716A (ja) * 2001-02-01 2002-08-16 Tokyo Electron Ltd 薄膜形成方法及び薄膜形成装置
JP2003031510A (ja) * 2001-07-19 2003-01-31 Sharp Corp 熱処理装置および熱処理方法
JP2005032883A (ja) * 2003-07-09 2005-02-03 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
JP5153699B2 (ja) 2013-02-27
US20090029486A1 (en) 2009-01-29
TW200741878A (en) 2007-11-01
KR20100087401A (ko) 2010-08-04
TWI349968B (en) 2011-10-01
WO2007105431A1 (ja) 2007-09-20
US8507296B2 (en) 2013-08-13
KR101003446B1 (ko) 2010-12-28
US8501599B2 (en) 2013-08-06
KR101005518B1 (ko) 2011-01-04
JP2009158968A (ja) 2009-07-16
KR20080080142A (ko) 2008-09-02
JPWO2007105431A1 (ja) 2009-07-30
JP5547775B2 (ja) 2014-07-16
TWI505366B (zh) 2015-10-21
US20090197352A1 (en) 2009-08-06
TW201140699A (en) 2011-11-16
JP2012216851A (ja) 2012-11-08

Similar Documents

Publication Publication Date Title
JP5153614B2 (ja) 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
JP5665239B2 (ja) 半導体製造装置及び基板処理方法
US7727780B2 (en) Substrate processing method and semiconductor manufacturing apparatus
US20090095422A1 (en) Semiconductor manufacturing apparatus and substrate processing method
US20200333766A1 (en) Substrate processing apparatus, substrate processing method, semiconductor device manufacturing method, and control program
JP5647712B2 (ja) 基板処理方法、半導体装置の製造方法および半導体製造装置
US20190024232A1 (en) Substrate processing apparatus and substrate retainer
JP2021052092A (ja) 基板処理装置、半導体装置の製造方法及び基板処理装置の洗浄方法
CN110911310A (zh) 衬底处理装置及半导体器件的制造方法
KR100882633B1 (ko) 열처리 장치, 열처리 방법, 제어 장치 및 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체
JP6736755B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JPWO2005008755A1 (ja) 温度制御方法、基板処理装置及び半導体製造方法
JP2020092163A (ja) 基板処理装置及び半導体装置の製造方法
KR20210024141A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2024009664A (ja) パラメータ設定方法、および基板処理装置
JP2007080939A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121127

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5153614

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250