TWI505366B - 基板處理裝置及基板處理方法 - Google Patents

基板處理裝置及基板處理方法 Download PDF

Info

Publication number
TWI505366B
TWI505366B TW100117140A TW100117140A TWI505366B TW I505366 B TWI505366 B TW I505366B TW 100117140 A TW100117140 A TW 100117140A TW 100117140 A TW100117140 A TW 100117140A TW I505366 B TWI505366 B TW I505366B
Authority
TW
Taiwan
Prior art keywords
substrate
temperature
processing chamber
processing
wafer
Prior art date
Application number
TW100117140A
Other languages
English (en)
Other versions
TW201140699A (en
Inventor
Masaaki Ueno
Masakazu Shimada
Takeo Hanashima
Haruo Morikawa
Akira Hayashida
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201140699A publication Critical patent/TW201140699A/zh
Application granted granted Critical
Publication of TWI505366B publication Critical patent/TWI505366B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

基板處理裝置及基板處理方法
本發明係關於處理半導體晶圓等之基板的基板處理裝置及基板處理方法。
例如,專利文獻1揭示一種基板處理裝置,其係使用在指定時間內使基板之加熱溫度變化時所產生的基板端部之溫度及中心部之溫度的偏差、及基板端部之溫度及中心部之溫度的定額偏差,求取實現所需之平均溫度偏差M用的變化溫度量N,控制對基板之加熱溫度,以使形成於基板之膜厚均勻化。
然而,即使實現所需之平均溫度偏差M時,在形成於基板之膜厚均勻性上仍有限度。
[專利文獻1]國際公開第2005/008755號冊子
本發明之目的在提供一種可控制形成於基板之膜厚度的均一性之基板處理裝置及基板處理方法。
為達成上述目的,本發明之基板處理裝置,其具備:處理基板的處理室;從基板之外周側對收容於該處理室內之基板進行光加熱之加熱裝置;藉由使流體流動於該加熱裝置進行光加熱之基板的外周附近,以冷卻基板之外周側的冷卻裝置;感測該處理室內之溫度的溫度感測部;及加熱控制部,係根據該溫度感測部所感測之溫度,一面將該基板之中心部的溫度維持在指定溫度,一面以在該中心部與該基板之端部設置溫度差的方式來控制該加熱裝置及該冷卻裝置。
[本發明之背景]
為了有助於本發明之理解,在實施形態之說明前,首先說明開發完成本發明之背景。
[半導體處理裝置1]
第1圖為可應用本發明之半導體處理裝置1的全體構成的示意圖。
第2圖為第1圖所示之晶舟14及收容有晶圓12之狀態的處理室3的例示圖。
第3圖為第1,2圖所示處理室3之周邊構成部分及對處理室3進行控制之第1控制程式40的構成的示意圖。
半導體處理裝置1係例如用以處理半導體等之基板的所謂減壓CVD裝置。
如第1圖所示,半導體處理裝置1係由晶圓匣授受單元100、設於晶圓匣授受單元100之背面側的晶圓匣暫存盒102、設於晶圓匣暫存盒102上方之緩衝晶圓匣暫存盒104、設於晶圓匣暫存盒102之背面側的晶圓移動機106、設於晶圓移動機106之背面側而用以運送設定有晶圓12之晶舟14的晶舟昇降器108、設於晶圓移動機106之上方的處理室3、及控制部2所構成。
[處理室3]
如第2圖所示,第1圖所示處理室3係由中空之加熱器32、外管(outer tube)360、內管(inner tube)362、氣體導入噴嘴340、爐口蓋344、排氣管346、旋轉軸348、例如由不鏽鋼構成之集氣管350、O型環351、冷卻氣體通道352、排氣通道354、排氣部355及處理氣體流量控制裝置等其他構成部分(參照第3圖容待後述)所構成,其側部係由隔熱材料300-1所被覆,而上部係由隔熱材料300-2所被覆。
另外,在晶舟14之下部設有複數塊隔熱板140。
外管360係由可透光之例如石英所構成,其形成為下部具有開口之圓筒狀的形態。
內管362係由可透光之例如石英所構成,其形成為圓筒狀的形態,且與外管同心圓狀地配置於外管360之內側。
因此,在外管360與內管362之間形成有圓筒狀的空間。
加熱器32包含:熱電偶等之外部溫度感測器322-1~322-4,係配設於可對各個加熱器進行溫度設定及調節的4個溫度調節部分(U,CU,CL,L)320-1~320-4與外管360之間;及熱電偶等之內部溫度感測器(爐內TC)324-1~324-4,係對應於溫度調整部分320-1~320-4而配設於外管360內。
內部溫度感測器324-1~324-4係可設於內管362之內側,亦可設於內管362與外管360之間,亦可設成為在各溫度調整部分320-1~320-4被分別彎曲,以感測晶圓12與晶圓12之間的晶圓中心部的溫度。
加熱器32之各溫度調整部分320-1~320-4,係自外管360之周圍放射例如用以光加熱晶圓12的光,藉由透過外管360而吸收於晶圓12之光線來昇溫(加熱)晶圓12。
冷卻氣體通道352係形成於隔熱材料300-1與外管360之間而使冷卻氣體等之流體通過,朝向外管360之上方,使設於隔熱材料300-1之下端部的吸氣孔353所供給之冷卻氣體通過。
冷卻氣體例如係空氣或氮氣(N2 )等。
另外,冷卻氣體通道352係自溫度調整部分320-1~320-4的各個之間,朝向外管360吹出冷卻氣體。
冷卻氣體係用以冷卻外管360,被冷卻後之外管360係自周方向(外周側)冷卻設定於晶舟14上的晶圓12。
藉由通過冷卻氣體通道352之冷卻氣體,可從周方向(外周側)來冷卻外管360、及設定於晶舟14上的晶圓12。
在冷卻氣體通道352之上方設有排氣通道354。排氣通道354係將自吸氣孔353所供給而朝向上方通過冷卻氣體通道352的冷卻氣體,朝隔熱材料300-2之外側引導。
另外,在排氣通道354上設有用以排放冷卻氣體之排氣部355。
排氣部355具有鼓風機等之冷卻氣體排氣裝置356及散熱器357,將由排氣通道354導向隔熱材料300-2之外側的冷卻氣體,自排氣孔358排出。
散熱器357係藉由冷卻水等,將在處理室3內冷卻外管360及晶圓12而昇溫之冷卻氣體加以冷卻。
又,在吸氣孔353及散熱器357之附近分別設有閘門359,藉由未圖示之閘門控制部,控制冷卻氣體通道352及排氣通道354的開閉。
另外,如第3圖所示,在處理室3上附設有溫度控制裝置370、溫度測定裝置372、處理氣體流量控制裝置(質量流控制器:MFC)374、晶舟昇降器控制裝置(昇降器控制器:EC)376、壓力感測器(PS)378、壓力調整裝置(APC:Auto Pressure Control(valve))380、處理氣體排氣裝置(EP)382及變頻器384。
溫度控制裝置370係依照控制部2的控制來分別驅動溫度調整部分320-1~320-4。
溫度測定裝置372係感測各溫度感測器322-1~322-4及324-1~324-4的溫度,並作為溫度測定值而輸出至控制部2。
晶舟昇降器控制裝置(EC)376係依照來自控制部2之控制來驅動晶舟昇降器108。
壓力調整裝置(以下稱為APC)380,例如係使用APC、N2鎮流控制器等。
另外,EP382例如係使用真空泵等。
變頻器384係控制冷卻氣體排氣裝置356之鼓風機的轉速。
[控制部2]
第4圖為第1圖所示之控制部2的構成的示意圖。
如第4圖所示,控制部2係由CPU200、記憶體204、包含顯示裝置、觸控面板及鍵盤‧滑鼠等之顯示輸入部22、及HD‧CD等之記錄部24所構成。
亦即,控制部2係包含可控制半導體處理裝置1之一般電腦的構成部分。
控制部2係藉由該些構成部分,執行減壓CVD處理用之控制程式(例如,第3圖所示之控制程式40),以控制半導體處理裝置1之各構成部分,對半導體晶圓12執行以下所述之減壓CVD處理。
[第1控制程式40]
再參照第3圖。
如第3圖所示,控制程式40係由製程控制部400、溫度控制部410、處理氣體流量控制部412、驅動控制部414、壓力控制部416、處理氣體排氣裝置控制部418、溫度測定部420、冷卻氣體流量控制部422、及溫度設定值記憶部424所構成。
控制程式40例如係介由記錄媒體240(第4圖)而供給於控制部2,並下載於記憶體204而被執行。
溫度設定值記憶部424係記憶對於晶圓12之處理方法的溫度設定值,並輸出到製程控制部400。
製程控制部400係根據使用者對於控制部2之顯示輸入部22(第4圖)的操作、或是記錄於記錄部24之處理步驟(處理方法)等,對控制程式40之各構成部分進行控制,如後述,而執行對晶圓12之減壓CVD處理。
溫度控制部420係介由溫度測定裝置372而接受溫度感測器322,324之溫度測定值,並輸出到製程控制部400。
溫度控制部410係自製程控制部400接受溫度設定值及溫度感測器322,324之溫度測定值,並對溫度調整部分320供給之電力進行回饋控制,以加熱外管360之內部,而使晶圓12達到所需之溫度。
處理氣體流量控制部412係控制MFC374,以調整供給於外管360內部之處理氣體或惰性氣體的流量。
驅動控制部414係控制晶舟昇降器108,以進行晶舟14及保持於該晶舟14上的晶圓12的昇降。
另外,驅動控制部414係控制晶舟昇降器108,介由旋轉軸348而使晶舟14及保持於該晶舟14上的晶圓12旋轉。
壓力控制部416係PS378接受自外管360內之處理氣體的壓力測定值,對APC380進行控制,以使外管360內部之處理氣體成為所需的壓力。
處理氣體排氣裝置控制部418係控制EP382,以排出外管360內部之處理氣體或惰性氣體。
冷卻氣體流量控制部422係介由變頻器384以控制冷卻氣體排氣裝置356所排出之冷卻氣體的流量。
又,在以下之說明中,在未特定地顯示溫度調整部分320-1~320-4等具有複數構成部分的任一個的情況,只簡單記為溫度調整部分320。
另外,在以下之說明中,雖有顯示溫度調整部分320-1~320-4等之構成部分的個數的情況,但構成部分之個數係為了說明上的具體化及明確化而例示者,其並不是意圖限定本發明之技術範圍而列舉者。
在外管360下端與集氣管350之上部開口部之間、及爐口蓋344與集氣管350之下部開口部之間,配設有O形環351,使外管360與集氣管350之間被氣密性地密封。
惰性氣體或處理氣體係介由位於外管360下方之氣體導入噴嘴340,而導入外管360內。
在集氣管350上部安裝有連接於PS378、APC380及EP382的排氣管346(第2圖)。
流動於外管360與內管362之間的處理氣體,係介由排氣管346、APC380及EP382而排出於外部。
APC380係藉由依PS378之外管360內的壓力測定值的控制,以外管360之內部成為預定的所需壓力的方式,根據壓力控制部416之指示進行調整。
亦即,APC380係在欲使外管360內為常壓之方式導入惰性氣體時,以外管360內成為常壓之方式,根據壓力控制部416之指示進行調整,或是在將外管360內設為低壓,而欲導入用以處理晶圓12之處理氣體時,以外管360內成為所需之低壓力的方式,根據壓力控制部416之指示進行調整。
保持多數片之半導體基板(晶圓)12的晶舟14,係連結有晶舟14之下部旋轉軸348。
另外,旋轉軸348係連結於晶舟昇降器108(第1圖),晶舟昇降器108係根據介由EC376之控制,而以指定之速度使晶舟14昇降。
另外,晶舟昇降器108係介由旋轉軸348,而以指定之速度使晶圓12及晶舟14旋轉。
被處理物之晶圓12,係在裝填於晶圓匣盒490(第1圖)之狀態下被運送,而運載到晶圓匣授受單元100。
晶圓匣授受單元100係將該晶圓12移載於晶圓匣暫存盒102或緩衝晶圓匣暫存盒104。
晶圓移動機106係自晶圓匣暫存盒102取出晶圓12,以水平狀態多段地裝填於晶舟14上。
晶舟昇降器108係使裝填有晶圓12之晶舟14上昇而導向處理室3內。
另外,晶舟昇降器108係將裝填有已完成處理之晶圓12之晶舟14下降而自處理室3內取出。
[晶圓12之溫度及膜厚]
第5圖係作為半導體處理裝置1(第1圖)之處理對象的晶圓12的形狀的例示圖。
晶圓12之面(以下,只將晶圓12之面均簡記為晶圓12)作成第5圖所示之形狀,並水平地保持在晶舟14上。
另外,晶圓12係藉由溫度調整部分320-1~320-4放射而透過外管360之光,自外管360的周圍被加熱。
因此,晶圓12之端部吸收大量之光線,在冷卻氣體未流通於冷卻氣體通道352之情況,晶圓12表面之端部的溫度係比中心部的溫度更高。
即,藉由溫度調整部分320-1~320-4,在晶圓12上產生若越接近於晶圓12之外周則溫度越高,而若越接近於中心部則溫度越低之所謂自晶圓12之端部朝向中心部的逆錐形狀的溫度偏差。
另外,因為自晶圓12之外周側亦供給反應氣體等之處理氣體,所以,根據形成於晶圓12之膜的種類,會在晶圓12之端部與中心部具有反應速度不同的情況。
例如,反應氣體等之處理氣體係在晶圓12的端部被消耗,然後移至晶圓12之中心部,所以在晶圓12之中心部與晶圓12的端部比較,處理氣體之濃度降低。
因此,即使假定在晶圓12的端部與中心部之間未產生溫度偏差時,形成於晶圓12之膜的厚度,仍有在端部及中心部由來自晶圓12之外周側的反應氣體之供給所引起之不均勻的情況。
另一方面,當冷卻氣體通過冷卻氣體通道352時,如上述,從周方向(外周側)將外管360及設定於晶舟14上的晶圓12冷卻。
亦即,處理室3係藉由溫度調整部分320將晶圓12之中心部的溫度加熱至指定的設定溫度(處理溫度),藉由使冷卻氣體通過冷卻氣體通道352而冷卻晶圓12之外周側,可分別對晶圓12之中心部及端部設定不同的溫度。
如此,為了在晶圓12上形成均勻之膜,需要因應於在晶圓12上形成膜之反應速度等,進行調整膜厚用之加熱控制(包含加熱及冷卻之控制等)。
第6圖為對於晶舟14及收容有晶圓12之處理室3,設置L型溫度感測器(L型TC)390之狀態的例示圖。
控制部2亦可使用內部溫度感測器324之溫度測定值,例如計算出晶圓12之端部溫度及中心部溫度(對基板面內位置之溫度),以控制溫度調整部分320、及通過冷卻氣體通道352之冷卻氣體的流量。
內部溫度感測器324係設於晶舟14與內管362之間。
又,內部溫度感測器324亦可設於內管362與外管360之間。
在使用內部溫度感測器324之溫度測定值,計算晶圓12之端部及中心部的溫度的情況,例如,需要使用實際之晶圓12的端部溫度、中心部溫度、及冷卻氣體通過冷卻氣體通道352而引起之內部溫度感測器324的溫度測定值的變化,以修正冷卻氣體通過冷卻氣體通道352而引起之內部溫度感測器324的溫度測定值的變化。
為了測定與內部溫度感測器324大致同高之晶圓12的中心部附近的溫度,L型之溫度感測器390,例如,係複數處形成為L字狀的熱電偶,用以對控制部2輸出溫度測定值。
另外,L型之溫度感測器390係形成為在半導體處理裝置1開始晶圓12之處理以前,在複數處測定晶圓12的中心部附近的溫度,而在半導體處理裝置1進行晶圓12之處理時予以拆除。
又,L型之溫度感測器390係將接頭介插於爐口蓋344而氣密性地將爐口蓋344密封。
將該L型之溫度感測器390的感測溫度,視為晶圓12之中心部的溫度,再將內部溫度感測器324感測之溫度,視為晶圓12之端部的溫度,而進行溫度控制。
另外,將L型之溫度感測器390的感測溫度與內部溫度感測器324所感測之溫度的差,視為是晶圓面內溫度偏差,而進行溫度控制。
第7圖為顯示每個在基板面內位置修正藉由使冷卻氣體通過冷卻氣體通道352而變化之內部溫度感測器324的溫度測定值之方法的流程圖(S10)。
如第7圖所示,在步驟100(S100),控制部2不進行藉由冷卻氣體之冷卻,而進行溫度控制,以使L型之溫度感測器390之感測溫度與指定之設定溫度(處理溫度)一致。
然後,取得L型之溫度感測器390所感測之溫度與指定之設定溫度(處理溫度)一致時,內部溫度感測器(爐內TC)324對指定之設定溫度(處理溫度)的感測結果。
在步驟102(S102),控制部2係一面使通過冷卻氣體通道352之冷卻氣體的流量(亦即,冷卻氣體排氣裝置356所排氣之冷卻氣體的流量)為一定流量,一面進行溫度控制以使L型之溫度感測器390所感測之溫度與指定之設定溫度(處理溫度)一致。
然後,取得L型之溫度感測器390所感測之溫度與指定之設定溫度(處理溫度)一致時內部溫度感測器(爐內TC)324對指定之設定溫度(處理溫度)的感測結果。
再使通過冷卻氣體通道352之冷卻氣體流量變化,並一面使已變化之流量控制為一定流量,一面進行溫度控制以使L型之溫度感測器390所感測之溫度與指定之設定溫度(處理溫度)一致。
然後,取得L型之溫度感測器390所感測之溫度與指定之設定溫度(處理溫度)一致時內部溫度感測器(爐內TC)324對指定之設定溫度(處理溫度)的感測結果。
控制部2係以使通過冷卻氣體通道352之冷卻氣體流量、指定之設定溫度(L型之溫度感測器390所感測之溫度)及內部溫度感測器324的感測溫度的關係變得明確的方式,依指定次數反覆進行步驟202(S202)。
在步驟104(S104),控制部2係分別計算出設定成指定溫度(L型之溫度感測器390所感測之溫度)時的內部溫度感測器324對於冷卻氣體流量的溫度修正值(對應於根據冷卻氣體之溫度測定值的變化的值)。
在步驟106(S106),控制部2係使S204之處理所計算出的溫度修正值分別與冷卻氣體流量對應,作為指定之設定溫度(處理溫度)時的相關關係,例如作為追加資訊而記憶於溫度設定值記憶部424等。
接著,控制部2係在處理晶圓12之情況,拆下L型之溫度感測器390,藉由使用在S106之處理所記憶的溫度修正值,以修正內部溫度感測器324的溫度測定值,設定為通過對應於此溫度修正值之冷卻氣體通道352的冷卻氣體流量(亦即,冷卻氣體排氣裝置356所排出之冷卻氣體的流量),並控制溫度調整部分320之溫度,以使修正後之內部溫度感測器324的溫度測定值與所需設定溫度(處理溫度)一致。
又,雖然L型之溫度感測器390係以可在複數個地點測定晶圓12之中心部附近的溫度的方式,作為複數處被形成為L字狀的熱電偶而說明,但是例如亦可作成一處被形成為L字狀的熱電偶,在一處可測定晶圓12之中心部附近的溫度,以此而求得內部溫度感測器324的溫度修正值與冷卻氣體流量的關係。
另外,L型之溫度感測器390之設置個數,亦可作成與內部溫度感測器324不同的個數。
又,亦可取代L型之溫度感測器390,而使用在晶圓中心部埋入熱電偶之類型的溫度感測器。
[根據半導體處理裝置1之減壓CVD處理的概要]
半導體處理裝置1係藉由在控制部2(第1,4圖)上所執行之控制程式40(第3圖)的控制,對以指定之間隔並列於處理室3內的半導體晶圓12,藉由CVD處理進行Si3N4膜、SiO2膜及聚矽(Poly-Si)膜等之形成。
進一步說明使用處理室3之膜形成。
首先,晶舟昇降器108使晶舟14下降。
在已下降之晶舟14上設定有所需片數之成為處理對象物的晶圓12,晶舟14係用以保持所設定之晶圓12。
其次,加熱器32之4個溫度調節部分320-1~320-4之各個,係根據設定來加熱外管360內部,並加熱到使晶圓12之中心部成為預先設定之指定溫度。
另一方面,根據設定使冷卻氣體流動於冷卻氣體通道352,而從周方向(外周側)冷卻外管360及設定於晶舟14上之晶圓12。
然後,MFC374介由氣體導入噴嘴340(第2圖),調節導入之氣體流量,將惰性氣體導入、充填於外管360內。
晶舟昇降器108使晶舟14上昇,並移動於充填有所需處理溫度之惰性氣體的狀態之外管360內。
然後,外管360內之惰性氣體藉由EP382而進行排氣,以使外管360內形成真空狀態,而晶舟14及保持於該晶舟14上之晶圓12,介由旋轉軸348進行旋轉。
在此狀態下,當介由氣體導入噴嘴340而將處理氣體導入外管360內時,導入之處理氣體,在外管360內上昇而均勻地供給晶圓12。
EP382係自減壓CVD處理中的外管360內介由排氣管346排出處理氣體,而APC380係將外管360內之處理氣體達到所需的壓力。
如上述,依指定時間對晶圓12執行減壓CVD處理。
當減壓CVD處理結束時,移至下一個欲對晶圓12進行的處理,而外管360內部之處理氣體係由惰性氣體所取代更作成為常壓。
又,冷卻氣體流動於冷卻氣體通道352內,在外管360到指定溫度之前持續被冷卻。
在此狀態下,晶舟14及保持於此晶舟14上之完成處理的晶圓12,藉由晶舟昇降器108而下降,並從外管360內取出。
晶舟昇降器108其次使保持有成為減壓CVD處理對象之晶圓12的晶舟14上昇,並設定於外管360內。
對於如此般設定之晶圓12執行減壓CVD處理。
又,若冷卻氣體從晶圓12之處理前至處理結束的期間流動的話,雖可控制膜厚,但在使設定有晶圓12之晶舟14移動於外管360內的情況、及自外管360內取出晶舟14的情況,亦可流動為宜。
藉此,可防止因處理室3之熱容量,而使熱充滿於處理室3內而造成溫度變動的情況,故可提高生產力。
[膜厚之均勻性的控制例]
第8圖為顯示半導體處理裝置1在晶圓12等之基板上形成膜時所設定的溫度與膜厚之關係的實施例之曲線圖,(A)為顯示對於基板面內位置之設定溫度的實施例之曲線圖,(B)為顯示因應於(A)所示之設定溫度而形成的膜厚之曲線圖。
第9圖為顯示半導體處理裝置1在晶圓12等之基板上形成膜時所設定的溫度與膜厚之關係的比較例之曲線圖,(A)為顯示對於基板面內位置之設定溫度的比較例之曲線圖,(B)為顯示因應於(A)所示之設定溫度而形成的膜厚之曲線圖。
如第8(A)圖所示,當控制部2控制溫度調整部分320之溫度及通過冷卻氣體通道352之冷卻氣體流量,以使基板之中心部成為指定之設定溫度(處理溫度)且基板之端部成為比處理溫度更低時,如第8(B)圖所示,可使形成於基板之膜厚在基板之中心部及端部成為大致均勻。
例如,當以與基板溫度比較而降低外管360之溫度的方式使冷卻氣體流動時,基板端部之溫度比基板之中心部的溫度更降低。
另一方面,如第9(A)圖所示,當控制部2控制溫度調整部分320之溫度等(例如,不使冷卻氣體通過冷卻氣體通道352),以使基板之中心部及端部成為指定之設定溫度(處理溫度)時,如第9(B)圖所示,形成於基板之端部的膜厚係比形成於基板之中心部的膜厚更厚。
例如,當以基板溫度與外管360之溫度成為相同溫度的方式使冷卻氣體流動時,則基板端部之中心部的溫度與基板之端部的溫度相同。
具體而言,自例如溫度設定值記憶部424內的資料之中選擇一個條件,並利用該選出之條件,控制部2介由溫度控制部410,一面控制加熱器32的溫度調整部分320,一面介由冷卻氣體流量控制部422及變頻器384,以控制冷卻氣體排氣裝置356,其中,溫度設定值記憶部424係分別與冷卻氣體流量對應地記憶有設定成如第6圖所示預先求得之指定的設定溫度(處理溫度)時之內部溫度感測器324的溫度修正值。
亦即,於內部溫度感測器324的測定溫度設定溫度修正值,設定對應於此溫度修正值之冷卻氣體流量,並根據修正後之內部溫度感測器324的測定溫度,一面加熱控制加熱器32的溫度調整部分320,一面對應於設定後之冷卻氣體流量以控制冷卻氣體排氣裝置356所排放之冷卻氣體的流量。
在此控制狀態下,在外管360內,一面使晶舟14及保持於晶舟14上之晶圓12旋轉,一面介由氣體導入噴嘴340將處理氣體導入外管360內而對晶圓12進行指定膜厚之膜的形成處理。
然後,自外管360內取出處理後之晶圓12。在取出晶圓12之後,測定處理後之晶圓12的膜厚,以確認基板面內(晶圓12之面內)的膜厚是否成為均勻。
若基板面內之中形成於基板端部之膜的厚度比形成於基板之中心部的膜之厚度更厚的話,自例如溫度設定值記憶部424內的資料之中,更從前面選出之一個條件,選擇與溫度修正值大之值對應的冷卻氣體流量,並利用此選出之條件再次對於晶圓12進行指定膜厚之膜的形成處理,其中,溫度設定值記憶部424係分別與冷卻氣體流量對應地記憶有設定成如第6圖所示預先求得之指定的設定溫度(處理溫度)時之內部溫度感測器324的溫度修正值。
另一方面,若基板面內之中形成於基板端部的膜之厚度比形成於基板之中心部的膜之厚度更薄的話,自例如溫度設定值記憶部424內的資料之中,從前面選出之一個條件,再選擇與溫度修正值小之值對應的冷卻氣體流量,並利用該選出之條件再次對於該晶圓12進行指定膜厚之膜的形成處理,其中,溫度設定值記憶部424係分別與冷卻氣體流量對應地記憶有設定成如第6圖所示預先求得之指定的設定溫度(處理溫度)時之內部溫度感測器324的溫度修正值。
在基板面內之膜厚依所需膜厚而達到均勻之前,實際上反覆地對晶圓12進行成膜處理,藉以進行微調整。
另外,此時,使用在對應於溫度調整部分320-1~320-4之內部溫度感測器324-1~324-4的各個上加上溫度修正值的值、及對應於此溫度修正值之冷卻氣體流量進行加熱控制,不僅僅是基板面內膜厚之均勻性,而且基板面間膜厚(保持於晶舟14上之複數片的晶圓間的膜厚)之均勻性的調整亦可進行。
又,在例如溫度設定值記憶部424內的資料之中無所需之條件的情況,亦可在以該資料為參考而直接變更內部溫度感測器324之溫度修正值及冷卻氣體流量的條件下,進行微調整而迄至指定膜厚成為均勻為止,其中,溫度設定值記憶部424係分別與冷卻氣體流量對應地記憶有設定成如第6圖所示預先求得之指定的設定溫度(處理溫度)時之內部溫度感測器324的溫度修正值。
此時,在將L型之溫度感測器390在爐口蓋介插接頭而氣密性地將爐口蓋344密封之狀態下,亦可不使晶舟14及保持於該晶舟14上之晶圓12旋轉,而在以L型之溫度感測器390感測之溫度成為指定之設定溫度(處理溫度)的方式,直接變更內部溫度感測器324之溫度修正值及冷卻氣體流量的條件下,迄至指定膜厚成為均勻之前進行微調整。
在完成微調整之後,以膜厚均勻性變良好而求得之內部溫度感測器324之溫度修正值及冷卻氣體流量進行設定,並根據修正後之內部溫度感測器324的測定溫度,一面加熱控制加熱器32的溫度調整部分320,一面由對應於設定後之冷卻氣體流量的冷卻氣體排氣裝置356控制冷卻氣體的流量。
在此控制狀態下,在外管360內,一面使晶舟14及保持於晶舟14上之晶圓12旋轉,一面介由氣體導入噴嘴340將處理氣體導入外管360內而對晶圓12進行指定膜厚之膜的形成處理。
如此,加熱器32係一面進行控制而使晶圓12之中心部溫度依設定溫度而維持於一定溫度,一面藉由冷卻氣體進行溫度控制而於晶圓12之端部(周緣)溫度與中心部溫度上設有溫度差,藉以在不改變膜質之下而可提高晶圓12之面內膜厚均勻性,進而可提高面間之膜厚均勻性。
例如,在對Si3N4膜等之CVD膜進行成膜的情況,當一面變動處理溫度,一面進行成膜處理時,膜之折射率會依處理溫度而變動,或是,當一面使處理溫度自高溫朝低溫下降一面進行成膜處理時,會從蝕刻率低之膜朝高之膜而依處理溫度產生變化。
在Si3N4膜之生成中,當一面使處理溫度自高溫朝低溫下降一面進行成膜處理時,會從應力值高之膜朝低之膜而依處理溫度產生變化。
因此,半導體處理裝置1係藉由控制部2來控制溫度調整部分320的溫度、及通過冷卻氣體通道352之冷卻氣體流量,而控制外管360的溫度,並控制晶圓12等之基板的面內溫度,以獲得一面能防止膜質之變化,一面可控制形成於基板上之膜的厚度的均勻性之優良效果。
[處理室3之第1變化例]
其次,說明處理室3之變化例。
第10圖為處理室3之第1變化例的示意圖。
又,在處理室3之第1變化例中,對於實質上與構成第2圖所示處理室3之部分相同的部分,賦予相同之元件符號。
如第10圖所示,處理室3之第1變化例,係於排氣通道354分別介由閘門394,395而設有排氣量(總流量)相異之鼓風機等的冷卻氣體排氣裝置392,393。
冷卻氣體排氣裝置392,393係分別通過變頻器396-1,396-2,而藉由控制部2來個別地控制轉速。
另外,處理室3之第1變化例係藉由控制部2而個別地控制變頻器396-1,396-2及閘門394,395,以微細地控制通過冷卻氣體通道352之冷卻氣體流量。
又,處理室3之第1變化例,亦可利用減小自排氣通道354迄至冷卻氣體排氣裝置392,393的熱傳導係數,或設置氣流調節器397等,以控制冷卻氣體的流量。
因此,處理室3之第1變化例,係可微細地控制通過冷卻氣體通道352之冷卻氣體流量,所以,可微細地控制外管360及晶圓12之外周側的冷卻,故可微細地控制形成於晶圓12上之膜的厚度均勻性。
[處理室3之第2變化例]
第11圖為處理室3之第2變化例的示意圖。
又,在處理室3之第2變化例中,對於實質上與構成第2圖所示處理室3之部分相同的部分,賦予相同之元件符號。
如第11圖所示,處理室3之第2變化例,係於外管360與溫度調整部分320之間具有配管398。
藉由例如未圖示之鼓風機(排氣裝置)等而形成使冷卻氣體流動於配管398。
即,處理室3之第2變化例,係藉由使冷卻氣體流動於配管398內,而冷卻外管360及晶圓12之外周側,以控制形成於晶圓12上之膜的厚度均勻性。
又,處理室3之第2變化例,亦可為個別地控制通過配管398及冷卻氣體通道352之冷卻氣體流量,亦可根據通過配管398之冷卻氣體流量,而控制形成於晶圓12上之膜的厚度均勻性。
[處理室3之第3變化例]
第12圖為處理室3之第3變化例的示意圖。
又,在處理室3之第2變化例中,對於實質上與構成第2圖所示處理室3之部分相同的部分,賦予相同之元件符號。
如第12圖所示,處理室3之第3變化例,係將外管360形成為中空構造,而於外管360形成有冷卻氣體通道399。
藉由例如未圖示之鼓風機(排氣裝置)等而形成使冷卻氣體流動於冷卻氣體通道399。
即,處理室3之第3變化例,係藉由使冷卻氣體流動於冷卻氣體通道399內,而冷卻晶圓12之外周側,以控制形成於晶圓12上之膜的厚度均勻性。
又,本發明並不限定於上述實施形態,只要未超出其要旨的範圍,即可作種種的變化。例如,半導體處理裝置1亦可藉由吸氣裝置來控制冷卻氣體流量,亦可為根據質量流之控制者。
另外,加熱裝置只要為光加熱之類型即可,例如、可為電阻加熱方式,亦可為燈管加熱方式。
另外,半導體處理裝置1亦可取代冷卻氣體而藉由使如水等之液體流動,而冷卻外管360及晶圓12之外周側。
如上述,本發明雖具有申請專利範圍所記載之事項,但亦包含如下的實施形態。
(1)該冷卻裝置具備:設於該處理室之外周側,用以流通冷卻氣體之冷卻氣體通道;可排氣地引導流通於該冷卻氣體通道內之冷卻氣體的排氣通道;及設於該排氣通道上,用以排放冷卻氣體之排氣部。
(2)該排氣部具備排氣量相異之複數個排氣裝置,該加熱控制部係個別地控制該複數個排氣裝置。
(3)本發明之基板處理方法,其包含有:藉由加熱裝置從基板之外周側對收容於處理室內之基板進行光加熱之步驟;藉由使流體流動於基板的外周附近之冷卻裝置,以冷卻基板之外周側的步驟;感測該處理室內之溫度的步驟;及根據感測到之溫度,來控制該加熱裝置及該冷卻裝置的步驟。
(4)本發明之基板處理方法,其包含有:藉由加熱裝置從基板之外周側對收容於處理室內之基板進行光加熱之步驟;藉由使冷卻氣體流通於基板的外周附近,以冷卻基板之外周側的步驟;藉由排氣量相異之複數個排氣裝置,以排放冷卻氣體之步驟;感測該處理室內之溫度的步驟;及根據感測到之溫度,來個別地控制該加熱裝置及該複數個排氣裝置的步驟。
(5)如申請專利範圍第(1)至(3)項中任一項之基板處理裝置,其中該加熱裝置係以基板之中心部成為指定溫度之設定溫度的方式對基板進行光加熱。
(6)如申請專利範圍第(5)項之基板處理裝置,其中具備:分別取得基板之中心部及外周側的溫度之溫度取得部;在該加熱裝置加熱基板之期間使該冷卻裝置所流動之流體的量變化的情況,取得設定溫度及流體的流量、與基板之中心部及外周側之溫度偏差的相關關係的相關關係取得部;及根據該相關關係取得部所取得之相關關係,而修正該加熱部之設定溫度的設定溫度修正部。
(7)如申請專利範圍第(6)項之基板處理裝置,其中該相關關係取得部係在該加熱裝置加熱基板之期間使該冷卻裝置所流動之流體的量變化的情況,取得設定溫度及流體的流量、與該溫度感測部所感測到之溫度的相關關係;而該設定溫度修正部係根據該相關關係取得部所取得之相關關係,而修正該加熱裝置之設定溫度。
(8)如申請專利範圍第(4)或(5)項之基板處理裝置,其中該加熱裝置係以基板之中心部成為指定溫度之設定溫度的方式對基板進行光加熱。
(9)本發明之基板處理方法,其包含有:將基板收容於處理室內之步驟;藉由加熱裝置從基板之外周側對收容於處理室內之基板進行光加熱之步驟;藉由使流體流動於基板的外周附近,以冷卻基板之外周側的步驟;感測該處理室內之溫度的步驟;根據感測到之溫度,來控制該加熱裝置及該冷卻裝置的步驟;及將基板運送至處理室外的步驟。
(產業上之可利用性)
如上述,本發明係可利用於控制形成於基板上之膜的厚度均勻性的基板處理裝置。
1...半導體處理裝置
2...控制部
3...處理室
12...晶圓
14...晶舟
22...顯示輸入部
24...記錄部
32...加熱器
40...控制程式
100...晶圓匣授受單元
102...晶圓匣暫存盒
104...緩衝晶圓匣暫存盒
106...的晶圓移動機
108...晶舟昇降器
140...隔熱板
200...CPU
204...記憶體
240‧‧‧記錄媒體
300-1,300-2‧‧‧隔熱材料
320‧‧‧溫度調節部分
322,324‧‧‧溫度感測器
340‧‧‧氣體導入噴嘴
344‧‧‧爐口蓋
346‧‧‧排氣管
348‧‧‧旋轉軸
350‧‧‧集氣管
351‧‧‧O型環
352‧‧‧冷卻氣體通道
354‧‧‧排氣通道
355‧‧‧排氣部
356‧‧‧冷卻氣體排氣裝置
357‧‧‧散熱器
358‧‧‧排氣孔
359‧‧‧閘門
360‧‧‧外管
362‧‧‧內管
370‧‧‧溫度控制裝置
372‧‧‧溫度測定裝置
374‧‧‧處理氣體流量控制裝置(MFC)
376‧‧‧晶舟昇降控制裝置(EC)
378...壓力感測器(PS)
380...壓力調整裝置(APC)
382...處理氣體排氣裝置(EP)
384...變頻器
390...L型溫度感測器(L型TC)
392,393...冷卻氣體排氣裝置
394,395...閘門
396-1,396-2...變頻器
398...配管
399...冷卻氣體通道
400...製程控制部
410...溫度控制部
412...處理氣體流量控制部
414...驅動控制部
416...壓力控制部
418...處理氣體排氣裝置控制部
420...溫度測定部
422...冷卻氣體流量控制部
424...溫度設定值記憶部
490...晶圓匣盒
第1圖為可應用本發明之半導體處理裝置的全體構成的示意圖。
第2圖為第1圖所示之晶舟及收容有晶圓之狀態的處理室的例示圖。
第3圖為第1,2圖所示處理室之周邊構成部分及對於處理室進行控制之第1控制程式的構成的示意圖。
第4圖為第1圖所示之控制部的構成的示意圖。
第5圖為作為半導體處理裝置之處理對象的晶圓的形狀的例示圖。
第6圖為對於晶舟及收容有晶圓之處理室,設置L型溫度感測器(L型TC)之狀態的例示圖。
第7圖為顯示在基板面內位置修正藉由使冷卻氣體通過冷卻氣體通道而變化之內部溫度感測器的溫度測定值之方法的流程圖。
第8圖為顯示半導體處理裝置在晶圓等之基板上形成膜時所設定的溫度與膜厚之關係的實施例之曲線圖,(A)為顯示對於基板面內位置之設定溫度的實施例之曲線圖,(B)為顯示因應於(A)所示之設定溫度而形成的膜厚之曲線圖。
第9圖為顯示半導體處理裝置在晶圓等之基板上形成膜時所設定的溫度與膜厚之關係的比較例之曲線圖,(A)為顯示對於基板面內位置之設定溫度的比較例之曲線圖,(B)為顯示因應於(A)所示之設定溫度而形成的膜厚之曲線圖。
第10圖為處理室之第1變化例的示意圖。
第11圖為處理室之第2變化例的示意圖。
第12圖為處理室之第3變化例的示意圖。
12...晶圓
14...晶舟
32...加熱器
108...晶舟昇降器
140...隔熱板
300-1,300-2...隔熱材料
320-1~320-4...溫度調節部分
322-1~322-4,324-1~324-4...溫度感測器
340...氣體導入噴嘴
344...爐口蓋
346...排氣管
348...旋轉軸
350...集氣管
351...O型環
352...冷卻氣體通道
353...吸氣孔
354...排氣通道
355...排氣部
356...冷卻氣體排氣裝置
357...散熱器
358...排氣孔
359...閘門
360...外管
362...內管
384...變頻器

Claims (16)

  1. 一種基板處理方法,係在處理室處理基板,具有:將基板收容至處理室之步驟;及根據加熱裝置之設定溫度、冷卻裝置供給之流體的流量、經收容在前述處理室內之基板中心側的溫度與該基板外周側的溫度偏差的相關關係,在前述加熱裝置以經修正之前述設定溫度從經收容在前述處理室內之基板外周側加熱,同時前述冷卻裝置以根據前述相關關係之流量而將流體供給至前述處理室的外側來將基板外周側冷卻的狀態下,在前述處理室處理前述基板之步驟。
  2. 如申請專利範圍第1項之基板處理方法,其中前述相關關係是藉由在前述加熱裝置加熱基板的期間使前述冷卻裝置供給之流體的量變化的情況下的前述設定溫度及前述流量、和前述溫度偏差的關係來取得。
  3. 如申請專利範圍第1項之基板處理方法,其中前述相關關係是藉由在前述加熱裝置加熱基板的期間使前述冷卻裝置供給之流體的量變化的情況下的前述設定溫度及前述流體的流量、和在前述處理室內所感測到之基板中心側與該基板外周側之溫度偏差的關係來取得。
  4. 如申請專利範圍第1項之基板處理方法,其中在前述基板處理中,具有將處理氣體導入前述處理室之步驟,至少在前述氣體導入步驟中,將前述加熱裝置之前述設定溫度維持在相同溫度。
  5. 如申請專利範圍第4項之基板處理方法,其中在前述氣體導入步驟,係在將前述基板中心側的溫度維持在一定之相同溫度,同時在前述基板中心側與前述基板外周側設有溫度差的狀態下進行。
  6. 如申請專利範圍第1項之基板處理方法,其中前述加熱裝置的設定溫度係在前述基板收容步驟之前預先予以修正。
  7. 一種形成膜之方法,係在處理室將膜形成在基板,該方法具有:將基板收容至處理室之步驟;及根據加熱裝置之設定溫度、冷卻裝置供給之流體的流量、及經收容在前述處理室內之基板中心側的溫度與該基板外周側的溫度偏差的相關關係,在前述加熱裝置以經修正之前述設定溫度從經收容在前述處理室內之基板外周側加熱,前述冷卻裝置以根據前述相關關係之流量而將流體供給至前述處理室外側來將基板端部冷卻的狀態下,將處理氣體導入前述處理室而在前述基板形成膜之步驟。
  8. 如申請專利範圍第7項之形成膜之方法,其中前述相關關係是藉由在前述加熱裝置加熱基板的期間使前述冷卻裝置供給之流體的量變化的情況下的前述設定溫度及前述流量、和前述溫度偏差的關係來取得。
  9. 如申請專利範圍第7項之形成膜之方法,其中前述相關關係是藉由在前述加熱裝置加熱基板的期間使前述冷卻裝置供給之流體的量變化的情況下的前述設定溫度及前述流體的流量、和在前述處理室內所感測到之基板中心側與該基板外周側之溫度偏差的關係來取得。
  10. 如申請專利範圍第7項之形成膜之方法,其中在前述形成膜之步驟中,至少將前述處理氣體導入,而在前述基板形成膜之期間中,將前述加熱裝置之前述設定溫度維持在相同的溫度。
  11. 如申請專利範圍第7項之形成膜之方法,其中在前述形成膜之步驟中,至少將前述處理氣體導入,而在前述基板形成膜之期間中,在將前述基板中心側的溫度維持在一定之相同溫度,同時在前述基板中心側與前述基板外周側設有溫度差的狀態下進行。
  12. 如申請專利範圍第7項之形成膜之方法,其中前述加熱裝置的設定溫度係在前述基板收容步驟之前預先予以修正。
  13. 一種形成膜之方法,係在處理室將膜形成在基板,在加熱裝置以經設定成維持在相同溫度之設定溫度,從經收容在處理室內之基板外周側加熱,將前述基板中心部的溫度維持在一定的處理溫度,同時前述冷卻裝置將流體供給至前述處理室外側而將基板端部冷卻的狀態下,將處理氣體導入前述處理室而在前述基板形成膜。
  14. 如申請專利範圍第13項之形成膜之方法,其中根據前述加熱裝置之設定溫度、前述流體的流量、及前述基板中心側與該基板外周側之溫度偏差的相關關係,預先設定前述設定溫度及前述冷卻裝置之流體供給量。
  15. 如申請專利範圍第14項之形成膜之方法,其中前述加熱裝置之設定溫度係根據前述設定溫度、前述流量、及經收容在前述處理室內之基板中心側的溫度與該基板外周側的溫度偏差的相關關係,預先予以修正。
  16. 一種基板處理裝置,具有:處理室,係處理基板;加熱裝置,係從基板外周側加熱經收容在前述處理室內之基板;冷卻裝置,係藉由使流體在前述加熱裝置加熱之基板的外周附近流動,來冷卻基板的外周側;及控制部,係依如下方式進行控制:根據加熱裝置之設定溫度、冷卻裝置供給之流體的流量、及經收容在前述處理室內之基板中心側的溫度與該基板外周側之溫度偏差的相關關係,在利用前述加熱裝置、以經修正之前述設定溫度從經收容在前述處理室內之基板外周側加熱,同時利用前述冷卻裝置、以根據前述相關關係的流量而將流體供給至前述處理室外側來將基板外周側冷卻的狀態下,在前述處理室處理前述基板。
TW100117140A 2006-03-07 2007-03-06 基板處理裝置及基板處理方法 TWI505366B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006061318 2006-03-07

Publications (2)

Publication Number Publication Date
TW201140699A TW201140699A (en) 2011-11-16
TWI505366B true TWI505366B (zh) 2015-10-21

Family

ID=38509267

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100117140A TWI505366B (zh) 2006-03-07 2007-03-06 基板處理裝置及基板處理方法
TW096107629A TWI349968B (en) 2006-03-07 2007-03-06 Substrate processing device and substrate processing method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW096107629A TWI349968B (en) 2006-03-07 2007-03-06 Substrate processing device and substrate processing method thereof

Country Status (5)

Country Link
US (2) US8501599B2 (zh)
JP (3) JP5153614B2 (zh)
KR (2) KR101003446B1 (zh)
TW (2) TWI505366B (zh)
WO (1) WO2007105431A1 (zh)

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101003446B1 (ko) * 2006-03-07 2010-12-28 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
KR101259858B1 (ko) * 2008-09-02 2013-05-02 가부시키가이샤 라스코 열교환 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
US20110159199A1 (en) * 2009-12-28 2011-06-30 Guardian Industries Corp. Large area combustion deposition line, and associated methods
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
TW201200628A (en) * 2010-06-29 2012-01-01 Hon Hai Prec Ind Co Ltd Coating apparatus
JP5721219B2 (ja) * 2010-07-09 2015-05-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び加熱装置
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
JP5893280B2 (ja) * 2010-09-09 2016-03-23 東京エレクトロン株式会社 縦型熱処理装置
KR101509286B1 (ko) 2010-09-09 2015-04-06 도쿄엘렉트론가부시키가이샤 종형 열처리 장치
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
JP5296132B2 (ja) * 2011-03-24 2013-09-25 東京エレクトロン株式会社 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140146854A1 (en) * 2012-04-19 2014-05-29 Solexel, Inc. Temperature calibration and control for semiconductor reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6080451B2 (ja) 2012-09-25 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及び熱電対支持体
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014088026A1 (ja) * 2012-12-07 2014-06-12 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法および制御プログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6170847B2 (ja) * 2013-03-25 2017-07-26 株式会社日立国際電気 断熱構造体、加熱装置、基板処理装置および半導体装置の製造方法
JP6106519B2 (ja) * 2013-05-09 2017-04-05 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、成膜装置及び基板処理システム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9331430B2 (en) 2013-10-18 2016-05-03 JTech Solutions, Inc. Enclosed power outlet
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6280407B2 (ja) * 2014-03-19 2018-02-14 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、基板処理装置及び基板処理システム
JP6279396B2 (ja) * 2014-05-12 2018-02-14 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
KR101945264B1 (ko) * 2016-10-27 2019-02-07 삼성전자주식회사 기판 처리 장치
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10205283B2 (en) 2017-04-13 2019-02-12 JTech Solutions, Inc. Reduced cross-section enclosed power outlet
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043402B2 (en) * 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD843321S1 (en) 2018-03-26 2019-03-19 JTech Solutions, Inc. Extendable outlet
USD841592S1 (en) 2018-03-26 2019-02-26 JTech Solutions, Inc. Extendable outlet
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
WO2021002228A1 (ja) * 2019-07-01 2021-01-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022040164A1 (en) * 2020-08-18 2022-02-24 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD999742S1 (en) 2021-04-01 2023-09-26 JTech Solutions, Inc. Safety interlock outlet box
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115565852B (zh) * 2022-12-06 2024-05-28 西安奕斯伟材料科技股份有限公司 用于对硅片进行背封的方法和设备
CN116007390A (zh) * 2022-12-15 2023-04-25 湖南优热科技有限责任公司 一种带有快速主动冷却系统的石墨化炉

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238649A1 (en) * 2001-07-19 2004-12-02 Nobuyuki Ohminami Thermal treatment apparatus and thermal treatment method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3244809B2 (ja) * 1992-09-30 2002-01-07 株式会社東芝 薄膜形成方法及び薄膜形成装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3184000B2 (ja) * 1993-05-10 2001-07-09 株式会社東芝 薄膜の形成方法およびその装置
JPH0992624A (ja) * 1995-09-25 1997-04-04 Semitsukusu Eng Kk 熱処理炉
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JP4553227B2 (ja) 2000-02-18 2010-09-29 東京エレクトロン株式会社 熱処理方法
EP1187188A4 (en) 2000-02-18 2004-05-26 Tokyo Electron Ltd PROCESS FOR TREATING A WAFER
US6787377B2 (en) * 2000-07-25 2004-09-07 Tokyo Electron Limited Determining method of thermal processing condition
JP4806127B2 (ja) * 2001-02-01 2011-11-02 東京エレクトロン株式会社 薄膜形成方法
US7190400B2 (en) * 2001-06-04 2007-03-13 Texas Instruments Incorporated Charge multiplier with logarithmic dynamic range compression implemented in charge domain
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
JP2003031506A (ja) 2001-07-17 2003-01-31 Toshiba Corp 半導体薄膜の成膜装置及び半導体薄膜の成膜方法
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
JP2005032883A (ja) * 2003-07-09 2005-02-03 Hitachi Kokusai Electric Inc 基板処理装置
JPWO2005008755A1 (ja) 2003-07-18 2006-09-07 株式会社日立国際電気 温度制御方法、基板処理装置及び半導体製造方法
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
JP4610908B2 (ja) * 2004-02-24 2011-01-12 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
KR101003446B1 (ko) * 2006-03-07 2010-12-28 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
US7972444B2 (en) * 2007-11-07 2011-07-05 Mattson Technology, Inc. Workpiece support with fluid zones for temperature control

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238649A1 (en) * 2001-07-19 2004-12-02 Nobuyuki Ohminami Thermal treatment apparatus and thermal treatment method

Also Published As

Publication number Publication date
US8501599B2 (en) 2013-08-06
US20090197352A1 (en) 2009-08-06
WO2007105431A1 (ja) 2007-09-20
US8507296B2 (en) 2013-08-13
KR20080080142A (ko) 2008-09-02
KR101005518B1 (ko) 2011-01-04
JP5547775B2 (ja) 2014-07-16
JPWO2007105431A1 (ja) 2009-07-30
US20090029486A1 (en) 2009-01-29
JP2009158968A (ja) 2009-07-16
KR20100087401A (ko) 2010-08-04
JP5153699B2 (ja) 2013-02-27
JP5153614B2 (ja) 2013-02-27
TW200741878A (en) 2007-11-01
JP2012216851A (ja) 2012-11-08
TWI349968B (en) 2011-10-01
KR101003446B1 (ko) 2010-12-28
TW201140699A (en) 2011-11-16

Similar Documents

Publication Publication Date Title
TWI505366B (zh) 基板處理裝置及基板處理方法
US7727780B2 (en) Substrate processing method and semiconductor manufacturing apparatus
JP5510991B2 (ja) 半導体製造装置及び基板処理方法
US20090095422A1 (en) Semiconductor manufacturing apparatus and substrate processing method
US20200333766A1 (en) Substrate processing apparatus, substrate processing method, semiconductor device manufacturing method, and control program
JP5647712B2 (ja) 基板処理方法、半導体装置の製造方法および半導体製造装置
TWI668764B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP4712343B2 (ja) 熱処理装置、熱処理方法、プログラム及び記録媒体
JPWO2005008755A1 (ja) 温度制御方法、基板処理装置及び半導体製造方法
JP4783029B2 (ja) 熱処理装置及び基板の製造方法
US20240011161A1 (en) Parameter setting method and substrate processing apparatus
JP2005136370A (ja) 基板処理装置
JP2002134424A (ja) バッチ式熱処理装置及びその制御方法