WO2002037527A1 - Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil - Google Patents

Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil Download PDF

Info

Publication number
WO2002037527A1
WO2002037527A1 PCT/JP2001/009631 JP0109631W WO0237527A1 WO 2002037527 A1 WO2002037527 A1 WO 2002037527A1 JP 0109631 W JP0109631 W JP 0109631W WO 0237527 A1 WO0237527 A1 WO 0237527A1
Authority
WO
WIPO (PCT)
Prior art keywords
electron beam
electron
sample
primary
optical system
Prior art date
Application number
PCT/JP2001/009631
Other languages
English (en)
French (fr)
Inventor
Mamoru Nakasuji
Tohru Satake
Nobuharu Noji
Muneki Hamashima
Yukiharu Okubo
Yoshiaki Kohama
Hirosi Sobukawa
Tsutomu Karimata
Shoji Yoshikawa
Toshifumi Kimba
Shin Oowada
Mutsumi Saito
Original Assignee
Ebara Corporation
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corporation, Nikon Corporation filed Critical Ebara Corporation
Priority to EP01980966A priority Critical patent/EP1271606A1/en
Priority to JP2002540181A priority patent/JPWO2002037527A1/ja
Publication of WO2002037527A1 publication Critical patent/WO2002037527A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • H01J37/185Means for transferring objects between different enclosures of different pressure or atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20228Mechanical X-Y scanning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/204Means for introducing and/or outputting objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2803Scanning microscopes characterised by the imaging method
    • H01J2237/2806Secondary charged particle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • H01J2237/2816Length
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Definitions

  • the present invention relates to an apparatus for inspecting a defect of a pattern formed on a surface of a sample using a plurality of electron beams (or electron beams), and particularly to an apparatus for detecting a defect of a wafer in a semiconductor manufacturing process.
  • the sample is irradiated with an electron beam to capture secondary electrons that change according to the surface properties of the sample to form image data, and a pattern formed on the surface of the sample based on the image data
  • the present invention relates to an apparatus for inspecting or evaluating a device at a high throughput, and a device manufacturing method for manufacturing a device with a high yield using such an apparatus.
  • an apparatus using a scanning electron microscope is already commercially available.
  • This device performs a raster scan of a narrowly focused electron beam with a very small raster width, and detects secondary electrons emitted from the sample with the scan with a secondary electron detector to form an SEM image.
  • the defect is extracted by comparing the SEM image with the basic image.However, defect inspection equipment using SEM has a small beam size, naturally a small pixel size, and a small raster width. Time was needed.
  • the beam width irradiating the sample is increased in order to achieve high throughput, there is a problem in that a variation in electrification occurs in the irradiated area, and a good SEM image cannot be obtained.
  • a basic object of the present invention is to make various improvements of a multi-beam inspection device.
  • a primary electron optical system for directing a primary electron beam emitted from an electron gun to a sample such as a wafer, and a secondary electron beam generated by irradiation of the sample with the primary electron beam are detected by a secondary electron.
  • An electron beam inspection system that enables high throughput by providing multiple optical systems (barrels) with secondary electron optical systems that lead to the instrument, and each optical system inspects a separate area on the sample. I will provide a.
  • the present invention provides an electron beam inspection apparatus capable of performing more accurate alignment of an electron optical system in order to improve the accuracy of specimen inspection using an electron beam. Specifically, when the applied voltage to the lens, which is an element of the electron optical system, is changed, the electron beams at the same distance from the center of the multi-beam show substantially the same displacement. Alignment of multiple beams is performed by adjusting the elements of the optical system.
  • the center of the aperture is detected by detecting the center of the aperture of the formed image and adjusting the element of the electron optical system so that the secondary electron beam passes through the center of the aperture.
  • a plurality of electron beam forming apertures are used to convert one electron beam emitted from the electron gun into a plurality of beams.
  • the high-intensity portion is aligned with the aperture of the aperture plate to obtain a multi-beam with a large beam current, and enables detection in a good state.
  • the multi-beam inspection device by providing an electron beam inspection device provided with a correction device for irradiating an irradiation point where a primary electron beam is designed, inspection in a better condition can be performed. Make it possible. Furthermore, in the present invention, aberrations such as chromatic aberration of magnification and rotational chromatic aberration are corrected by adjusting the crossover position formed by the primary electron beam in the optical axis direction. An electron beam inspection apparatus is provided.
  • the present invention provides an electron beam inspection apparatus in which the electron gun operates under the space charge limiting condition to suppress the occurrence of shot noise.
  • the dose of the electron beam irradiated on the sample is measured, and when it is detected that the dose is abnormal, necessary control such as stopping the operation of the electron beam inspection apparatus is performed.
  • An electron beam inspection device is provided.
  • the electron optical elements such as the electron lens and the deflector constituting the electron optical system are not formed by combining an insulator and a conductor provided separately from each other with screws or the like.
  • the present invention further provides a device manufacturing method for inspecting a sample such as a wafer in the middle of a process using the above-described electron beam inspection apparatus.
  • FIG. 1 is an elevational view showing main components of the inspection apparatus according to the present invention, and is a view taken along line AA of FIG.
  • FIG. 2 is a plan view of main components of the inspection apparatus shown in FIG. 1, and is a view taken along line BB of FIG.
  • FIG. 3 is a cross-sectional view showing the mini-environment device of FIG. 1, as viewed along line C-C.
  • FIG. 4 is a view showing the loader housing of FIG. 1 and is a view taken along line D-D of FIG.
  • Figure 5 (A) is an enlarged side view of the Jehachi rack.
  • FIG. 5B is a cross-sectional view taken along line E—E in FIG.
  • FIG. 6A is a diagram showing a modification of the method of supporting the main housing.
  • FIG. 6B is a view showing another modification of the method of supporting the main housing.
  • FIG. 7 is a schematic diagram showing a schematic configuration of an electron optical device of the inspection device of FIG.
  • FIG. 8 is a diagram showing a positional relationship of openings of a multi-opening plate used in a primary optical system of the electron optical device of FIG.
  • FIG. 9 is a diagram showing a potential application mechanism.
  • FIG. 10 (A) is a side view of the electron beam calibration mechanism.
  • FIG. 10 (B) is a plan view of the same mechanism.
  • FIG. 11 is a schematic explanatory view of a wafer alignment control device.
  • FIG. 12 is an enlarged sectional side view of the cassette holder and the mini-environment device.
  • FIG. 13 is a diagram showing an inspection process using an electron optical device.
  • FIG. 14 is a cross-sectional plan view of the Wien filter.
  • - Figure 15 is a sectional side view of the Wien filter.
  • FIG. 16 is a diagram showing a mode in which a primary electron beam is irradiated on a wafer as a sample.
  • FIG. 17 (A) is a front view of a vacuum chamber and an XY stage of a conventional electron beam inspection apparatus.
  • Fig. 17 (B) is the same side view.
  • FIG. 18 is an explanatory diagram of a differential exhaust device used in the XY stage.
  • FIG. 19 is a diagram showing a vacuum chamber and an XY stage of one embodiment of the electron beam inspection apparatus of the present invention.
  • FIG. 20 is a diagram illustrating an example of a working exhaust mechanism provided in the device illustrated in FIG.
  • FIG. 1 is a diagram showing a circulation piping system of a gas of the apparatus shown in FIG. 1 9
  • Fig. 2 2 (A) is a vacuum Chang bar and XY stage of one embodiment of an electron beam apparatus of the present invention
  • FIG. 22 (B) is a side view of the same.
  • FIG. 23 is a diagram showing a vacuum chamber and an XY stage of another embodiment of the electron beam device of the present invention.
  • FIG. 24 is a diagram showing a vacuum chamber and an XY stage of another embodiment of the electron beam device of the present invention.
  • FIG. 25 is a diagram showing a vacuum chamber and an XY stage of still another embodiment of the electron beam apparatus of the present invention.
  • FIG. 26 is a diagram showing a vacuum chamber and an XY stage of still another embodiment of the electron beam apparatus of the present invention.
  • FIG. 27 (a) is a schematic top view of the optical system of one barrel of the multiple-barrel electron optical device according to the present invention
  • FIG. 27 (b) is a side view of the same.
  • FIG. 28 is a diagram showing a first arrangement of a plurality of optical systems in a multiple-barrel electron optical device according to the present invention.
  • FIG. 29 is a diagram showing a second arrangement of the multiple optical systems in the multiple-barrel electron optical device according to the present invention.
  • FIG. 30 is a diagram for explaining a pattern defect detection method.
  • FIG. 31 is a diagram for explaining a line width measuring method.
  • FIG. 32 is a diagram for explaining a potential contrast measurement method.
  • FIG. 33 is a diagram for explaining axis alignment in the electron optical system.
  • FIG. 34 is a diagram showing a marker provided on the sample of FIG. 33, which is used for alignment.
  • FIG. 35 is a diagram of the electron optical system for explaining axis alignment of the secondary optical system.
  • FIG. 36 (a) is a diagram showing the positional relationship between the aperture image and the address after the optical axis alignment has been completed.
  • FIG. 36 (b) is a diagram showing the positional relationship between the aperture image and the address during optical axis alignment.
  • FIG. 37 is an explanatory diagram schematically showing an optical system of an electron beam apparatus for performing axis alignment to a Wien filter (EXB separator) according to the present invention.
  • FIG. 38 is a diagram schematically showing an example of a main part of the electron beam apparatus according to the present invention.
  • FIG. It is a figure showing a relation.
  • FIG. 40 is a diagram showing a correspondence relationship between the electron beam and the aperture of the multi-aperture plate after the axes are aligned in the apparatus of FIG.
  • FIG. 41 is a diagram showing a correspondence relationship between the electron beam and the aperture of the multi-aperture plate after adjustment according to the present invention in the apparatus of FIG.
  • FIG. 42 is a schematic configuration diagram of a defect inspection apparatus according to the present invention provided with an apparatus for preventing a positional deviation between a reference image and an image to be inspected, and according to the present invention, a deviation between an irradiation point and a design point.
  • FIG. 2 is a schematic configuration diagram of an electron beam inspection device that performs calibration of the above.
  • FIG. 43 is a diagram showing an example of a plurality of images to be inspected and a reference image acquired by the defect inspection apparatus of FIG.
  • FIG. 44 is a flowchart showing the flow of the main routine of the wafer inspection in the defect inspection apparatus of FIG.
  • FIG. 45 is a flowchart showing a detailed flow of a subroutine in a plurality of inspection image data obtaining steps (step 304-9) in FIG.
  • FIG. 46 is a flowchart showing the detailed flow of the subroutine in the comparison step (steps 308-9) in FIG.
  • FIG. 47 is a diagram showing a specific configuration example of a detector of the defect inspection device of FIG.
  • FIG. 48 is a diagram conceptually showing a plurality of inspection regions whose positions are shifted from each other while partially overlapping on the surface of the semiconductor wafer.
  • FIG. 49 is a schematic front view of the first multi-aperture plate.
  • FIG. 50 is a schematic plan view of the marker ⁇ .
  • FIG. 51 is a flowchart showing a method for calibrating the irradiation positions of a plurality of electron beams.
  • FIG. 52 (a) is a schematic diagram showing a method of calibrating the irradiation positions of a plurality of primary electron beams on a marker table along the X-axis.
  • FIG. 52 (b) is a schematic diagram showing a method of calibrating the irradiation positions of the plurality of primary electron beams on the XY platform along the Y-axis.
  • FIG. 52 (c) is a schematic diagram for explaining the alignment between the optical axis position and the mark position.
  • FIG. 53 (a) is a diagram showing a signal waveform output when a plurality of primary electron beams are scanned along the X axis on a marker table.
  • FIG. 53 (b) is a diagram showing a signal waveform output when a plurality of primary electron beams are scanned along the Y axis on a marker table.
  • FIG. 53 (c) is a diagram showing the relationship between the deflection voltage and the signal intensity when the irradiation position of the primary electron beam is calibrated.
  • FIG. 54 is a schematic view of an electron optical system for explaining the crossover position adjustment.
  • FIG. 55 is an explanatory diagram schematically showing an optical system of an electron beam inspection apparatus for explaining a method of suppressing shot noise according to the present invention.
  • FIG. 56 is an explanatory diagram schematically showing an optical system of another electron beam inspection apparatus for describing a method of suppressing shot noise.
  • FIG. 57 is an explanatory view schematically showing an optical system of an electron beam inspection apparatus in which a dose control function is incorporated in a multi-beam inspection apparatus.
  • FIGS. 58 (a) and 58 (b) show an operation flowchart in the sample protection mechanism according to one embodiment.
  • FIG. 59 is a diagram schematically showing a configuration of one embodiment of a charged particle beam control element according to the present invention.
  • FIG. 60 is a cross-sectional view of the charged particle beam control device of FIG.
  • FIG. 61 is a top view of a conventional electrostatic deflector.
  • FIG. 62 (a) is a cross-sectional view taken along the line AA of FIG. 61
  • FIG. 62 (b) is a cross-sectional view taken along the line BB of FIG.
  • FIG. 63 is a flowchart showing a device manufacturing process in which a wafer during a process is inspected by using the inspection apparatus according to the present invention.
  • FIG. 64 is a flowchart showing the lithography process.
  • main components of the semiconductor inspection apparatus 1 of the present embodiment are shown in an elevation and a plane.
  • the semiconductor inspection apparatus 1 includes a cassette holder 10 for holding a cassette containing a plurality of wafers, a mini-environment device 20, a main housing 30 for defining a working chamber, and a mini-environment.
  • the loader housing 40 is disposed between the loading device 20 and the main housing 30 and defines two opening chambers, and the wafer is disposed in the main housing 30 from the cassette holder 10.
  • a loader 60 loaded on the stage device 50, and an electro-optical device (that is, a device that performs inspection using an electron beam) 70 mounted on the main housing, are shown in FIGS. 1 and 2. They are arranged in a positional relationship as shown.
  • the semiconductor inspection apparatus 1 further includes a precharge unit 81 disposed in a vacuum main housing 30, a potential applying mechanism 83 for applying a potential to the wafer (shown in FIG. 8), and electron beam calibration.
  • a mechanism 8'5 (shown in FIG. 10) and an optical microscope 871 constituting an alignment control device 87 for positioning the wafer on the stage device are provided.
  • Each part of the above-mentioned semiconductor inspection apparatus is composed of a vacuum pump, a vacuum valve, a vacuum cage, a vacuum pipe, etc., all of which are not shown, and evacuates the electron optical system, the detector part, and the working chamber, which will be described later, according to a predetermined sequence. I care.
  • a vacuum valve is controlled to achieve a required degree of vacuum. The degree of vacuum is monitored at all times, and in the event of an abnormality, an emergency control such as shutting off between the chamber and the exhaust system using an isolation valve (not shown) is performed by the lock function to secure the required degree of vacuum in each part.
  • Ambient pressure is 1 0 of the wafer on the stage (electron beam irradiating portion) - 3 ⁇ 1 0 - 6 P a, preferably, 1 0- 4 ⁇ : L 0 - 6 P a.
  • the cassette holder 10 is a cassette c (for example, a closed cassette such as SMIF, F ⁇ UP manufactured by Assist Inc.) in which a plurality of (for example, 25) wafers are stored in parallel in a vertical direction. Are stored (two in this embodiment).
  • the cassette holder has a structure suitable for transporting a cassette by a robot or the like and automatically loading the cassette into the cassette holder 10, and an open cassette suitable for manual loading when the cassette is manually loaded. The structure can be selected and installed arbitrarily.
  • the cassette holder 10 is of a type in which the cassette C is automatically loaded, and includes, for example, an elevating table 11 and an elevating mechanism 12 for vertically moving the elevating table 11.
  • the cassette c is loaded on the elevating table in the state shown by the dashed line in FIG. 2, and then automatically rotated to the state shown by the solid line in FIG. 2, and is automatically rotated to the state shown in the solid line in FIG. Then, the wafer is moved into and out of the position shown by the chain line in FIG. Since a large number of wafers accommodated in the cassette c are arranged side by side in parallel at a distance in the vertical direction, the first transport unit can hold a wafer at an arbitrary position by the first transport unit. The arm can move up and down. The relationship between the first transfer unit 61 and the force set c is shown in FIG.
  • the substrate or wafer stored in the cassette c is a wafer to be inspected, and such an inspection is performed after or during a process of processing a wafer in a semiconductor manufacturing process.
  • a substrate or wafer that has undergone an etching film forming process (including copper plating), a CMP (chemical mechanical polishing) planarization process, ion implantation, a wafer having a wiring pattern formed on the surface, or a wiring pattern is stored in the cassette.
  • the mini-environment device 20 includes a housing 22 that defines a mini-environment space 21 that is controlled in atmosphere, and a clean air space inside the mini-environment space 21.
  • a briar liner 25 which is provided in the substrate 21 and roughly positions a substrate, ie, a wafer, as a sample.
  • the housing 22 has a top wall 221, a bottom wall 222, and a peripheral wall 222 surrounding four circumferences, and has a structure that blocks the mini-environment space 21 from the outside.
  • the gas circulation device 23 is mounted on the top wall 22 1 in the mini-environment space 21 as shown in FIG.
  • a gas supply unit 231 which purifies the clean air in a laminar flow directly underneath through one or more gas outlets (not shown), in the mini-environment space;
  • a collection duct 2 32 that is placed on the bottom wall 222 and collects the air flowing down to the bottom, and is connected to the collection duct 2 32 and the gas supply unit 2 31 to be collected.
  • a conduit for returning the discharged air to the gas supply unit is
  • the gas supply unit 2 31 is designed to take in about 20% of the supplied air from the outside of the housing 22 and clean it. Can be arbitrarily selected.
  • the gas supply unit 231 includes a HEPA or ULPA filter of a known structure for producing clean air.
  • the laminar downward flow of the clean air that is, the downflow, is mainly supplied so as to flow through a transport surface of a first transport unit described later disposed in the mini-environment space 21 and transported. Dust that may be generated by the unit is prevented from adhering to the wafer. Therefore, the downflow outlet is not necessarily located near the top wall as shown in the figure. What is necessary is just to be above the conveyance surface by the conveyance unit. Also, there is no need to flush the entire mini-environment space.
  • cleanliness can be ensured by using ionic wind as the cleaning air.
  • a sensor for observing cleanliness can be provided in the mini-environment space, and the device can be shut down when cleanliness deteriorates.
  • An entrance port 2 25 (FIG. 1) is formed in a portion of the peripheral wall 2 2 3 of the housing 22 adjacent to the cassette holder 10.
  • a shirting device having a known structure may be provided near the entrance / exit 225 to close the entrance / exit 225.
  • the down flow of the laminar flow created in the vicinity of the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec.
  • the gas supply unit may be provided outside the mini-environment space instead of inside.
  • the discharge device 24 includes a suction duct 241, which is disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, and a blower 24, which is disposed outside the housing 22. And a conduit connecting the intake duct and the blower.
  • the discharge device 24 sucks a gas containing dust which may flow around the transport unit and may be generated by the downstream unit by the suction duct 241, and the conduits 243, 244 and 24. Discharge to the outside of the housing 22 through the blower 24. In this case, it may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
  • the planarizer 25 located in the mini-environment space 21 has an orientation flat formed in the wafer and one or more V-shaped notches or notches formed in the outer peripheral edge of the wafer. Is detected optically or mechanically, and the position in the rotational direction around the axis line O of the wafer is preliminarily positioned with an accuracy of about ⁇ 1 degree.
  • the prior liner constitutes a part of a mechanism for determining the coordinates of the sample according to the invention described in the claims, and is responsible for coarse positioning of the sample. Since the briar liner itself may have a known structure, the description of the structure and operation will be omitted.
  • a collection device for the discharge device is also provided below the briar liner. The air containing dust discharged from the briar liner may be discharged to the outside by providing an air conditioner.
  • the main housing 30 defining the working chamber 31 includes a housing body 32, and the housing body 32 is provided with a vibration isolator or protection device arranged on a base frame 36. It is supported by a housing support device 33 mounted on the vibration device 37.
  • the housing support device 33 includes a frame structure 331 formed in a rectangular shape.
  • the eight housing body 3 2 is disposed and fixed on the frame structure 3 3 1, and the bottom wall 3 2 1 placed on the frame structure, the top wall 3 2 2, the bottom wall 3 2 1 and the top
  • a peripheral wall 3 23 which is connected to the wall 3 22 and surrounds the four circumferences is provided to isolate the working chamber 31 from the outside.
  • the bottom wall 3 21 is made of a relatively thick steel plate so as not to be distorted by the load of a device such as a stage device placed thereon. It may be structured.
  • the housing body and the housing support device 33 are assembled in a rigid structure, and a vibration isolator for transmitting vibration from the floor on which the base frame 36 is installed to the rigid structure is provided. It is designed to be stopped at 37.
  • An entrance / exit 325 for taking in / out a wafer is formed in a peripheral wall of the housing main body 32 adjacent to a loader housing to be described later.
  • the vibration isolator may be an active type having an air spring, a magnetic bearing, or the like, or a passive type having these components. Since each of them may have a known structure, the description of the structure and function of itself is omitted. ⁇
  • One king chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure.
  • a control device 2 for controlling the operation of the entire device is arranged below the base frame 36.
  • the control system of this control device mainly consists of a main controller, a control controller, and a stage controller.
  • the main controller has a man-machine interface
  • the operator's operation is performed here (various instructions Z command, input of recipe, etc., instruction of inspection start, switching between automatic and manual inspection mode, all necessary commands in manual inspection mode) Input).
  • the main controller also communicates with the host computer in the factory, controls the evacuation system, transports samples such as wafers, controls the positioning, transmits commands to other control controllers and stage controllers, and receives information. Done in In addition, an image signal from an optical microscope is obtained, a stage fluctuation signal is fed back to the electron optical system to correct the image deterioration, and a stage vibration correction function is provided in the Z direction of the sample observation position (the axis of the secondary optical system).
  • the automatic focus correction function detects the displacement in the direction, feeds it to the electron optical system, and automatically corrects the focus.
  • the transmission and reception of the feedback signal and the like to the electron optical system and the transmission and reception of the signal from the stage are performed via the control controller and the stage controller, respectively.
  • the control controller is mainly responsible for controlling the electron beam optics (such as the control of high-precision power supplies for electron guns, lenses, aligners, Vienna Hilters, etc.). More specifically, a constant electron current is always applied to the irradiation area even when the magnification changes, and each voltage such as automatic voltage setting to each lens system aligner corresponding to each magnification. Control (interlocking control) such as automatic voltage setting for each lens system aligner corresponding to the operation mode is performed.
  • the stage controller mainly controls the movement of the stage, and enables precise movement in the X and Y directions on the order of / m (error of about ⁇ 0.5 ⁇ m). In this stage, rotation direction control (zero control) is also performed within an error accuracy of about ⁇ 0.3 seconds.
  • the loader housing 40 includes a housing body 43 that defines a first loading chamber 41 and a second mouthing chamber 42.
  • the housing body 4 3 has a bottom wall 4 3 1, a top wall 4 3 2, a surrounding wall 4 3 3 surrounding four circumferences, and a first loading chair.
  • a partition wall 434 for partitioning the chamber 41 from the second loading chamber 42 so that the two-sided loading chamber can be isolated from the outside.
  • the partition wall 434 is formed with an opening, that is, an entrance 435, for exchanging wafers between the two opening and closing champers.
  • entrances 4336 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device and the main housing.
  • the housing body 43 of the loader housing 40 is mounted on and supported by the frame structure 331 of the housing support device 33. Accordingly, floor vibration is not transmitted to the loader housing 40.
  • the entrance 4 3 6 of the loader housing 40 and the entrance 2 2 6 of the housing 22 of the mini-environment device are aligned, and there is a mini-environment space 21 and a first opening chamber 4.
  • a shirt evening device 27 is provided for selectively blocking communication with 1.
  • the shut-off device 27 cooperates with the sealing material 27 1 and the sealing material 27 1 which are fixed in close contact with the side wall 4 3 3 around the entrances 2 2 6 and 4 3 6. It has a door 272 that blocks the flow of air through the doorway, and a drive device 273 that moves the door.
  • a shirting device 45 is provided to selectively prevent the communication with the shirt.
  • the shirting device 45 includes a sealing material 451, which is in close contact with and fixed to the side walls 433 and 323 around the entrances 437 and 3225.
  • a drive device 453 that moves the door in cooperation with the door to block the flow of air through the doorway.
  • a shutter device 46 is provided in the opening formed in the partition wall 434 to close the door 461 and selectively prevent the communication between the first and second loading chambers from being sealed. ing.
  • These shirting devices 27, 45 and 46 are adapted to hermetically seal each chamber when in the closed state. Since these shirting devices may be of a known type, their structure and A detailed description of the operation and operation is omitted.
  • the method of supporting the housing 22 of the mini-environment device 20 is different from the method of supporting the loader housing. Vibration from the floor is transmitted to the loader housing 40 and the main housing 30 via the mini-environment device. In order to prevent this, a cushioning material for vibration isolation may be arranged between the housing 22 and the loader housing 40 so as to hermetically surround the entrance.
  • a wafer rack 47 for supporting a plurality of (two in this embodiment) wafers in a horizontal state with a vertical space therebetween is provided.
  • the wafer rack 47 is provided with four columns 472 fixed upright at four corners of a rectangular substrate 471, separated from each other. Step support portions 473 and 474 are formed, and the peripheral edge of the wafer W is placed and held on the support portions. Then, the wafer is gripped by the arms of first and second transfer units described later.
  • the loading chambers 41 and 42 are placed in a high vacuum state (a degree of vacuum of 10 ⁇ 5 to 10 ⁇ 6 ⁇ ⁇ ⁇ ⁇ a) by a vacuum exhaust device (not shown) having a known structure including a vacuum pump (not shown). Atmosphere can be controlled.
  • the first loading chamber 41 is kept in a low vacuum atmosphere as a low vacuum chamber
  • the second loading chamber 42 is kept in a high vacuum atmosphere as a high vacuum chamber, effectively preventing wafer contamination. It can also be done at By adopting such a structure, a wafer that is housed in the loading chamber and is next subjected to defect inspection can be transferred into the parking chamber without delay.
  • the first and second loading chambers 41 and 42 are respectively provided with a vacuum exhaust pipe and a vent pipe for inert gas (for example, dry pure nitrogen) (respectively). (Not shown) is connected. Yotsute thereto, atmospheric pressure within the Rohde queuing chamber is achieved by the inert gas vent (oxygen gas or the like other than inert gas by injecting an inert gas are prevented from adhering to the surface) (this Since the apparatus itself for performing such inert gas venting may have a known structure, a detailed description thereof will be omitted.
  • inert gas for example, dry pure nitrogen
  • a typical lanthanum hexaboride (L a B 6 ) or the like used as an electron source of an electron-optical system, which will be described later, is a material that emits thermoelectrons once. When heated to a high temperature, it is important not to contact with oxygen etc. as much as possible so as not to shorten its life, but before the wafer is loaded into the working chamber where the electron optical system is installed. By performing the atmosphere control as described above, the execution can be performed more reliably.
  • the stage device 50 is composed of a fixed table 51 arranged on the bottom wall 3 21 of the main housing 30 and a Y table which moves in the Y direction (the direction perpendicular to the paper in FIG. 1) on the fixed table. 5 2, X table 53 that moves in the X direction on the Y table (in the horizontal direction in Fig. 1), rotary table 54 that can rotate on the X table, and holder 5 that is placed on the rotary table 54 5 and have.
  • the wafer is releasably held on the wafer mounting surface 55 1 of the holder 55.
  • the holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method.
  • the stage device 50 is held by a holder on the mounting surface 551, by operating a plurality of tables as described above using a remote controller, an encoder and various sensors (not shown).
  • the wafer In the X, Y, and Z directions (up and down directions in Fig. 1) with respect to the electron beam irradiated from the electron optical device, the wafer is moved in the direction (0 direction) around an axis perpendicular to the wafer support surface. Positioning can be performed with high accuracy. In the Z direction, for example, the position of the mounting surface on the holder may be finely adjusted in the Z direction.
  • the reference position of the mounting surface is measured with a position measuring device (interferometer).
  • the position of the notch or orientation flat of the wafer is measured by a feedback circuit (not shown), which detects the position of the notch or orientation flat of the wafer. It detects and controls the plane position and rotation position with respect to.
  • the servo motors 52 1, 53 1 and the encoders 52 2, 53 2 for the stage device are arranged outside the main housing 30. I have.
  • the chuck chuck mechanism provided in this holder is capable of applying a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and is provided at three points on the outer peripheral portion of the wafer (preferably in the circumferential direction). (Equally spaced apart) for positioning.
  • the wafer chuck mechanism has two fixed positioning pins and one pressing clamp pin. The clamp pin is capable of realizing automatic chucking and automatic release, and constitutes a conductive part for voltage application.
  • the loader 60 is composed of a lopot-type first transfer unit 61 disposed in the housing 22 of the mini-environment device 20 and a lopot-type first transfer unit 61 disposed in the second loading chamber 42. 2 transport units 63.
  • the first transfer unit 61 has a multi-articulated arm 612 that is rotatable about the axis ⁇ t1 with respect to the drive unit 611.
  • the arm has three portions that are rotatably attached to each other.
  • the arm 6 1 2 can be pivoted about the axis — by the axis 6 13 and Relative to the axis O i —O i makes it possible to expand and contract in the radial direction as a whole.
  • a gripping device 6 16 for holding a wafer such as a mechanical chuck or an electrostatic chuck having a known structure is provided. I have.
  • the driving unit 611 can be moved in the vertical direction by a lifting mechanism 615 having a known structure.
  • the arm In the first transfer unit 61, the arm extends in one direction M1 or M2 of one of the two cassettes c held by the cassette holder, and the arm 61 extends into the cassette c.
  • One wafer W (FIG. 12) is held by the holding device 6 16 and taken out. Thereafter, the arm contracts (as shown in FIG. 2), rotates in a direction M3 of the pre-aligner 25 to a position where it can extend, and stops at that position. Then, the arm is extended again, and the wafer held by the arm is placed on the bria liner 25.
  • the arm rotates further and stops at a position (direction M 4) where it can extend toward the second loading chamber 41, and the second loading chamber 4 Deliver the wafer to wafer receiver 4 7 in 1.
  • a position (direction M 4) where it can extend toward the second loading chamber 41, and the second loading chamber 4 Deliver the wafer to wafer receiver 4 7 in 1.
  • the second transfer unit 63 is basically the same in structure as the first transfer unit 63, except that the wafer is transferred between the wafer rack 47 and the mounting surface of the stage device. Detailed description is omitted because it is only the difference.
  • the first and second transport units 61 and 63 are arranged in the working chamber 31 from the cassette held in the cassette holder. The transfer of the wafer onto the stage device 50 and the other way around is performed while keeping the wafer in a substantially horizontal state. Inserting wafers, placing wafers on wafer racks, removing wafers from them, and holding wafers It is only for loading and unloading from the device. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm can be moved smoothly.
  • the cassette holder 10 has a structure suitable for manually setting a cassette, and a structure suitable for automatically setting a cassette.
  • the elevating table 11 is lowered by the elevating mechanism 12, and the cassette c is aligned with the entrance 225.
  • the force bar provided on the cassette is opened, and a cylindrical cover is arranged between the cassette C and the entrance 225 of the mini-environment. And the mini-environment space from the outside. If a shutter device for opening and closing the entrance 225 is provided on the mini-environment device 20 side, the shirt device operates to open the entrance 225.
  • the arm 6 1 2 of the first transport unit 6 1 is stopped in a state facing either the direction M 1 or M 2 (in this description, the direction of M 1), and when the entrance 2 25 opens, The arm extends to receive one of the wafers housed in the cassette at the tip.
  • the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 61 1 of the first transfer unit 61 and the arm 61 2. It may be performed by vertically moving the elevating table of the cassette holder, or by both.
  • the arm 6 1 and 2 When the wafer is received by the arm 6 1 and 2, the arm shrinks and the shirt closing device is operated to close the entrance (if there is a shirt setting device). Next, the arm 6 12 rotates about the axis ⁇ i 1 and becomes ready to extend in the direction M 3. Then, the arm is extended, and the wafer placed on the tip or gripped by the chuck is placed on the briar liner 25, and the orientation of the wafer in the rotational direction (the direction around the central axis perpendicular to the wafer plane) is moved by the pre-liner. ) Is positioned within the specified range.
  • the transfer unit 61 receives the wafer from the briar liner 25 at the end of the arm, and then contracts the arm, so that the arm can be extended in the direction M4. Then, the door 2 7 2 of the shirting device 27 moves to open the entrances 2 26 and 4 3 6, and the arm 6 1 2 extends to transfer the wafer to the upper stage of the wafer rack 4 7 in the first loading chamber 4 1. Or put it on the lower side. As described above, before the shirt device 27 is opened and the wafer is transferred to the wafer rack 47, the opening 43 formed in the partition wall 43 is a door 46 of the shutter device 46. 1 is airtightly closed.
  • the clean air flows in a laminar flow (as a down flow) from the gas supply unit 231, which is provided at the upper part of the housing of the mini-environment device, and It prevents dust from adhering to the upper surface of the wafer.
  • Part of the air around the transport unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is drawn from the suction duct 24 1 of the discharge device 24 and the housing. It is discharged outside. The remaining air is collected through a collection duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.
  • the shirting device 27 When the wafer is loaded by the first transfer unit 61 into the wafer rack 47 in the first loading chamber 41 of the mouth housing 40, the shirting device 27 is closed and the loading is performed. Close the chamber 41. Then, after the first loading chamber 41 is filled with an inert gas and air is expelled, the inert gas is also discharged and the loading chamber 41 is evacuated.
  • the vacuum atmosphere of the first loading chamber may be a low vacuum.
  • Loading Champer 4 1 When a certain degree of vacuum is obtained, the shirt evening device 46 operates to open the entrance 4 3 4 sealed with the door 4 6 1, and the arm 6 3 2 of the second transport unit 6 3 extends.
  • One wafer is received from the wafer receiver 47 by the tip gripping device (placed on the tip or gripped by the chuck attached to the tip).
  • the arm contracts, the shirt evening equipment 46 operates again, and the door 4 6 1 is closed with the door 4 61.
  • the shut-down device 46 is opened, the arm 632 is in a posture in which it can be extended in the direction N1 of the wafer rack 47 in advance.
  • the shutter device 46 opens, the doors 437, 325 are closed with the door 452 of the shirt evening device 45, and the working with the inside of the second mouthing chamber 42 is performed.
  • the communication with the chamber 31 is blocked in an airtight state, and the inside of the second mouthing chamber 42 is evacuated.
  • the shirting device 46 closes the entrance 435, the inside of the second loading chamber is evacuated again and evacuated to a higher degree of vacuum than in the first loading chamber.
  • the arm of the second transfer unit 61 is rotated to a position where it can extend toward the stage device 50 in the working chamber 31.
  • the Y table 52 is positioned at the center line X of the X table 53. — X. Is moved upward in FIG. 2 to a position substantially coincident with the X axis passing through the rotation axis 0 2 — 0 2 of the second transport unit 63, and the X table 53 is moved to the leftmost position in FIG.
  • FIG. 6 a modification of the method of supporting the main housing is indicated by.
  • the housing support device 33a is made of a thick rectangular steel plate 331a, and the housing body 32a is mounted on the steel plate. Therefore, the bottom wall 32 1 a of the housing body 32 a has a thinner structure than the bottom wall of the embodiment.
  • the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 3336b of the housing support device 33b. ing.
  • the lower ends of the plurality of vertical frames 3 3 7 b fixed to the frame structure 3 3 6 b are fixed to the four corners of the bottom wall 3 2 1 b of the housing body 3 2 b, and the peripheral wall and the top wall are fixed by the bottom wall. It has come to support.
  • the vibration isolator 37b is arranged between the frame structure 3336b and the base frame 36b.
  • the loader housing 40 is also suspended by a suspension member 49 b fixed to the frame structure 336.
  • the main body and various devices provided therein can be lowered in the center of gravity because they are suspended and supported.
  • vibration from the floor is not transmitted to the main housing and the loader housing.
  • only the housing body of the main housing is supported from below by eight housing supports, and the loader housing can be placed on the floor in the same way as the adjacent mini-environment device.
  • only the housing body of the main housing is provided. Suspended by the frame structure, the loader housing can be placed on the floor in the same manner as the adjacent mini-environment device.
  • the electron optical device 70 includes a lens barrel 71 fixed to a housing main body 32, in which a primary electron optical system (hereinafter simply referred to as a primary optical system) as schematically illustrated in FIGS.
  • a primary electron optical system hereinafter simply referred to as a primary optical system
  • An electron optical system including a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 72 and a detection system 76 are provided.
  • the primary optical system 72 is an optical system that irradiates an electron beam to the surface of the wafer W, which is a sample.
  • It has a plate 7 23, a reduction lens 7 24 that is an electrostatic lens for reducing the primary electron beam, a Wien filter or EXB separator 7 25, and an objective lens 7 26.
  • the electron guns are arranged in order with the electron gun 7 21 at the top, and so that the optical axis of the primary electron beam emitted from the electron gun is vertical to the surface of the sample S.
  • the electron gun uses a thermionic beam source.
  • Electron emission (Emitta) material is LaB 6. Other materials that have a high melting point (low vapor pressure at high temperatures) and a low work function can be used.
  • Other types of electron beam sources for example, a thermal field emission type electron beam, can also be used.
  • the thermionic beam source emits electrons by heating the electron-emitting material.
  • a thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits electrons. This method stabilizes electron emission by heating the line emission part.
  • the Vienna concertc consists of an electrostatic deflector and an electromagnetic deflector.
  • the electrostatic deflector includes a pair of electrodes (electrostatic deflection electrodes) 7251-1, which are provided in a vacuum vessel, and generates an electric field in the X-axis direction. These electrostatic deflection electrodes 725-1 are attached to the vacuum wall 720-5_3 of the vacuum container via insulating spacers 725-2, and the distance D between these electrodes is static.
  • the length 2 in the y-axis direction of the electrodeflecting electrode 7 25—1 is also set to be small.
  • the range in which the electric field strength formed around the z axis (optical axis) is uniform can be made relatively large, but ideally if D ⁇ L, the electric field strength will be one. that c can be further increased such range, the range of DZ2 from the edge of the electrodes, the electric field intensity is not uniform, substantially uniform region field strength is the end regions is not uniform This is the 2 L-D area in the center. For this reason, in order for a region having a uniform electric field intensity to exist, it is necessary to satisfy 2 L> D. By setting L> D, the region having a uniform electric field intensity becomes larger.
  • the electromagnetic deflector is provided outside the vacuum wall 725-3 and generates a magnetic field in the y-axis direction.
  • the electromagnetic deflector includes an electromagnetic coil 725-4 and an electromagnetic coil 725-5, and these coils generate magnetic fields in the X-axis direction and the y-axis direction, respectively.
  • the magnetic field in the y-axis direction can be generated by only the coil 7 25-5
  • the coil 4 is provided to improve the degree of orthogonality between the electric field and the magnetic field. In other words, by canceling the + X-axis direction generated by the coil 72-5-5 with the magnetic field component in the X-axis direction generated by the coil 725--4, the orthogonality between the electric field and the magnetic field can be reduced. Can be good.
  • FIG. 15 shows an embodiment of the Vienna concertc Pond of the present invention.
  • This embodiment differs from the embodiment in FIG. 14 in that six electrostatic deflection electrodes 725-1 are provided.
  • Si is an arbitrary angle.
  • a coil for generating a magnetic field in the X and y-axis directions and 5 are provided to correct the orthogonality.
  • the area where the electric field intensity is uniform can be further increased as compared with the embodiment of FIG.
  • the coil for generating the magnetic field is formed in a saddle shape, but a toroidal coil may be used.
  • a plurality of (9 in this embodiment) apertures 7 2 3 a formed in the multi-aperture plate 7 23 are As shown in FIG. 8, they are formed on a circumference centered on the optical axis, and are arranged so that the distance LX in the X direction between the projection images of the apertures on the X axis is the same.
  • the secondary optical system 74 is a two-stage electrostatic lens that allows secondary electrons separated from the primary optical system by the EXB deflector 72 5 to be magnified lenses 74 1 and 74 2, and multi-aperture detection Board 7 4 3 is provided.
  • the apertures 743 a formed in the multi-aperture detection plate 743 correspond one-to-one with the apertures 723a formed in the multi-aperture plate 723 of the primary optical system.
  • the detection system 76 includes a plurality (nine in this embodiment) of a multi-aperture detection plate 7 43 of the secondary optical system 74 and a plurality (9 in this embodiment) disposed adjacent to and corresponding to each of the openings 7 43 a of the multi-aperture detection plate 7 43. 61, and an image processing unit 736 electrically connected to each detector 761 via an AZD converter 762.
  • the primary electron beam emitted from the electron gun 721 is focused by the capacitor lens 722 of the primary optical system 722 to form a crossover at the point P1.
  • the primary electron beam focused by the condenser lens 722 is shaped by the plurality of apertures 723a of the multi-aperture plate to form a plurality of primary electron beams, and is reduced by the reduction lens 722 to position. Projected to P2.
  • the objective lens 7 C Focused on W surface.
  • the primary electron beam is deflected by the deflector 727 disposed between the reduction lens 724 and the objective lens 726 so as to scan the surface of the wafer W.
  • FIG. 16 shows an example of the manner in which the primary electron beam scans the surface of the wafer W.
  • four equally spaced electron beams 101-104 are scanned from left to right as shown in the figure, and when they come to the right end of each scan, the wafer is supported.
  • the stage moves upward by a predetermined step distance from bottom to top in the figure, and the electron beam returns to the left end of each scan, scans to the right again, and by repeating this, A required area of the wafer is scanned.
  • the sample S is irradiated at a plurality of points by a plurality of focused primary electron beams (in this embodiment, nine), and secondary electrons are emitted from these irradiated points.
  • the secondary electrons are attracted by the electric field of the objective lens 726 and are finely focused, deflected by the EXB separator 725, and input to the secondary optical system 74.
  • the image by the secondary electrons is focused at a position P3 closer to the deflector 725 than the position P2. This is because the primary electron beam has an energy of 500 eV on the wafer surface, while the secondary electron has only a few eV of energy.
  • the image of the secondary electron focused at the position P3 is focused on the corresponding aperture 743a of the multi-aperture detection plate 743 by the two-stage magnifying lenses 741 and 742, and the aperture is adjusted. It passes through and is detected by the detectors 761 arranged corresponding to the respective openings 743a.
  • the detector 761 converts the detected electron beam into an electric signal representing its intensity.
  • the electric signal converted in this way is output from each of the detectors 761, is converted into a digital signal by the AZD converter 762, and then is input into the image processing unit 763.
  • the image processing unit 763 converts the input digital signal into image data.
  • the image processing unit 765 Since a scanning signal for deflecting the primary electron beam is supplied to the image processing unit 765, the image processing unit forms an image representing the surface of the wafer. This image is compared with a standard pattern preset on a setting device (not shown) by a comparator (not shown) to detect (evaluate) the pattern of the wafer W. Is detected.
  • the pattern to be measured on the wafer W is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning.
  • the line width of the formed pattern can be measured.
  • the image can be obtained not only by secondary electrons but also by scattered electrons and reflected electrons.
  • the case where acquisition of a secondary electron image is selected will be described.
  • the primary electron beam passing through the aperture of the multi-aperture plate 723 of the primary optical system is focused on the surface of the wafer W, and the secondary electrons emitted from the wafer are imaged on the detector 761.
  • special consideration must be given to minimize the effects of the three aberrations that occur in the primary optical system, namely, coma, axial color difference, and visual field astigmatism.
  • the wafer material evaporates, and the insulating material is deposited on various electron optical elements such as deflectors, thereby adversely affecting the device. Therefore, the deposited insulator must be removed periodically. No. In order to remove the insulating material, a plasma of hydrogen, oxygen or fluorine is excited in a vacuum using an electrode near the region where the insulating material is deposited, and only the insulating material is oxidized and removed. Pre-charge knit of electron optical device
  • the precharge unit 81 is disposed adjacent to the lens barrel 71 of the electron optical device 70 in the working chamber 31.
  • This inspection system is a type of device that scans and irradiates an electron beam on the sample substrate, that is, the wafer, to detect device patterns and the like formed on the wafer surface. Is used as the information on the wafer surface, but the wafer surface may be charged (charged up) depending on conditions such as the wafer material and the energy of irradiated electrons. In addition, there may be places on the wafer surface that are strongly charged or weakly charged. If the charge amount on the wafer surface is uneven, the secondary electron information will also be uneven, making it impossible to obtain accurate information.
  • a precharge unit 81 having a charged particle irradiation unit 811 is provided. Before irradiating a predetermined portion of a wafer to be inspected with inspection electrons, charged particles are irradiated from the charged particle irradiation section 811 of the precharge unit to eliminate charging unevenness in order to eliminate charging unevenness.
  • the charge-up on the wafer surface forms an image of the wafer surface in advance, detects the image by evaluating the image, and operates the precharging unit 81 based on the detection.
  • the primary electron beam may be irradiated by blurring.
  • Precharge can also be used to inspect electrical defects in the test sample, Jehachi.
  • the pre-charged state differs from the properly insulated part in the charged state.
  • the potential application mechanism 83 is based on the fact that the generation rate of secondary electrons emitted from the wafer depends on the potential of the wafer. The generation of the secondary electrons is controlled by applying the potential of. This potential application mechanism also serves the purpose of reducing the energy originally possessed by the irradiated electrons and providing the wafer with the irradiated electron energy of about 100 to 500 eV.
  • the potential applying mechanism 83 includes a voltage applying device 831, which is electrically connected to the mounting surface 541, of the stage device 50, and a charge-up investigation and voltage determining system ( The following survey and decision system) 8 3 2 Have.
  • the investigation and decision system 832 consists of a monitor 833, which is electrically connected to the image forming section 763 of the electronic and An operator 834 to be monitored and a CPU 835 controlled by the operator 83.4 are provided.
  • the CPU 835 supplies a signal to the voltage applying device 831 and the deflector 727.
  • the potential applying mechanism is designed to search for a potential at which the sample wafer is unlikely to be charged, and apply the potential.
  • the electron beam calibration mechanism 85 includes a plurality of Faraday cups 8 for beam current measurement, which are installed at a plurality of positions on the rotating table on the side of the wafer mounting surface 54 1. 5 1 and 8 5 2 are provided.
  • the Faraday cup 851 is for a thin beam (about ⁇ 2 m), and the Faraday cup 852 is for a thick beam (about 30 m).
  • the Faraday optics 8 5 1 for thin beams the beam profile was measured by stepping the rotary table. Farade for thick beams — Cup 8 52 measures the total beam current.
  • the Faraday cups 851 and 852 are arranged such that the upper surface is at the same level (height) as the upper surface of the wafer W placed on the mounting surface 541. In this way, the primary electron beam emitted from the electron gun is constantly monitored. This is because the electron gun cannot always emit a constant electron beam, and the amount of emission changes during use.
  • the alignment control device 87 is a device that positions the wafer W with respect to the electron optical device 70 using the stage device 50, and roughly aligns the wafer by wide-field observation using the optical microscope 871 (electronic The measurement is performed with lower precision than the optical system), the high magnification adjustment using the electron optical system of the electron optical device 70, the focus adjustment, the inspection area setting, and the pattern alignment are controlled. Inspection of a wafer at a low magnification by using an optical system in this manner is performed by using an electron beam in order to automatically perform the inspection of the pattern of Jehachi. This is because it is necessary to easily detect an alignment mark by an electron beam when performing wafer alignment by observing the wafer pattern in the narrow field of view used.
  • the optical microscope 871 is provided in the housing (may be movably provided in the housing), and a light source for operating the optical microscope is also provided in the housing (not shown).
  • the electron optical system for high-magnification observation shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70.
  • a schematic diagram of the configuration is shown in FIG.
  • To observe the observation point on the wafer at a low magnification the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction.
  • the wafer is visually recognized in a wide field with the optical microscope 871, and the position to be observed on the wafer is displayed on the monitor 873 via the CCD872, and the observation position is roughly determined.
  • the magnification of the optical microscope may be changed from a low magnification to a high magnification.
  • the stage device 50 is moved by a distance corresponding to the distance ⁇ X between the optical axis of the electron optical device 70 and the optical axis of the optical microscope 871, and the observation on the wafer predetermined by the optical microscope is performed.
  • the point is moved to the viewing position of the electron optical device.
  • the distance between the axis 0 3 — 0 3 of the electron optical device and the optical axis 0 4 1 0 4 of the optical microscope 87 1 (in this embodiment, the two are displaced only in the direction along the X axis).
  • the positional deviation of the rotation direction of the wafer with respect to the rotation center of the rotation table 54 of the stage device 50 that is, by a known method, that is, of the electron optical system optical axis o 3 - detecting a deviation [delta] theta in the rotational direction of the wafer with respect to 0 3, also The positional deviation of a given putter in the X-axis and Y-axis directions with respect to the electron optical device is detected. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer or the data on the shape of the wafer pattern or the like to perform wafer alignment.
  • the inspection of the wafer (data) by the electron optical device can be summarized as shown in Fig.13. That is, first, the position of each die is checked and the height of each location is detected and stored by an optical microscope as necessary. Next, input the recipe information according to the type of wafer (after the process, whether the wafer size is 20 cm or 30 cm, etc.) into the equipment, and then specify the inspection location and set the electron optical system. After setting inspection conditions, defect inspection is usually performed in real time while acquiring images. Cell-to-cell comparison, die comparison, etc. are inspected by a high-speed information processing system equipped with algorithms, and the results are output to a CRT or the like and stored in memory as needed. Defects include particle defects, shape defects (pattern defects), and electrical defects.
  • Detection of electrical defects is achieved by detecting potential contrast anomalies. For example, a place with poor conduction is usually charged positively by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place.
  • the electron irradiation device in this case refers to a low-potential energy electron beam generation device provided separately from the electron beam irradiation device for normal inspection and provided separately to enhance the contrast due to the potential difference. Before irradiating the sample area with the electron beam for inspection, this low potential energy electron beam is generated and irradiated.
  • Irradiation of the electron beam for inspection itself can be positively charged.
  • the image projection system there is no need to provide a separate low-potential electron beam generator depending on the use.
  • a positive or negative potential to the sample such as a wafer with respect to the reference potential, etc. Defects can be detected from differences in contrast. It can also be used for line width measuring devices and alignment accuracy devices.
  • the sample can be processed with a high throughput.
  • the stage supporting the sample which is a sample in the apparatus according to the present invention
  • the stage is non-mounted by a static pressure bearing (supporting by high-pressure gas).
  • a structure that supports contact is adopted.
  • a differential exhaust mechanism that exhausts the high-pressure gas is formed adjacent to the static pressure bearing so that the high-pressure gas discharged from the static pressure bearing does not directly enter the vacuum chamber. Has been maintained.
  • a housing 14 4 ′ 12 constituting the vacuum chamber C is provided with a tip end of an electron beam inspection apparatus column 12 for generating an electron beam and irradiating the sample, that is, an electron beam irradiation section 2.
  • — 2 is installed.
  • the inside of the lens barrel is evacuated by a vacuum pipe 18-2, and the chamber C is evacuated by a vacuum pipe 19'_2.
  • the electron beam is sent from the tip 2-2 of the lens barrel 1-2 to the wafer placed under it. Irradiates the sample w.
  • the sample W is detachably held on the sample stage t by a known method. Is attached to the upper surface of the XY stage (hereinafter simply referred to as stage) 3 ′-2 movable part in Y direction 4 ′-1 2.
  • stage XY stage
  • the Y-direction movable part 4 ′-2 has a surface facing the X-direction movable part 5 ′-2 of the stage 3-2, which faces the guide surface 5 a ′-2 (both left and right sides and the lower surface in Fig. 17 (A)).
  • a plurality of hydrostatic bearings 9'-12 are mounted. By the action of the hydrostatic bearings 9'-12, a small gap is maintained between the guide surface and the Y-direction (Fig. 17 (B)). ).
  • Double grooves g1-2 and g2-2 are formed around the static pressure bearing 9-2, and these grooves are constantly evacuated by vacuum piping and a vacuum pump (not shown). With such a structure, the Y-direction movable portion 4′_2 is supported in a non-contact state in vacuum and can move freely in the Y-direction. These double grooves g1-2 and g2-2 are formed on the surface of the movable portion 4'-12 where the static pressure bearings 9'-12 are provided so as to surround the static pressure bearings.
  • the X-direction movable portion 5 ′-2 on which the Y-direction movable portion 4 ′-1 2 is mounted is open upward as is clear from FIGS. 17 (A) and 17 (B). It has a concave shape, and its X-direction movable part 5'-2 has exactly the same hydrostatic bearings and grooves, and is supported in a non-contact manner with the stage 6'-2. And can move freely in the X direction.
  • the sample W can be moved horizontally with respect to the tip of the lens barrel, ie, the electron beam irradiator 2-2.
  • the electron beam can be irradiated to a desired position of the sample by moving the sample to a desired position.
  • the differential pumping mechanism is provided, so that the stage is compared with the hydrostatic bearing stage used in the atmosphere.
  • problems that the structure was complicated and large, the reliability of the stage was low, and the cost was high.
  • the present invention provides an electron beam inspection apparatus which has a simple structure without the differential evacuating mechanism of the XY stage and can maintain the degree of vacuum in the working chamber.
  • vacuum is a vacuum referred to in the art, and does not necessarily indicate an absolute vacuum.
  • FIG. 19 shows a first embodiment of an electron beam inspection apparatus having an improved stage.
  • a distal end of a lens barrel 112 for irradiating an electron beam toward a sample that is, an electron beam irradiator 2_2 is attached to a housing 14-12 defining a vacuum chamber C.
  • the sample W placed on the movable table in the X direction (the horizontal direction in FIG. 19) of the XY stage 3-2 is arranged immediately below the lens barrel 1-2. This sample W can be accurately irradiated with an electron beam to any position on the sample surface by the high-precision XY stage 3-2.
  • the pedestal 6-2 of the XY stage 3-2 is fixed to the bottom wall of the housing 14-2, and the Y table 5-2, which moves in the Y direction (the direction perpendicular to the paper in Fig. 19), is the pedestal 6- It is on two.
  • a pair of Y direction guides 7a-2 and 7b-2 mounted on pedestal 6-2 face Y tables.
  • a protrusion is formed to protrude into a groove formed on the side. The groove extends in the Y-direction over substantially the entire length of the Y-direction guide.
  • hydrostatic bearings 1 1a-2, 9a-2, lib-2, 9b-2 of a known structure respectively. High pressure via hydrostatic bearing
  • the Y table 5-2 is supported in a non-contact manner with respect to the Y direction guides 7a-2 and 7b-2 so that it can smoothly reciprocate in the Y direction.
  • a linear motor 12-2 with a known structure is arranged so that the Y-direction drive is performed by the linear motor. ing.
  • the Y table is supplied with high-pressure gas by a flexible pipe 22-2 for supplying high-pressure gas, and passes through the static pressure bearings 9a1-2 through gas passages (not shown) formed in the Y table.
  • High pressure gas is supplied for 1 1a-2 and 9b_2 to 1 lb-2.
  • the high-pressure gas supplied to the hydrostatic bearing is ejected in a gap of several microns to several tens of microns formed between the guide surface facing the Y-direction guide and the Y table is moved in the X direction with respect to the guide surface. It plays the role of accurately positioning in the Z direction (vertical direction in Fig. 19).
  • an X table 4_2 is mounted so as to be movable in the X direction (left and right in FIG. 19).
  • a pair of X direction guides 8 a — 2, 8 b — 2 (8 a — 2 only) with the same structure as the Y direction guides 7 a — 2 and 7 b — 2 for the Y table (Shown) is provided with the X table 4-2 interposed therebetween.
  • a groove is also formed on the side of the X-direction guide that faces the X-table, and a protrusion protruding into the groove is formed on the side of the X-table (side that faces the X-direction guide). .
  • the groove extends over almost the entire length of the X-direction guide.
  • the static pressure bearings 1 1a-2, 9a-2, 10a-2, lib-2, 9b are provided on the upper, lower and side surfaces of the projection of the X-direction table 4-2 projecting into the groove.
  • — 2 10 b— A hydrostatic bearing (not shown) similar to 2 is provided in a similar arrangement.
  • a linear motor 13-2 of a known structure is disposed, and the X table is driven in the X direction by the linear motor. I have.
  • the high pressure gas is supplied to the X table 412 by the flexible piping 21-2, and the high pressure gas is supplied to the static pressure bearing.
  • the vacuum chamber C is evacuated by vacuum piping 19-2, 20a-2, 2Ob-2 connected to a vacuum pump or the like having a known structure.
  • the inlet side of the pipes 20a-2 and 20b-2 penetrates the pedestal 6-2, and on the upper surface, near the position where high-pressure gas is discharged from the XY stage 3-2.
  • the opening prevents the pressure in the vacuum chamber from rising as much as possible due to the high-pressure gas ejected from the hydrostatic bearing.
  • a differential evacuation mechanism 25-12 is provided, and even if the pressure in the vacuum chamber C is high, the electron beam irradiation space 30 — The pressure in step 2 is made sufficiently low. That is, the differential evacuation mechanism 25-12 attached around the electron beam irradiation section 2_2 has a small amount of space between its lower surface (surface on the sample W side) and the sample. It is positioned with respect to the housing 14-2 so that a gap (several microns to several hundred microns) 40 _ 2 is formed, and an annular groove 27-2 is formed on the lower surface thereof.
  • the annular groove 27-2 is connected to a vacuum pump or the like (not shown) by an exhaust pipe 28-2. Therefore, the minute gap 40-2 is evacuated through the annular groove 27-2 and the exhaust port 28-2, and from the vacuum chamber C into the space 30-2 surrounded by the annular member 26-2. Even if gas molecules try to enter, they will be exhausted. As a result, the pressure in the electron beam irradiation space 30-2 can be kept low, and the electron beam can be irradiated without any problem.
  • the annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the electron beam irradiation space 30-2.
  • Dry nitrogen is generally used as the high-pressure gas supplied to the hydrostatic bearing.
  • a higher purity inert gas If impurities such as moisture and oil are contained in the gas, these impurities will adhere to the inner surface of the housing that defines the vacuum chamber and the surface of the stage components, causing the degree of vacuum to deteriorate and to adhere to the sample surface. As a result, the degree of vacuum in the electron beam irradiation space is deteriorated.
  • the sample W is not usually placed directly on the X table, but has functions such as holding the sample detachably and making small changes in the position of the XY stage 3-2. Although it is placed on the sample stage, the presence or absence and the structure of the sample stage are not related to the gist of the present invention, and are omitted for simplification of the description.
  • the stage mechanism of the static pressure bearing used in the atmosphere can be used almost as it is, so a high-precision XY stage equivalent to the high-precision stage for the atmosphere used in exposure equipment etc. can be used. It can be realized for an XY stage for an electron beam inspection device at almost the same cost and size.
  • FIG. 20 shows a numerical example of the size of the annular member 26-12 of the differential pumping mechanism and the annular groove formed therein.
  • the annular groove has a double structure of 27a_2 and 27b-2, which are separated in the radial direction.
  • the flow rate of the high-pressure gas supplied to the hydrostatic bearing is usually about 20 L / min (atmospheric pressure conversion).
  • the vacuum chamber C is evacuated with a dry pump having an evacuation speed of 2000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber becomes about 160 Pa (approximately 1.2 Torr).
  • the dimensions of such annular member (2) 6-2 and the annular groove of the differential exhaust mechanism if as shown in FIG. 20, electron beam irradiation space 3 0- the pressure in 2 1 0 4 P a (10 ⁇ 6 1 orr).
  • FIG. 21 shows a second embodiment.
  • a dry vacuum pump 53_2 is connected to the vacuum chamber C defined by the housing 14-2 via the vacuum pipes 74-2, 75-2.
  • the annular groove 27-2 of the differential exhaust mechanism 25-2 is connected to the vacuum port connected to the exhaust port 28-2.
  • An ultrahigh vacuum pump turbo molecular pump 5 1 1 2 is connected via a pipe 70-2.
  • the inside of the lens barrel 112 is connected to a molecular pump 52 through a vacuum pipe 71-2 connected to an exhaust port 18-2.
  • These evening molecular pumps 51-2 and 52-2 are connected to a dry vacuum pump 53-2 by vacuum pipes 72-2 and 73-2.
  • one dry vacuum pump is used for both the roughing pump of the turbo-molecular pump and the vacuum pump for the vacuum chamber.
  • the flow rate of the high-pressure gas supplied to the static pressure bearing of the XY stage Depending on the volume, inner surface area, and inner diameter and length of the vacuum pipe, they may be evacuated with a dry vacuum pump of another system.
  • High-purity inert gas (N2 gas, Ar gas, etc.) is supplied to the static pressure bearing of the XY stage 3-2 through the flexible pipes 21_2 and 22-2. These gas molecules ejected from the hydrostatic bearing diffuse into the vacuum chamber, and are exhausted by the dry vacuum pump 53-2 through the exhaust ports 19-12, 20a-2, and 20b-2. In addition, these gas molecules that have entered the differential exhaust mechanism or the electron beam irradiation space are sucked from the annular groove 27 or the distal end of the lens barrel 12, and are exhausted through the exhaust ports 28-2 and 18-2. It is evacuated by the terpomolecular pumps 51-2 and 52 _ 2 and exhausted from the turbo molecular pump and then evacuated by the dry vacuum pump 53-2. Thus, the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
  • the exhaust port of the dry vacuum pump 53-2 is connected to the compressor 54-2 via the pipe 76-2, and the exhaust port of the compressor 54-2 is connected to the pipe 77-2, 78. -Connected to flexible pipes 21-2 and 22-2 through 2, 79_2 and Regile Night 61-2, 62-2. For this reason, the high-purity inert gas discharged from the dry vacuum pump 53-2 is pressurized again by the compressor 54-2, and adjusted to an appropriate pressure with the regiure 6 1-2 and 6 2-2. After that, it is supplied to the static pressure bearing of the XY table again.
  • the gas supplied to the hydrostatic bearing should be as pure as possible as described above. It is necessary to minimize water and oil content, so the terpomolecular pump, dry pump, and compressor must have a structure that prevents water and oil from entering the gas flow path. Can be In addition, a cold trap, filter, etc. (60-2) is provided in the middle of the discharge pipe 77-2 of the compressor to trap impurities such as water and oil mixed in the circulating gas and to reduce static pressure. It is also effective not to be supplied to the bearing.
  • the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved. Also, since the inert gas does not flow into the room where the device is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be reduced.
  • a high-purity inert gas supply system 6 3-2 is connected to the circulation piping system.
  • the vacuum chamber C and vacuum piping 70-2 to 75-2 and the pressurized side are connected.
  • Piping 7 6 — 2 to 8 0 — Plays the role of filling high-purity inert gas in all circulating systems including 2 and the role of supplying shortage when the flow rate of circulating gas decreases for some reason. I have.
  • the dry vacuum pump 53-2 and the compressor 54-2 can be combined with one pump. .
  • a pump such as an ion pump or a getter pump can be used instead of the one-time molecular pump.
  • a pump such as an ion pump or a getter pump can be used instead of the one-time molecular pump.
  • the electron beam inspection apparatus according to the present invention having the above-described stage has the following effects.
  • (A) A stage having a structure similar to that of a static pressure bearing type stage generally used in the atmosphere.
  • (2) the specimen on the stage can be stably processed by the electron beam.
  • Fine semiconductor circuits can be formed by manufacturing semiconductors using equipment that has high-precision stage positioning performance and a stable degree of vacuum in the electron beam irradiation area. Maintaining the degree of vacuum in the working chamber (improving the stage 2)
  • stage 1 In the stage in which the static pressure bearing and the differential pumping mechanism according to the prior art described in Maintaining the degree of vacuum in the working chamber (Improvement of stage 1) described above were used, the stage faces the static pressure bearing when moving.
  • the guide surface moves back and forth between the high-pressure gas atmosphere in the hydrostatic bearing and the vacuum environment in the chamber.
  • the gas is adsorbed while being exposed to the high-pressure gas atmosphere, and the gas adsorbed is released when exposed to the vacuum environment.
  • the degree of vacuum in the chamber deteriorates, and the above-described processes such as exposure, inspection, and processing with an electron beam cannot be performed stably. There was also the problem of contamination.
  • the present invention provides an electron beam inspection apparatus having an improved stage as described below in order to solve such a problem in the conventional apparatus.
  • FIGS. 22 () and 22 (B) show a first embodiment thereof.
  • a partition plate 1 4-3 that protrudes largely horizontally in the + Y direction and the -Y direction (left and right directions in Fig. 22 (B)) is attached.
  • a throttle section 50-3 having a small conductance is always formed between the diaphragm section 50-3 and the upper surface of the X-direction movable section 6-3.
  • a similar partition plate 12-3 is also provided on the top surface of the X-direction movable part 6-3 so as to project in the soil X direction (left and right direction in Fig. 22 (A)).
  • the narrowed portion 51-3 is always formed between the upper surface of 7_3 and the upper surface of 7_3.
  • the stage base 7-3 is fixed on the bottom wall in the housing 8-3 by a known method.
  • the narrowed portions 50-3 and 51-3 are always formed, so that the guide surface 6 is moved when the movable portions 5-3 and 6_3 are moved. Even if gas is released from a-3 or 7a-3, the restrictors 50-3 and 51-3 prevent the released gas from moving to the space C, so that the vicinity of the sample irradiated with the electron beam The pressure rise in the space 24-3 can be kept very small.
  • a groove for differential exhaust as shown in Fig. 2 is formed around the hydrostatic bearing 9-3. Since the gas is evacuated by these grooves, the gas released from the guide surface is mainly exhausted by these differential evacuation parts when the throttle sections 50-13 and 51-3 are formed. Obviously, the pressure in the spaces 13-3 and 15-3 inside the stage is higher than the pressure in the chamber C. Therefore, not only can the space 13-3 and 15-3 be evacuated by the differential exhaust grooves 17-3 and 18-3, but if a space for vacuum evacuation is provided separately, the space 13-3 and 1 The pressure of 5-3 can be reduced, and the pressure rise of 24-3 near the sample can be further reduced.
  • Vacuum exhaust passages 1 1 1 1 1 3 and 1 1 2 3 are provided for this purpose.
  • the exhaust passage penetrates through the stage base 7-3 and the housing 8_3 and communicates with the outside of the housing 8-3.
  • the exhaust passages 1 1 and 2 are movable parts in the X direction. And is opened at the lower surface of the X-direction movable portion 6-3.
  • the partition plate is made of rubber or formed in a bellows shape, and the end in the moving direction is set to the movable portion 6-3 in the X direction in the case of the partition plate 14-13, and the partition plate 1 2 In the case of —3, it is conceivable to adopt a configuration that is fixed to the inner wall of the housing 8-3.
  • FIG. 23 ' shows a second embodiment.
  • a cylindrical partition 16_3 is formed around the tip of the lens barrel, that is, around the electron beam irradiator 2-3, so that an aperture can be formed between it and the upper surface of the sample S. .
  • the inside of the partition 24-3 is partitioned by the partition 16-3 and the vacuum pipe 10-3 Since the gas is exhausted, a pressure difference is generated between the inside of the chamber C and the inside 24-3 of the partition, and the pressure rise in the space 24_3 inside the partition can be suppressed low.
  • the gap between the partition 16_3 and the sample surface varies depending on how much pressure is maintained inside the chamber C and around the irradiating section 2-3, but about tens of meters to several mm is appropriate. .
  • the interior of the partition 16_3 and the vacuum pipe are communicated by a known method.
  • a high voltage of about several kV may be applied to the sample S, and if a conductive material is placed near the sample, discharge may occur.
  • the material of the partition 16-3 is made of an insulator such as ceramics, no discharge occurs between the sample S and the partition 16-3.
  • the ring members 411 and 3 arranged around the sample S are plate-shaped adjustment parts fixed to the sample table 413, and the electron beam is applied to the end of the sample such as a wafer. Even when irradiating, the end of the partition 16_3 It is set at the same height as the wafer so that a minute gap 52-3 is formed over the entire circumference. As a result, no matter which position of the sample S is irradiated with the electron beam, a constant minute gap 52--3 is always formed at the tip of the partition 16-3, and the space around the tip of the lens barrel 2 is formed. The pressure of 4-3 can be kept stable.
  • FIG. 24 shows a third embodiment having substantially the same contents as those described in the maintenance of the degree of vacuum in the working chamber (stage improvement 1) described above.
  • a partition 19-3 with a built-in differential pumping structure is provided around the electron beam irradiators 2-3 of the lens barrels 1-3.
  • the partition 19-3 has a cylindrical shape, and a circumferential groove 20-3 is formed therein, and an exhaust passage 21-3 extends upward from the circumferential groove.
  • the exhaust passage is connected to a vacuum pipe 23-3 through an internal space 22-13.
  • the lower end of the partition 19-13 forms a small gap of about several tens of meters to several mm with the upper surface of the sample S.
  • the gas is released from the stage as the stage moves, the pressure in the chamber C rises, and even if the gas tries to flow into the tip, ie, the electron beam irradiation unit 2-3, the partition 19-9 Since the conductance of sample 3 is extremely small by narrowing the gap with sample S, the gas is obstructed from flowing in and the amount of gas flowing in decreases. Furthermore, since the gas that has flowed in is exhausted from the circumferential groove 20-3 to the vacuum pipe 23-3, almost no gas flows into the space 24_3 around the electron beam irradiation section 2-3. However, the pressure of the electron beam irradiation section 2-3 can be maintained at a desired high vacuum.
  • the working exhaust structure provided in the partition 19-13 can be as shown in FIGS. 19 to 21.
  • FIG. 25 shows a fourth embodiment.
  • a partition 2 6-3 is provided around the chamber C and the electron beam irradiator 2-3.
  • the electron beam irradiator 2_3 is separated from the chamber C.
  • the partition 26-3 is connected to the refrigerator 30-3 via a support member 29-3 made of a material having good heat conductivity such as copper or aluminum, and the partition 26-3 is connected to the refrigerator 30-3.
  • the member 27-3 is for inhibiting heat conduction between the cooled partition 26-3 and the lens barrel, and is made of a material having poor heat conductivity such as ceramics or resin material.
  • the member 28-3 is made of a non-insulating material such as ceramics.
  • the refrigerator can be cooled by liquid nitrogen, or a refrigerator such as a He refrigerator or a pulse tube refrigerator can be used.
  • FIG. 26 shows a fifth embodiment.
  • the movable plates of stage 3-3 are provided with partition plates 12-3 and 14-13, respectively, as shown in Fig. 22.
  • the sample stage 4-3 can be moved to any position. Even so, these partitions partition the space 13-3 in the stage and the chamber C through the apertures 50-3 and 51-3.
  • a partition 16-3 similar to that shown in FIG. 23 is formed around the electron beam irradiation section 2-3, and the inside of the chamber C and the space where the electron beam irradiation section 2-3 is located are formed.
  • the interval 2 4—3 is separated by the diaphragm 5 2—3.
  • the stage device can exhibit high-precision positioning performance in a vacuum, and the pressure at the electron beam irradiation position does not easily rise. That is, the sample can be processed by the electron beam with high accuracy.
  • the inside of the vacuum chamber is divided into three chambers, an electron beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber, with a small conductance. Then, the vacuum exhaust system is configured so that the pressure in each chamber becomes the electron beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order.
  • the pressure fluctuation to the intermediate chamber is further reduced by the partition, and the pressure fluctuation to the electron beam irradiation chamber is further reduced by the further partition, and it is possible to reduce the pressure fluctuation to a level at which there is substantially no problem. It becomes possible.
  • a fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has high precision stage positioning performance and a stable degree of vacuum in the electron beam irradiation area. Improvement of throughput (electro-optical device with multiple optical systems (barrels))
  • an electron beam emitted from a single electron source is multi-beamed through an aperture plate having a plurality of apertures, that is, a plurality of beams are formed.
  • Throughput is improved by a single electron optical system equipped with a lens barrel that performs inspections.
  • a plurality of lens barrels optical systems
  • multiple optical systems are simultaneously used by these multiple optical systems.
  • an electro-optical device capable of further improving throughput by enabling inspection of a wide range (thus, a wide range). (First Embodiment of Electro-Optical Device with Multiple Optical System (Barrel))
  • four electron optical systems (barrels) la—4, lb—4, lc—4, and Id—4 (each having a maximum outer diameter of 60 a— 4, 60b_4, 60c-4, 60d-4) scans the inspection surface of the wafer W, so that the stage 48-4 (that is, the wafer) moves in the direction perpendicular to the moving direction 21-4. They are arranged in a row on the wafer W.
  • Each of the electron optics la-4, lb-4, lc-4, and Id-4 has basically the same structure as shown in Fig. 7. Electron guns 1-4, condenser lens 2-4, Multi-aperture plate 3-4, Aperture stop 4-1, Condenser lens 5-4, EXB separator 7-4, Electrostatic deflector 6-4, 8-4, Objective lens 10-4, Magnification Lens 1 2-4, 1 3-4, detector opening plate 14-4, detector 15-4, deflector 20-4, stage 48-4, controller 50-4, display 5 2-4 .
  • Fig. 27 (a) shows the top view of the primary electron beam irradiation device (primary optical system) and the secondary electron beam detection device of one of the optical systems 1a-4 of the optical system in Fig. 28.
  • the positional relationship is schematically shown. Where the contour 6 0a— 4 is the first order
  • the maximum outer diameter of the electron beam irradiation system is shown.
  • a row of the multi-aperture plates 3-4 is arranged at equal intervals along the diametrical direction of this maximum outer diameter 60a-4. This is the multi-aperture region 16-4 of the primary electron beam that has passed.
  • Reference numeral 18-4 denotes the optical axis of the secondary electron detector described above.
  • the multi-beam of secondary electrons generated from the wafer by the irradiation of the electron beam in the multi-aperture region 16_4 is converted by the EXB separator 7--4. After being deflected by 4, it travels along the optical axis 18-4 and expands, and then the primary electron beam irradiation system and 2, so that each beam is detected by the multi-detector element of the detector 15-4.
  • the relative position of the secondary electron beam detection system is defined. It is clear from the figure that each of the multi-aperture regions 16-4, that is, the multi-apertures 17-4 corresponds to each of the multi-detection elements, and that there is no crosstalk in the multi-beam.
  • optical systems 1b-4 and 1c_4 constituting the electron optical device of the present embodiment also have the same primary electron beam irradiation device, EXB separator, and secondary electron detection device as described above.
  • the controller 50-4 and the stage 4 8-4 can be shared. However, a signal processing circuit for a secondary electronic image, which is a part of the function of the controller 50-4, may be provided for each optical system as needed instead of being built in the controller.
  • the plurality of optical systems 1 a-4, 1 b-4,... Are arranged in parallel above one wafer W, and irradiate different regions on one wafer W with primary electrons, Secondary electrons generated from each area are detected.
  • the optical axes 18_4 of the secondary optical system of each optical system are alternately arranged in the direction perpendicular to the column direction, that is, in the stage movement direction 2 1 1 4 so that they do not interfere with each other. Have been.
  • the arrangement of the multi-aperture areas 16-4 and the arrangement of the multi-detector elements of the detectors 15-4 are also perpendicular to the stage moving direction 21-4.
  • the single primary electron beam emitted from each electron gun 1 of the optical system 1a-4 to 1d-4 is converged by the condenser lens 2-4 and forms a crossover image by the aperture stop 4-14. I do.
  • the primary electron beam is applied to the multi-aperture plate 314. Since the number of beams that have passed through the multi-apertures 17-4 is formed, in this embodiment, seven multi-beams are formed for each optical system. These multibeams are imaged on the main surface 11-4 of the EXB separator 7-4 by the condenser lens 5-4, and further reduced and imaged on the wafer W by the objective lens 9-4. At this time, seven irradiation spots (see Fig.
  • the electrostatic deflectors 6_4 and 8-4 deflect the multibeam along a direction perpendicular to the stage movement direction 21-4, slightly larger than the interval between adjacent beams. By this deflection, the irradiation spot on the wafer can run in the beam arrangement direction without a break.
  • the stage 48-4 is continuously and synchronously moved and controlled at a predetermined width along the moving direction 21-4, so that the entire inspection surface of the wafer can be scanned. For example, assuming that the detectable width of the beam arrangement direction in two optical systems is 2 mm, the stage can be continuously moved about 20 times, and in the case of four optical systems, a square of 160 mm can be evaluated.
  • the multi-beam of secondary electrons generated from each irradiation spot on the wafer travels almost vertically upward, accelerates and reaches the EXB separator 7-4, where the EXB separators 5-4 And travels along the optical axis 18-4 of the secondary optical system.
  • the distance between these two electron multi-beams is enlarged by magnifying lenses 12-4 and 13-4, passes through the detector aperture plate 14-14, and passes through the multi-detector elements 15-4. Each is detected.
  • the displacement of the secondary electron beam caused by the deflection of the primary electron beam by the deflectors 6-4 and 8-4 is canceled by the correction deflector 19-14. That is, regardless of the scanning of the primary electron beam, Each of the multi-beams always passes through the corresponding aperture of the detector aperture plate 14-4 and is detected by the corresponding detection element on the back.
  • the multi-detector element 15-4 outputs a signal indicating the secondary electron beam intensity to the controller 50-4.
  • the controller 50-4 synchronizes the output signal from the detection element 15-4 of each optical system la-4 to 1d-4 with the deflection control of the primary electron beam and the movement control of the stage 4-8-4.
  • the intensity distribution image of the secondary electron beam over the entire inspection surface of the semiconductor wafer W is finally obtained.
  • the controller 50-4 compares the secondary electron beam image of the wafer with no defect stored in memory in advance with the actually detected secondary electron beam image to automatically determine the defective part. To be detected. In the case of a wafer having many identical dies, a defective portion can also be detected by comparing the detected images of the detected dies. At this time, the detected image may be displayed on the display 52-14, and the portion determined to be defective may be displayed as a mark. As a result, the operator can finally confirm and evaluate whether or not the wafer W actually has a defect.
  • FIGS. 30 to 32 show specific examples of such a defect inspection method.
  • FIG. 30 shows an image 31-4 of a die detected first and an image 32-4 of another die detected second. If the image of another die detected third is judged to be the same as or similar to the first image 3 1 — 4, the 3 3 — 4 part of the second die image 3 2 — 4 is defective. Is determined to be present, and a defective portion can be detected.
  • FIG. 31 shows an example of measuring the line width of a pattern formed on a wafer.
  • the actual intensity signal of the secondary electron is 36-4, and this signal is a thread determined in advance by calibration.
  • the width 3 8-4 of the portion that continuously exceeds the push level 3 7-4 can be measured as the line width of the pattern 3 4-4. If the line width measured in this manner is not within the predetermined range, it can be determined that the pattern has a defect.
  • Figure 32 shows the potential contrast of the pattern formed on the wafer.
  • An example is shown.
  • an axially symmetric electrode 39- is provided between the objective lens 9-4 and the wafer W.
  • the ⁇ 2 V equipotential surface has a shape as shown by 40-4.
  • the patterns 4 1 1 4 and 4 2-4 formed on the wafer have a potential of 4 V and 0 V, respectively.
  • the secondary electrons emitted from the pattern 4 1 1 4 have an upward velocity corresponding to the kinetic energy of 2 eV on the equipotential surface 4 1 4, so this potential barrier 40 0-4 It crosses, escapes from electrode 39-4 as shown in orbit 4 3-4, and is detected by detector 15-4.
  • the secondary electrons emitted from pattern 42-4 cannot cross the potential barrier of 12 V and are repelled to the wafer surface as shown in orbit 44-4, so they are not detected. Accordingly, the detected image of patterns 4 1 to 4 4 is bright, and the detected image of patterns 4 2 to 4 is dark. Thus, a potential contrast is obtained. If the brightness and the potential of the detected image are calibrated in advance, the potential of the pattern can be measured from the detected image. Then, the defective portion of the pattern can be evaluated from this potential distribution.
  • a blanking deflector 20-4 is provided, and the deflector 20-4 deflects the primary electron beam to a portion outside the opening of the aperture stop 44 at a predetermined period.
  • the deflector 20-4 deflects the primary electron beam to a portion outside the opening of the aperture stop 44 at a predetermined period.
  • the electron optical device according to the second embodiment relates to a configuration in which a plurality of optical systems are arranged in two rows and m columns (m> l) on a wafer W.
  • FIG. 29 shows a top view of a configuration example in which six optical systems are arranged in two rows and three columns. Since the specific components of the electro-optical device and each optical system are almost the same as those in the first embodiment, the same reference numerals are given and the detailed description is omitted.
  • the maximum outer diameters of the six electron primary electron beam irradiation devices are indicated by 60a_4 to 60f_4, respectively.
  • the multiple optical systems are arranged so that the optical axis 18_4 (the path of the secondary electron beam) of each secondary optical system is directed to the outside of the row along the row direction so that they do not interfere with each other. Is done.
  • the number m of rows is preferably about 3 or 4 rows, but may be other 2 rows or 4 or more rows.
  • the multi-aperture region 16-4 and the multi-detector 15-5-4 are arranged in one optical system with three rows and three columns, so that as many multi-beams and detection elements as possible can be entered within a range where aberration is small. Like that.
  • the inspection method in which the stage 48_4 is moved in the horizontal plane by repeating the sequential step movement is the same as that in the first embodiment.
  • the number of optical systems is increased, and more multi-beams and detection elements are provided in one optical system, so that the throughput of the semiconductor wafer inspection process is further improved. be able to.
  • the multiple optical system electro-optical device As described above in detail, according to the multiple optical system electro-optical device according to the present invention, a plurality of optical systems capable of independently performing irradiation of primary electrons and detection of secondary electrons are provided, and different regions on the sample are provided. Since this method can evaluate, it is possible to obtain an excellent effect that throughput can be greatly improved while maintaining high resolution. Alignment of electron optical system in multi-beam inspection system
  • an electron beam inspection apparatus provided with an axis aligning apparatus as described below. (Axis alignment of primary optical system)
  • FIG. 33 shows an electron optical system for explaining axis alignment in the present invention.
  • the configuration and operation thereof are substantially the same as those of the above-described multi-beam inspection apparatus. Avoid duplication.
  • This electron optical system consists of an electron gun 1-5, a condenser lens 2-5, a reduction lens 6-5, an electrostatic deflector 5_5, 1 2-5, and an axially symmetric electrode 1 1 as described above. It has a primary optical system that includes a primary lens and an objective lens, and a secondary optical system that includes a magnifying lens and an alignment lens in the primary electron optical system. I do.
  • axis alignment refers to matching the axis of the multi-beam with the optical axis of the optical system.
  • the alignment of the lenses in the primary optics is basically. At least when the excitation voltage of those lenses is changed small, This is done by adjusting the amount of movement of the two beam positions on the sample surface to be the same.
  • the two beams are beams that are equidistant from the center of the multi-beam (for example, a beam on a circle around the point shown in the figure).
  • Axis alignment of the objective lenses 915 can be performed as follows. First, as shown in Fig. 34, at each position (indicated by a black circle) on the surface of sample 10-5 where multiple primary electron beams are imaged, a combination of X and Y lines is formed. Markers 21 1-5, 22-5, ..., 2n-5 (indicating the reference irradiation position of each beam) are provided, and the focusing condition of the primary electron beam on each marker is measured. In this measurement, the signal contrast when multiple primary electron beams are scanned in the X direction and the signal contrast when scanning in the y direction are measured for at least three objective lens excitation voltages. Is plotted as the change in contrast in the X and y directions with respect to.
  • the focusing condition is (V ox + V oy) No 2 and Become.
  • the objective lens 9 is adjusted so that the difference in focusing conditions between two diametrically opposed electron beams in a circle centered on the optical axis is minimized. Find the alignment condition.
  • the minimum difference in focusing conditions means that the difference between the two beams or the distance from the axis of the objective lens passes through the minimum position.
  • various inspections such as defect inspections and CD inspections can be performed without reducing accuracy and throughput by using multiple primary electron beams. Can be enhanced,
  • FIG. 35 shows the same multi-beam electron optical system as described above.
  • the secondary electron optical system of this electron optical system is composed of a first magnifying lens, 9-16, a second magnifying lens 10-6, a multi-aperture plate 1-16, a detector 12-6 , A first deflector 19-6, a second deflector 20-6, and a crossover aperture 21-6.
  • the signal from the scanning signal generation circuit 22-6 is superimposed on the deflection signal output from the deflection signal generation circuit 23-6, and the first deflector 19-6 and the second deflector It is fed to a two-stage deflector consisting of 20-16.
  • These two-stage deflectors 19-16 and 20-6 are provided orthogonal to the optical axis, and a mode for adjusting the axis to the second magnifying lens 10-6 and a stop 21-6 There is a mode for aligning the axis.
  • the scanning signal generation circuit 22-6 and the deflection signal generation circuit 23-6 determine in advance the ratio of the signal intensity given to both deflectors 19-16 and 20-6 for each mode.
  • the deflectors 19-6 and 20-6 are controlled accordingly.
  • the output of the deflector 19-16 is set to 1
  • the output of the deflector 20-6 is set to 1.5
  • the aperture 2-6 At the time of axis alignment, the signal intensity ratio is determined so that the principal surface of the second magnifying lens 10-6 becomes the center of deflection.
  • the image processing unit 14_6 forms an image in synchronization with the scanning of the electron beam on the diaphragm 21-6 by the deflectors 19-6 and 20-6.
  • the scanning signal is supplied to the deflectors 19-6, 20_6 and the image forming circuit of the image processing section 14-16, and the signal from one of the multi-detectors 12-6 is converted to an image data.
  • the image processing unit 14 _ 6 only the address corresponding to the electron beam that passed through the aperture 21-6 among the addresses corresponding to the scanning signal of the image forming circuit of the image processing unit 14 _ 6 Since a strong signal from detector 1 2-6 is input, if the optical axis is aligned, the aperture image 24-6 shown in Fig. 36 (a) is formed.
  • the optical axis is not aligned.
  • an aperture image 25-6 having a strong signal intensity is formed at an address distant from the place where the X and y scanning signals are zero. Therefore, the output of the deflection signal generation circuit 23-6 is changed and supplied to the deflectors 19-6 and 20-6. Then, the deflectors 19-6 and 20-6 deflect the secondary electron beam B2, and as shown in Fig. 36 (a), both the scanning signals x and y become zero and the image of the aperture Can be matched. At this time, the axis alignment has been completed. Also, this state is referred to as having passed through the aperture center.
  • the deflection signal generation circuit 23-6 serves also as a deflection signal generation device and a device that changes the output of the deflection signal generation device and supplies it to the deflection device.
  • the above method can be performed automatically without involving humans in alignment.
  • Alignment of the aperture can be performed automatically.
  • the deflector for scanning and the deflector for axis alignment can be used at the same time, the number of deflectors can be reduced to half. Axis alignment for multiple beams is also possible.
  • the secondary optical system diaphragm can be determined independently of the primary optical system diaphragm.
  • the EXB separator used in the electron optical device in the inspection device described above has a structure in which the electric field and the magnetic field are orthogonal to each other in a plane perpendicular to the surface of the sample.
  • the relationship between energy and its speed satisfies certain conditions, it has the effect of straightening electrons and deflecting electrons at other times, but the region where the electric and magnetic fields are uniformly distributed due to the structure of the EXB separator And regions where their distribution is not uniform.
  • the present invention also provides a method for aligning the multi-beam to the EXB separator in order to eliminate image distortion and blur caused by using the EXB separator in the electron beam inspection apparatus using the multi-beam.
  • the optical system of the electron beam inspection apparatus 1 shown in FIG. 37 has a configuration substantially similar to that of the above-described inspection apparatus, and includes a primary optical system 10-7 and a secondary optical system.
  • the system is provided with a system 30-7, a detecting device 40-7, and an XY stage 80-7 for moving a sample to be inspected in the X direction and the Y direction.
  • the primary optical system 110-7 is an optical system that irradiates the surface of the sample, that is, the wafer W, with an electron beam.
  • Multi-aperture plate formed with an electrostatic lens 12-7 and a plurality of small holes (eight in this embodiment, 13a-7 to 13h-7) formed in a straight line 1 3-7, Electrostatic deflector 14 7, First multi-aperture plate 13 3-7 Electrostatic reduction lens that deflects the multi-beam passing through 7-7, Scans the multi-beam It comprises an electrostatic deflector 16-7, an EXB separator 17-7, an electrostatic objective lens 18_7, and an axis aligning device 19-9-7 for aligning the electron beam. As shown in Fig. 37, they are arranged in order with the electron gun 11-7 at the top and the optical axis A of the electron beam emitted from the electron gun is perpendicular to the sample W. You. Note that, inside the electron gun 11-7, a plurality of projections in which force swords are linearly arranged (in the present embodiment, for example, as shown in FIG. 1 1h—7) are formed.
  • the secondary optics 30-7 are located along the optical axis B, which is inclined with respect to the optical axis A, near the EXB separator 17-7 of the primary optics 10-7.
  • the detection device 40-7 has a detector 41-7 for each opening of the second multi-aperture plate 33-7. Further, each detector 41-17 is connected to an image processing section 43-7 through an amplifier 42-7, and the image processing section 43-7 further receives a signal supplied to the electrostatic deflector 16-7. The same signal is given.
  • Electrons are emitted in eight directions from a large number of protrusions (11a-7 to llh-7) of a single electron gun 11-11.
  • the emitted electron beam C is focused by the electrostatic lens 12-7 to form a crossover C1.
  • the electron condensed C focused by the electrostatic lens 1 2-7 irradiates the first multi-aperture plate 13-7, and is linearly arranged on the first multi-aperture plate 13-7 (for example, in the X direction). ) It passes through multiple small holes (13a-7 to 13h-7), and is made into eight multibeams.
  • Each electron beam of these multi-beams is reduced by the electrostatic reduction lens 15-7 and projected to the position shown by the point 50-7, focused at the point 50-7, and then Focus on sample W by objective lens 18-7.
  • the multi-beam coming out of the first multi-aperture plate 13-7 is moved by the electrostatic deflector 16-7 arranged between the electrostatic reduction lens 15-7 and the electrostatic objective lens 18-7. At the same time, it is deflected to scan the surface of the sample W.
  • Eight points of the sample W are irradiated by the focused multi-beam, and the secondary electrons emitted from these irradiated points are attracted by the electric field of the electrostatic objective lens 18-7 to be narrowly focused.
  • the beam is deflected by the EXB separator 17-7 and fed into the secondary optical system.
  • the secondary electron image is formed at points 5 1-7 closer to the electrostatic objective lens than at points 50-7. This is because each of the primary multi-beams has an energy of 500 eV, whereas the secondary electrons have only a few eV of energy.
  • the formed secondary electrons are moved along the optical axis B and are incident on the electrostatic magnifying lenses 311-17 and 32-7.
  • Secondary electrons that have passed through these electrostatic magnifying lenses form images at the positions of the multiple small holes (33a-7 to 33h_7) of the second multiaperture plate 33-7.
  • the electrons passing through the small holes are detected by the corresponding detectors 4 1 1 7.
  • the secondary electrons emitted from the sample W by the electron beam passing through the small holes 13a-7 of the first multi-aperture plate 13-7 are converted to the small electrons of the second multi-aperture plate 33-7.
  • Each detector 4 1 1 7 converts the detected secondary electrons into an electric signal representing its intensity.
  • the electric signals output from the detectors are respectively amplified by the amplifiers 42-7, received by the image processing unit 43-7, and converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image processing unit 43-7, the image processing unit 43-7 displays an image representing the surface of the sample W. By comparing this image with the standard pattern, a defect of the sample W can be detected.
  • each electron beam passing through the small hole of the first multi-aperture plate 13-7 is focused on the sample surface, and the secondary electrons emitted from the sample W are detected by the detectors 4 1 1 7
  • special care must be taken to minimize the effects of the three aberrations of the primary optical system: distortion, field curvature and field astigmatism.
  • the sample W is two-dimensionally scanned, and the signal of the scanning electron microscope is converted to the image processing unit 4 3 -Appears on 7.
  • a marker (37 + symbol) 20-7 is provided on the surface of the sample W.
  • the size of the marker 20-7 is 5 microns, while the eight electron beams are mutually An image is formed at a position about 100 micron away. Therefore,
  • the image of 20-7 can be scanned by only one electron beam and displayed on the image processing section 43-17.
  • the alignment of the electronic beam to the EXB separator 17-7 is performed using the axis alignment device 19-17. Done. First, of the eight electron beams, the marker 20-7 on the sample is formed only by the electron beam formed by the rightmost small hole 13h-7 of the first multi-aperture plate 13-17. After the position of the XY stage 80_7 is determined for scanning, the scanning force is applied to the magnetic field of the electrostatic deflector 16-7 and the EXB separator 17_7 by applying a scanning signal to the magnetic field. -7 is scanned two-dimensionally and detected by the detector 41-7, and the image of the power 20-7 is displayed on the image processor 43-7.
  • the voltage to be applied to the EXB separator 17-7 is periodically changed between the reference value and the reference value + 10 ports.
  • the image processing units 43-7 display two images of the force apart from each other by the position movement amount 4417.
  • the position movement amount 4 4-1 7 corresponds to the deflection amount of the electron beam passing through the small hole 13 h-7 due to the electric fluctuation of the EXB separator 17-7.
  • the value of the position movement amount 4 4-1 7 is stored.
  • the laser beam 20-7 on the sample is scanned with only the electron beam formed by the leftmost small hole 13a_7 of the first multi-aperture plate 13-7.
  • X-Y stage 80-7 position is determined, marker 20-7 is scanned and detected by detector 41-7, and image of marker 20-7 is sent to image processing unit 43-7.
  • Display The electron beam formed by the small holes 13a-7 is opposite to the electron beam formed by the small holes 13h-7 with respect to the optical axis A of the primary optical system 10-7.
  • the two electron beams are located at the same distance on the side, and therefore these two electron beams are located at the farthest distance from each other.
  • the voltage applied to the EXB separator 17_7 is periodically changed between the reference value and the reference value + 10 ports.
  • the images of the two markers separated from each other by the position movement amount 4 4 ′-17 are displayed on the image processing sections 43-17.
  • the position movement amount 447 corresponds to the amount of deflection of the electron beam passing through the small hole 13a-7 due to the voltage fluctuation of the EXB separator 17-7.
  • the value of the position movement amount 4 4 ′ -1 7 is also stored.
  • the voltage of the alignment device 19-17 was set to various other values, and the electron beam passing through the small hole 13h-7 and the electron beam passing through the small hole 13a-7 were set. Perform the same operation as described above for the robot and calculate the position movement amounts 4 4 — 7 and 4 4 ′ _7 for each.
  • the voltage value of the axis aligning device 19 17 that minimizes the difference between the two position movement amounts 4 4 — 7 and 4 4 ′ _ 7 is obtained, and the axis aligning device 19 17 is set to the voltage value.
  • the alignment of the electron beam incident on the EXB separator is completed. Thereby, a plurality of electron beams can be arranged in a region where the electric and magnetic fields of the EXB separator are uniform.
  • a first multi-aperture plate 13-7 and a second multi-aperture plate 33-7 are replaced with a linearly arranged electron beam.
  • a plurality of (four in this embodiment) small holes around the optical axis A of the optical system at equal distances from the optical axis four multi-beams may be formed. Since the four multi-beams are all equidistant from the optical axis A, when the voltage of the EXB separator 17-7 is changed, the amount of position movement of these four multi-beams becomes the same. Must be aligned as shown. Therefore, it is necessary to perform the axis alignment operation for each of the four electron beams out of the eight beams.
  • markers are provided at the positions where the four electron beams are incident, and the images of these markers are displayed on four monitors of the image processing section 43-7, and the respective positions are displayed. Movement amounts 4 4 _ 7 and 4 4 ′-7 may be measured simultaneously. Further, the position movement amount of the marker 20-7 may be automatically measured by computer control without using the image processing section 43-7, and the axis may be automatically adjusted. In this case, the markers 20-7 are more suitable for line and space markers in the X and Y directions than for the + marks.
  • Each electron beam of the multi-beam can be stably arranged in the region where the electric field and magnetic field of the EXB separator are distributed in a uniform manner, and all the electron beams can be narrowed down.
  • Alignment of the electron beam incident on the EXB separation can be performed by an operation similar to a warbler operation in which the symmetric position of the lens magnetic field is searched and the electron beam advances in that direction. Alignment of multibeam and aperture of multiaperture plate
  • An electron gun that generates a multi-beam needs to generate a highly directional beam in order to obtain high brightness, but this highly directional beam is incident on a multi-aperture plate to obtain a strong multi-beam. For this purpose, it is necessary to precisely match the region where the beam intensity from the electron gun is high with the opening position of the multi-aperture plate.
  • the present invention makes the strong region of the beam incident on the multi-aperture plate from the beam source coincide with the aperture position of the multi-aperture plate, and obtains the sample surface of the multi-beam obtained therefrom.
  • the arrangement direction above and the pattern direction on the sample surface can be made to exactly match. The embodiment will be described below.
  • reference numeral 18 denotes an electron gun, which includes a power source 3-8, an energy electrode or focusing electrode 5-8, and an anode 7-8.
  • Force Sword 3-8 is formed in a truncated conical L a B 6 single crystal with a plurality of small protrusions, that is, electron beam emitting ends arranged in a circle.
  • the crossover 918 created by the electron gun moves to the cathode side and is emitted from the electron emission end on the circumference of the force sword.
  • the trajectory of the electron beam Move to line position 1 3—8.
  • a negative shallow bias voltage is applied to the Wehnelt electrodes 5-8, they move from the solid line to the dotted line.
  • the anodes 7-8 are usually grounded, and the beam emitted from the anodes is converged by the condenser lens 15-8 to form a crossover at 17-8.
  • a multi-aperture plate 19-8 is provided on the electron gun side of the crossover 17-8, and a beam applied to the multi-aperture plate is shaped into a small multi-beam at the aperture of the multi-aperture plate.
  • the small aperture of the multi-aperture plate 19-18 a 1 — a 7 does not coincide with the region b 1 -b 7 where the beam intensity of the beam applied to the multi-aperture plate is large, and the intensity difference between the beams exiting from the multi-aperture plate 19 18 Get out. Therefore, all beams are translated so that the center O2 of the beam incident on the multi-aperture plate 19-8 by the axis alignment coil 21_8 coincides with the center ⁇ 1 of the multi-aperture plate. Adjust so that the beam intensity emitted from each aperture al-a7 of the aperture plate becomes uniform.
  • FIG. 40 shows the relationship between the multi-beams whose axial alignment has been adjusted by the axial alignment coils 21-8 and the apertures of the multi-aperture plates.
  • the beam intensity of the beam incident on the multi-aperture plate only when the center ⁇ 2 of the entire beam incident on the multi-aperture plate 1 9-8 coincides with the center ⁇ 1 of the multi-aperture plate
  • the region b 1 -b 7 where the radius is large does not always coincide with the opening position a 1 -a 7 of the multi-aperture plate 19 18, indicating that the adjustment is insufficient.
  • the apertures a 1-a 7 of the multi-aperture plate have a radial displacement (radial direction) of 4 1-4 and an azimuthal (circumferential) displacement between the areas b 1-b 7 where the beam intensity is high. There is a shift of 4 3-4, and within the area c 1 -c 7 where the beam intensity of the beam incident on the multi-aperture plate is not very strong There may be. Therefore, in the present invention, the radiation direction (radial direction) position and the azimuth direction (radial direction) between the region b 1 -b 7 where the beam intensity is large and the opening positions a 1 -a 7 of the multi-aperture plate coincide with each other. (Peripheral direction) to allow adjustment.
  • Radial alignment can be achieved by adjusting the bias voltage applied to Wehnelt electrodes 5-8. That is, in order to move the region b l-b 7 where the beam intensity is strong outward in the radial direction (radial direction), it is sufficient to deepen the negative voltage applied to the anode electrodes 5 _ 8. Conversely, in order to move a region with a high beam intensity inward in the radial direction, the negative voltage applied to the Wehnelt electrode may be reduced.
  • the illustrated example is an example in which the electron guns 18 form a crossover 191-8. However, when the electron gun forms one diverging beam without forming a crossover, the Wehnelt electrode 5 ⁇ 8 is used.
  • the multi-beam incident on the multi-aperture plate 19_8 is rotated by a rotating lens 35-8 provided between the electron gun 118 and the multi-aperture plate 19-8.
  • the multi-aperture plate 19-8 may be provided with a rotation mechanism 37-8, and the multi-aperture plate 19-8 may be rotated about the optical axis. In this manner, the azimuth directions of the region b l -b 7 where the beam intensity is high and the openings a 1 -a 7 of the multi-aperture plate can be matched.
  • a rotating lens 39-18 is provided between the multi-aperture plate 19-18 and the sample 29-8 'to rotate and adjust the multi-beam coming out of the multi-aperture plate 19-8 around the optical axis.
  • the sample surface can be scanned efficiently by accurately aligning the direction of the multi-beams on the sample surface with the coordinate axis (for example, the X coordinate) of the sample surface.
  • a deflector for scanning the multi-beam on the sample surface is provided below the reduction lens 23-8, and this deflection direction is separately adjusted to the coordinate axis. If the rotating lens 39-8 is provided below these deflectors, the direction of deflection will also deviate, so the rotating lens is better above the reduction lens 23-8.
  • the rotating lens 35-8 provided between the electron gun 1-8 and the multi-aperture plate 19-18, and the rotating lens 35-8 provided between the multi-aperture plate 19-8 and the sample 29-8.
  • a rotating lens 39-9 provided on the multi-aperture plate and a rotation mechanism 37-8 that can rotate the multi-aperture plate around the optical axis.
  • these rotating lenses 35-8, 39-9 and the rotating mechanism 37-8 may be used in an appropriate combination.
  • a strong beam can be obtained by matching the radiation position of the strong beam created by the electron gun with the radiation position of the aperture of the multi-aperture plate.
  • a position shift occurs between the image of the secondary electron beam acquired by irradiating the area to be inspected on the sample surface with the primary electron beam and the reference image prepared in advance, and defect detection is performed.
  • This position shift may occur when the primary electron beam scanning area is shifted with respect to the wafer and a part of the inspection pattern is missing from the secondary electron beam detection image. big This is a problem and cannot be dealt with simply by optimizing the matching area in the detected image. This can be a fatal drawback, especially for inspection of high definition patterns.
  • FIG. 42 shows a schematic configuration of a defect inspection apparatus according to the present invention.
  • this defect inspection system uses an electron gun 2-10 that emits a primary electron beam, an electrostatic lens 8-10 that focuses and forms the emitted primary electron beam, a multi-aperture 1 2-10, a molding.
  • EXB deflector 24 to advance the beam so that the irradiated primary electron beam impinges on the semiconductor wafer W almost vertically, an objective lens 18 to focus the primary electron beam on the wafer W, and vacuum
  • the stage 60--10 which is provided in a sample chamber (not shown) that can be evacuated and can move in a horizontal plane with the wafer W mounted thereon, the secondary electron beam emitted from the wafer W by irradiation with the primary electron beam Lens to lead to the secondary electron detector 36 _ 10, 28-10, 30-10, detector to detect the guided secondary electron 36-10, and the whole device Image format that forms a detected image based on the secondary electron signal detected by the detector 36-10 Circuit 4 0 one 6, configured to include a control section 4 2- 1 0 that executes a process for detecting defects of the wafer W based on the image.
  • the detector 36-10 converts the secondary electrons collected by the electrostatic lenses 28-10 and 30-10 into electrical signals.
  • the detector 36-10 has detectors equal to the number of multi-beams, and the secondary electron signals of the number of detectors are imaged in parallel to the image forming circuit 40. -Output to 10
  • the secondary source image formed by 40_10 is sent to the control unit 42-10.
  • the control unit 42-10 can be configured by a general-purpose personal computer or the like as illustrated in FIG. This computer is a control unit that executes various controls and arithmetic processing in accordance with a predetermined program.
  • a CRT 4 8-10 which displays the processing result of the main body 52-10, and an input unit 50-0_10 such as a keyboard / mouse for an operator to input a command.
  • the control unit 16-9 may be composed of hardware dedicated to the defect inspection apparatus or a workstation.
  • the control unit main body 52-10 is composed of various control boards such as a CPU, a RAM, an R ⁇ M, a hard disk, and a video board (not shown).
  • the secondary electron image storage for storing the digital image data of the secondary electron image of the wafer W formed from the electric signal received from the detector 36-10 on a memory such as a RAM or a hard disk. Regions 5 4—6 are allocated. Further, on the hard disk, there is a reference image storage unit 56-10 which stores in advance a reference image data of a wafer having no defect. Further, in addition to a control program for controlling the entire defect inspection apparatus, secondary hard-disk image data is read from the storage area 54-6 on the hard disk, and the wafer W is read in accordance with a predetermined algorithm based on the image data. A defect detection program 58-8, which automatically detects the defect of each type, is stored.
  • the defect detection program 58_6 matches the reference image read out from the reference image storage unit 56-1-10 with the actually detected secondary electron beam image, as will be described in detail later. It has a function to automatically detect defective parts and display a warning to the operator when it is determined that there is a defect. At this time, the secondary electron image 46-10 may be displayed on the display unit of the CRT 48-10.
  • a sample, W is set on the stage 60-10 (step 300-9). This may be a mode in which a large number of wafers W stored in a loader (not shown) are automatically set on the stage 60-10 one by one.
  • the plurality of inspection areas to be image-acquired include, for example, reference numbers 32 a-9, 32 b-9,. 2 k-9... Are rectangular areas shown around the wafer inspection pattern 30-9, and can be seen to be displaced while partially overlapping.
  • 16 images of the inspected area 32-9 are acquired.
  • the rectangular cells correspond to one pixel (or a block unit larger than the pixel may be used), and the black cells correspond to the image portion of the pattern on the wafer W. I do.
  • the details of this step 304-9 will be described later with reference to the flowchart of FIG.
  • step 34-9 the image data of the plurality of inspection areas acquired in step 34-9 are compared with the reference image data stored in the storage unit 54-6, respectively (steps 3108-9 in FIG. 3). Then, it is determined whether or not the inspection surface covered by the plurality of inspection regions has a defect. In this step, a so-called matching process between image data is executed, and details thereof will be described later with reference to a flowchart of FIG.
  • Steps 3 1 8 1 9 As a warning method, for example, a message indicating the presence of a defect is displayed on the display unit of the CRT 48-10, or at the same time, an enlarged image 466-10 of the pattern having the defect is displayed. Good. Such a defective wafer may be immediately taken out of the sample chamber 3-9 and stored in a storage location different from the defect-free wafer (steps 31-19).
  • Step 312-19 negative determination the area to be inspected for the wafer W as the current sample is determined. It is determined whether or not is still left (step 3 14 4-9). If the area to be inspected remains (step 3 14-9 affirmative decision), drive stage 60-10 to inspect The wafer W is moved so that another area to be inserted is within the area irradiated with the primary electron beam (steps 31-16-9). Thereafter, the flow returns to step 302-9, and the same processing is repeated for the other inspection area.
  • Step 31-9 If there is no area to be inspected (Step 31-9 negative), or after the defective wafer extraction process (Step 31-9-9), the wafer currently used as the sample is the final wafer. It is determined whether or not there is, that is, whether or not an uninspected wafer remains in a loader (not shown) (step 3220-9). If it is not the final wafer (Step 3 2 0-9 rejection determination), store the inspected wafer in the specified storage location, and set a new uninspected wafer on stage 60-10 instead (Step 3). 3 2
  • Step 320-0-9 affirmative decision
  • the inspected wafer is stored in the specified storage location, and the entire process is completed.
  • Fig. 45 first, the image number i is set to the initial value 1 (step 3
  • This image number is an identification number sequentially assigned to each of the ⁇ inspection area images.
  • the image position (Xi, Yi) is determined for the region to be inspected with the set image number i (step 332-2-9).
  • the image position is defined as a specific position in the region for defining the inspection region, for example, a center position in the region.
  • the image positions of all the inspection image areas are It is predetermined and stored, for example, on the hard disk of the control unit 16-9, and is read out in step 332-2-9.
  • the primary electron beam passing through the objective lens 18-10 in Fig. 42 is irradiated onto the inspection image area at the image position (Xi, Y.) determined in step 332-2-9.
  • the deflection controllers 4 2-10 are the deflection electrodes 2 2-10 and 2 4-1 Apply potential to 0 (step 334-9 in Figure 45).
  • the primary electron beam is emitted from the electron gun 2-10, and the electrostatic lens 416, 14-10, EXB deflector 24-10, objective lens 18-10, and deflection electrode 22 -Irradiate the wafer W on the surface of the set wafer through 10 and 24-10 (Step 33- 9).
  • the primary electron beam is deflected by the electric field created by the deflecting electrodes 22-10 and 14-10, and extends over the entire inspection image area at the image position (Xi, Yi) on the wafer inspection surface 34-9. Run.
  • the image number i is 1, the area to be inspected is 32a-9. Secondary electrons are emitted from the target area scanned by the primary electron beam.
  • the generated secondary electron beam is imaged on the detector 36-10 by the electrostatic lenses 28-10 and 30-10.
  • the detector 36_10 detects the collected secondary electron beam, outputs an electric signal for each detection element, and converts and outputs the digital signal in the image forming circuit 40-6 (step 338— 9). Then, the digital image data of the detected image number i is transferred to the secondary electronic image storage area 54-6 (step 340-9).
  • the image number i is incremented by 1 (step 342- 9), it is determined whether the incremented image number (i + 1) exceeds the fixed value i MAX (step 344- 9).
  • This i MAX is the number of the inspection images to be acquired, and is “16” in the above-described example of FIG.
  • step 344-9 negative judgment
  • the process returns to step 332-9 again, and the image position (X i + 1 , Y i + 1 ) is determined again.
  • This image position is a pre-Le image position determined in one Chin (Xi, Yi) locations is moved by a predetermined distance ( ⁇ ⁇ Y t) in the X-direction ⁇ beauty Z or Y direction from.
  • the inspection region is a (X P Y t) position ( ⁇ 2, ⁇ 2) which moves only in the Y direction from the next, the rectangular region 32 b-9 indicated by a broken line.
  • Steps 332-2-9 through 342-2-9 is sequentially and repeatedly executed for i MAX number of test areas.
  • these inspected areas are inspected planes 34_9 of the wafer such that the inspected image area 32k-9 at the image position ( Xk , Yk ) moved k times. Above, the position is shifted while partially overlapping. In this way, 16 pieces of image data to be inspected illustrated in FIG. 42 are acquired in the image storage areas 8-9.
  • the acquired images 32 2 _ 9 (inspection images) of the inspection areas are, as shown in FIG. 42, an image 30 0 of the pattern 30-9 on the wafer inspection surface 34-9. It can be seen that a-1 9 is partially or completely incorporated.
  • step 3444-9 affirmative determination
  • this subroutine is returned and the routine moves to the main routine routine comparison step (step 308-9) in Fig. 44. .
  • the image transferred to the memory in step 340_9—evening consists of the secondary electron intensity values (so-called solid image) for each pixel detected by the detector 36-10. Since a matching operation is performed on the reference image in the subsequent comparison process (steps 3 08-9 in FIG. 44), it can be stored in the storage area 58-6 after various arithmetic processing has been performed. Such arithmetic processing includes, for example, normalization processing for matching the size and Z or density of the image data to the size and size or density of the reference image data, or noise processing for isolated pixels having a predetermined number of pixels or less. And the like.
  • the data may be compression-converted into a feature matrix in which the features of the detected pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern.
  • a feature matrix for example, a two-dimensional inspection area composed of MXN pixels is divided into m X n (m ⁇ M, n ⁇ N) proxies, and the secondary electrons of the pixels included in each block are divided.
  • mXn feature matrix or the like in which the sum of the intensity values (or a normalized value obtained by dividing the sum value by the total number of pixels of the entire inspection area) is used as each matrix component.
  • the reference image data is also stored in the same expression.
  • the image data referred to in the embodiments includes not only mere solid data but also image data whose features are extracted by an arbitrary algorithm.
  • the CPU of the control unit 42-10 reads the reference image data from the reference image storage unit 54-6 (FIG. 42) onto a working memory such as a RAM (step 350-0-9).
  • This reference image is designated by reference numeral 46-10 in FIG.
  • the image number i is reset to 1 (steps 3 5 2-9), and the inspection image data of the image number i is read out from the storage area 8-9 into the parking memory (steps 3 5 4 _ 9).
  • the read reference image data is matched with the data of the image i, and a distance value D i between them is calculated (step 356-9).
  • This distance value Di represents the similarity between the reference image and the image to be inspected i, and the larger the distance value, the greater the difference between the reference image and the image to be inspected. Any distance value Di can be used as long as it represents a similarity. For example, if the image data consists of MXN pixels, the secondary electron intensity (or feature amount) of each pixel is regarded as each position vector component in the MXN dimension space, and the reference image vector and image i vector in the MXN dimension space are considered. The Euclidean distance between the torrs or the correlation coefficient may be calculated.
  • the distance value between image data represented by m ⁇ n feature vectors may be calculated.
  • This threshold Th is experimentally determined as a criterion for determining a sufficient match between the reference image and the image to be inspected.
  • Step 35-8-9 affirmative determination
  • step 3558-9 If the distance value D i is equal to or greater than the predetermined threshold value Th (step 3558-9 negative determination), the image number i is incremented by 1 (step 362-2-9), and the incremented image number (i + It is determined whether or not 1) exceeds a fixed value i MAX (step 36-4-9).
  • step 3 6 4-9 negative judgment
  • step 3 5 4-9 the inspection surface 3 4 of the wafer W - determines that "there is defect" to 9 (Step 36-6-9)
  • step 36-6-9 return this subroutine. That is, if all of the images to be inspected do not substantially match the reference image, it is determined that there is a defect.
  • matching between image data either matching between pixels or matching between feature vectors is used, but both may be combined. For example, high-speed matching is first performed using a feature vector that requires a small amount of computation, and as a result, for an image to be inspected that has a high degree of similarity, matching is performed using more detailed pixel data. And accuracy can be compatible.
  • the displacement of the image to be inspected is dealt with only by shifting the position of the irradiation area of the primary electron beam. Regions having a high number of relations are detected and matched) and the present invention can be combined. According to this, it is possible to cope with a large positional deviation of the test image by the positional deviation of the irradiation area of the primary electron beam according to the present invention, and to absorb a relatively small positional deviation in the subsequent digital image processing.
  • Figure 4 4 The flow of the flowchart is not limited to this. For example, for the sample determined to have a defect in step 312-19, defect inspection for other areas was not performed.However, the processing flow was changed to cover all areas and detect defects. You may. Also, if the irradiation area of the primary electron beam can be enlarged and almost the entire inspection area of the sample can be covered by one irradiation, steps 314-9 and 316_9 can be omitted.
  • Each of the plurality of primary electron beams 12-10 formed by the first multi-aperture plate 8_10 is reduced by the reduction lens 1410 and projected to the point 16-10. Is done. After focusing at points 16-10, the sample is focused to the sample 20-10 by the objective lens 18-10.
  • First multi-aperture plate A plurality of primary electron beams 12-10 emitted from 8-10 are deflectors 2-as a scanning device disposed between a reduction lens 14-10 and an objective lens 18 _ 10. By 10, it is deflected so as to simultaneously scan the surface of the sample 20-10. Note that scanning of the plurality of primary electron beams 12-10 may be performed only by the deflectors 22-10, but by using the deflectors 22-10 and an EXB separator described later. You may go.
  • the multi-aperture plate 8-10 has nine small apertures 8a- to eliminate the effects of field curvature aberrations of the reduction lens 14-10 and the objective lens 18-10.
  • 10 to 8 i _ 10 are arranged along the circumferential direction, and the projection in the X direction has a structure in which the intervals are equal.
  • the primary electron beam 1 2 _ 10 passing through the small aperture 8 a—1 0 to 8 i—10 of the multi-aperture plate 8-10 has the small aperture 8 a—1 0 to 8 i—10.
  • there are nine beams 1 2a—10 to 12 i—10 along the circumferential direction see FIG. 50).
  • the small openings are arranged along the circumferential direction.
  • the small openings may be arranged along the linear direction.
  • the number of small openings is nine, at least two is sufficient.
  • a plurality of points are irradiated on the sample 20-10 by the plurality of focused primary electron beams 12-10.
  • the secondary electron beams emitted from these illuminated multiple points are attracted to the electric field of the objective lens 18_10, focused finely, and deflected by the EXB separator 24-10 as a separation device.
  • the primary electron beam is injected into the secondary optical system away from the primary optical system for irradiating the sample 20-10 with the primary electron beam.
  • 17-10 indicates an axis deflector
  • 19-10 indicates an axially symmetric electrode.
  • a rotating lens 23-10 capable of rotating a plurality of primary electron beams 1 2 1 10 is provided with a multi-aperture plate 8-10 constituting a part of an electron beam forming apparatus and a separating apparatus as a separating apparatus. It is provided between the EXB separator 24-10. More specifically, the rotating lens 23-10 is close to the point 6_10 in the present embodiment. It is set aside.
  • the rotating lens 23-10 can rotate a plurality of primary electron beams 12-10 around the optical axis according to the intensity of the exciting current flowing through the coil of the rotating lens 23-10. it can.
  • the secondary optical system has magnifying lenses 28-10 and 30-10, and the secondary electron beam passing through these magnifying lenses 28-10 and 30_10 is (2)
  • the multi-aperture plate 3 4-10 forms an image at a plurality of apertures 3 4 a-10 to 34 i-10. Then, the light passes through the multi-aperture and is detected by a plurality of detectors 36a-10 to 36i-10.
  • the second multi-aperture plate 34-10 is located in front of the detectors 36 & 10 or no 36 1_10.
  • the openings 34a-10 through 34i-10 are formed along the circumferential direction of the second multi-aperture plate 34-10, and are formed in the first multi-aperture plate 8-10.
  • a plurality of openings 8a-1 0 to 8i-10 correspond one-to-one.
  • the openings 34a_10 to 34i-10 of the second multi-aperture plate 34-10 are shown by dotted lines.
  • the detectors 36a-10 to 36i-10 are arranged opposite to the openings 34a-10 to 34i-10 of the second multi-aperture plate 34-10.
  • the apertures 34a-10 through 34i-10 of the second multi-aperture plate 34-10 they are provided along the circumferential direction.
  • Vessels 36a—10 to 0.36i—10 are shown schematically).
  • Each detector 36a-10 to 36i-10 converts the detected secondary electron beam into an electric signal representing its intensity.
  • the electric signals output from the respective detectors are respectively amplified by the amplifiers 38-10 and then received by the image processing unit 40-10 and converted into image data.
  • the same signal as the scan signal for deflecting the primary electron beam given to the deflector 22-10 is supplied to the image processing unit 40-10 by the controller 42-10.
  • the image processing section 40-10 synthesizes the image data from the position data of the scanning signal and the grayscale signal of the secondary electronic signal to form or display an image representing the scanned surface of the sample 20-10. can do.
  • the image processing section 40-10 is connected to the control device 42-1-10 so that data communication is possible. As shown in FIG.
  • the control device 42-10 can be composed of, for example, a general-purpose personal computer or the like.
  • This computer has a control unit 44-10 that executes various controls and arithmetic processing according to a predetermined program, and a CRT 48-10 that displays the processing results and secondary electronic images 46-10.
  • an input unit 50-10 such as a keyboard and a mouse for the operator to input commands.
  • the control device 42-10 may be constituted by hardware dedicated to the defect inspection device or a workstation.
  • the control section main body 44-10 includes various control boards such as CPU, RAM, ROM, and video boards (not shown).
  • the storage device 52-10 is connected to the control unit main body 44-10.
  • the storage device 52-10 can be composed of, for example, a hard disk.
  • a secondary electronic image storage area 54-1 for storing the secondary electronic image data of the sample 20-10 received from the image processing unit 40-10 on the storage device 52-10.
  • a reference image storage section 56-10 which previously stores reference image data of a sample having no defect is assigned.
  • a control program 58-8 to store or correct the deviation is stored.
  • the details of the control method for calibrating the deviation of the primary electron beam will be described later. However, the calibration is performed before the evaluation of the sample, and thereby the initial setting of the primary electron beam is performed.
  • the image data representing the surface to be scanned of the sample 20-10 stored in the secondary electron image storage area 54-10 is stored in the reference image storage section 56-10.
  • the defect is compared with the reference image data of the non-existing sample, thereby detecting a defect of the sample 20-10.
  • the evaluation The scanning line is moved near the optical axis 10-10 of the primary optical system, the line width evaluation signal is taken out by line scanning, and this is appropriately calibrated to obtain the signal on the sample 20-10.
  • the line width of the pattern can also be measured.
  • a laser mirror that is, a laser reflecting mirror 62-10 is provided at an end of the stage 60-10 in the X direction, and forms a movable mirror.
  • the objective lens has a fixed mirror.
  • the distance between the fixed mirror and the movable mirror is always maintained by interfering the beam reflected by the fixed mirror with the laser beam from the laser oscillator 64-10 and the beam reflected by the movable mirror and the fixed mirror.
  • the position of the stage 60-10 in the X direction can be measured.
  • a laser moving mirror (not shown) and a fixed mirror for measuring the Y direction are provided on the objective lens at the end of the stage 60-10 in the Y direction.
  • the position of stage 60-10 in the Y direction can be measured by interference when a laser beam from a laser oscillator (not shown) provided in position is reflected by two reflecting mirrors. You can do it.
  • a marker table 66-10 is provided, and the surface of the marker table 66-10 forms an XY coordinate plane (see Fig. 50).
  • markers 66-6a-10 and 66e-10 are provided on the marker base 66-10 for the beam position measurement.
  • a—10 and 66 e ⁇ 10 are provided almost in parallel along the X-axis direction, and the parallelism with the X-axis is measured in advance and stored as a device constant in the storage device of the control device 42-10. It is stored in 10.
  • the center between the points 66 a-10 and 66 e-10 is represented as a point ⁇ .
  • the stage 60-10 is moved in the X and Y directions using the above-described stage driving device until the primary electron beam 12-10 can irradiate the surface of the marker table 66-10. Stage 60-10 can be moved. At this time, the primary electron beam 12-10 A plurality of irradiation points 1 2a-1 0 or 1 2 i-10 are formed along the circumferential direction on the surface of 10 (that is, on the surface of the marker table 66-10), As shown at 50, a primary electron beam 12a—10 to 12i-10 is formed). The distance between the markers 66a-10 and 66e-10 is formed so as to be smaller than the scanning width at the time of marker detection. Thus, one primary electron beam does not irradiate two markers in the same scan. Also, since the primary beam and the detector correspond exactly, the signal generated when another primary electron beam scans the marker is not mistaken.
  • the stage 60--10 is driven using a stage driving device to move the master table 66-10 below the optical axis, and the optical axis 10-10 and the master 66a-1 are moved. Align with the center point O between 0, 66 e—10 (step 68—10 in FIG. 51). This alignment may be performed so as to be within a predetermined error range. For example, a primary electron beam is scanned in a predetermined range in the X and Y directions to form irradiation points 12a_10 and 12e—10 A primary electron beam 12a—on the X axis This can be done by confirming that a value of 66a-10, 66e-10 is detected by 1 0, 1 2e-10.
  • the distance from the marker 66a-10 to the irradiation point of the primary electron beam 12a-10 is determined in both the X and Y directions.
  • the distance from the marker 66 e—10 to the irradiation point of the primary electron beam 1 2 e—10 It is longer than it is.
  • the distance from the marker 66 a—10, 66 e—10 force to the irradiation point of the primary electron beam 12 a—10, 12 e ⁇ 10 is predicted. It shows the deviation of multiple primary electron beams (that is, the deviation of multiple beams) with respect to the value.
  • the laser reflecting mirror 62-11 and the laser length measuring device 64- The position of the stage 60-10 is calculated using 10 and this position information is stored in the storage device 52-10 as a device constant of the minimum force position.
  • the alignment between the optical axis 10-10 and the master beam 66-10 as described above need only be performed once as the initial setting of the electron beam apparatus. Further, the device constant of the marker position measured based on the above-described alignment is updated based on a calibration process described later.
  • the mutual position of the primary electron beams is measured.
  • two markers are provided on the marker table, and two electron beams 12 a forming irradiation points near the two markers 66 a-10 and 66 e-10.
  • the positions of the primary electron beams are measured by scanning _10 and 12e-10 across the marker.
  • the other electron beams bd and f-i are omitted in FIGS. 52 to 53 (c).
  • the control device 42-10 controls the deflector 22_10 ⁇ , as shown in FIG. 52 (a). Scan the electron beams 1 2 a _ 10, 12 e-10 in the X direction toward the markers 66 a-10, 66 e-10 (step 70-in Fig. 51). Ten ). At this time, the primary electron beam 1 2e-10 crosses the marker 66e-10 first, and then the primary electron beam 12a-10 crosses the marker 66a-10. As shown in Fig.
  • the detector 3 6 e-10 associated with the primary electron beam 1 2 e _ 1 0 first outputs a signal 90 0-10, and then the primary A signal 92-10 is output from the detector 36 a-10 associated with the electron beam 12 a-10.
  • Fig. 5 3 The horizontal axis of (a) represents time, and the vertical axis represents signal strength. Since the scanning speed (mZ sec) of the primary electron beam in the X direction is known in advance, the marker 66 in the X direction can be calculated from the time when two signals 90-10 and 92-10 are output.
  • the distance between 10 and the primary electron beam 1 2a—10 (that is, the irradiation point 1 2a—10) and the marker in the X direction 66 e—10 and the primary electron beam 1 It is possible to calculate the distance between 2 e—10 (that is, the irradiation point 1 2 e—10).
  • the primary electron beam 1 2 a-10 ie, the irradiation point 1 2 a-10) and the primary electron beam 1 2
  • Step 7 2—10 constitutes the measurement device. If the error is not within the range of the tolerance, the intermediate lens 14-10 and the objective lens 18-10 shown in Fig. 42 are zoomed by the instruction of the controller 42-10, that is, the object point position 8- Change the reduction ratio without changing 10 and the image point position 20-10 (Steps 74-10 in Fig. 51: The steps 74-10 constitute a two-stage lens control device).
  • the position of the primary electron beam 1 2a—10 ie, the irradiation point 12 a—10) with respect to the marker 66 a—10 in the X direction and the marker 66 e—10
  • the position of the primary electron beam 1 2 e— 10 that is, the irradiation point 1 2 e— 10) with respect to the X direction can be changed.
  • steps 70-10, 72-10 and 74-10 are repeated so that the waveform of the signal 90-10 and the waveform of the signal 92-10 appear at approximately the same time.
  • the control device 42-10 controls the deflector 22-10, and FIG. 52 (b)
  • the electron beams 1 2 a-10 and 1 2 e-10 are scanned in the Y direction toward the targets 66 a-10 and 66 e-10 (Fig. Step 1 of 6 7 6—10).
  • the primary electron beam 1 2 e—10 crosses the marker 66 e—10 first, and then the primary electron beam 1 2a — 10 crosses the marker 66 a—10 Therefore, as shown in FIG.
  • a signal 94_10 is output from the detector 36e-10 corresponding to the primary electron beam 12e_10
  • a signal 96-10 is output from the detector 36a-10 corresponding to the primary electron beam 12a-10.
  • the horizontal axis in Fig. 53 (b) represents time, and the vertical axis represents signal strength. Since the scanning speed of the primary electron beam in the Y direction (m / ⁇ sec) is also known in advance, the marker 6 in the Y direction can be calculated from the time when the two signals 9 0-10 and 9 2-10 are output.
  • the distance between 6 a—10 and the primary electron beam 1 2 a—10 (ie, the irradiation point 1 2 a—10) and the marker in the Y direction 6 6 e—10 and the primary electron beam It is possible to calculate the distance to 1 2 e—10 (that is, the irradiation point 1 2 e—10).
  • the time difference between the two signals 94-11, 96-10 is calculated, and it is determined whether or not this time difference is within the allowable range of the design value (Step 7 in FIG. 51). 8—10). If the signal 94-110 appears first, as shown in Fig. 53 (b), but not within the tolerance, the primary electron beam, as shown by the arrow in Fig. 52 (b), It rotates counterclockwise around the center point ⁇ . In such a case, the controller 42-10 adjusts the intensity of the exciting current supplied to the rotary lens 23-10 shown in FIG. 42 (see FIG. 5 1).
  • Step 80-10 rotate the primary electron beam clockwise and repeat steps 76-0, 78-10 and 80-10 to produce signals 94-1 10 and Make sure that the signals 96-110 appear simultaneously within the tolerance.
  • the marker 66 a-1 in the Y-line direction to the primary electron beam 12 aa-10 ie, the irradiation point 12 aa-10) on the marker base 66-10
  • the distance from the marker 66 e — 10 in the Y-line direction to the primary electron beam 12 e — 10 that is, the irradiation point 12 e — 10.
  • the line drawn between the marker 6 6a-10 and the force 6 6e-10 is connected to the primary electron beam 1 2a-10 (that is, the irradiation point 1 2a _ 1 0) and the primary electron beam 1 2 e-10 (that is, the irradiation point 1 2 e _ 10) are almost parallel to each other (that is, there is no rotation error). Clearly). If it is determined that the time difference between the two signals 94-11, 96-10 is within an allowable error range, the current of the rotating lens 23-10 is stored at this time. It is stored in the device 52_10 (step 79-9-10).
  • the X direction on the marker table 66-10 as shown in FIG. 52 (c) is obtained.
  • the distance xa from the marker 66 a—10 to the primary electron beam 1 2a-10 (ie, the irradiation point 1 2a—10) at, and the primary electron from the marker 6 6 e—10 in the X direction The distance X e to the beam 1 2 e _ 10 (that is, the irradiation point 1 2 e — 10) is almost equal to the distance X e, and the marker in the Y-line direction on the masturbator 66-10 From the distance from the 6 6a—10 to the primary electron beam 1 2a—10 (that is, the irradiation point 1 2a _ 10) ya and the force in the Y-line direction 6 6 e—10 The distance ye to the primary electron beam 1 2 e—10 (that is, the irradiation point 1 2 e—10)
  • the alignment amount between the optical axis position and the mark position is calculated or measured as shown below.
  • the lens 1410 and the excitation voltage of 18-10 and the signal 94-11 The excitation current of the rotating lens 23-10 when the signal 96-10 is output almost simultaneously is stored (steps 73-10, 79-10 in Fig. 51). Further, the deflection sensitivity ( ⁇ mZmV) of the deflector 22-10 is stored in the storage device 52-10, and therefore, when the scanning is performed in the X direction from the known deflection sensitivity (mZmV).
  • the number of meters between the position of the primary electron beam and the marker is shifted in the X direction (that is, the distance between and), and based on the deflection voltage when scanning in the Y direction.
  • Calculate how many meters the beam and marker are displaced in the Y direction (ie, the distance between ya and ye) (step 84-10 in Fig. 51).
  • the device constant at the new marker position is updated (Step 86-1, Figure 51). 0).
  • the minimum line width is 0.1 or less.
  • Semiconductor wafers can be evaluated with high throughput and high reliability.
  • the beam spacing, rotation, and the position between the beam and the marker of multiple primary electron beams (multibeams) can be measured without being affected by stage vibration.
  • the primary electron beam can be accurately adjusted to the XY coordinates of the main platform, complicated calculations are not required during image formation.
  • the calibration method is performed by controlling the control device according to a program stored in the storage device, but may be performed while visually observing image information.
  • the method of performing the calibration process using two of the nine primary electron beams is described.
  • the primary electron beam is used.
  • the number should be at least two.
  • the irradiation point of the irradiation void with respect to the position measurement marker is measured, and when the position deviation is measured, the irradiation points of the plurality of primary electron beams are determined based on the position deviation. Calibration was performed, so that the position, attitude (rotational state), or distance between electron beams of multiple electron beams emitted toward the stage were different from the design values. Even in this case, it is possible to detect defects in the sample with high resolution and high throughput. Aberration correction (adjustment of crossover position)
  • the crossover position includes image distortion, lateral chromatic aberration, rotational chromatic aberration, Affects aberrations such as curvature of field and astigmatism in the field of view, and has a particularly large effect on lateral chromatic aberration and rotational chromatic aberration.
  • the present invention can adjust the crossover position formed near the objective lens so as not to affect the lens characteristics, thereby correcting aberrations.
  • the goal is to be able to
  • FIG. 54 is a schematic view of an electron optical system for explaining this adjustment, and is substantially the same as the electron optical system described so far.
  • each of the plurality of primary electron beams 20-11 formed by the first multi-aperture plate 3-11 is reduced by a reduction lens 5-11 to a point 151-111. Projected to After focusing at point 15—11, the sample W is focused by the objective lens 7—11.
  • the plurality of primary electron beams 20_11 are focused by the reduction lens 5-11 to form a crossover at the point 2411.
  • the crossover position 24_111 is located near the objective lens 7-11, and more specifically, between the EXB separator 6-11 described later and the objective lens 7-11. I do.
  • reference numeral 17-11 denotes an axis-aligning deflector
  • reference numeral 18-11 denotes an axisymmetric electrode.
  • a rotating lens 22-1-11 capable of rotating a plurality of primary electron beams 20-1-11 is composed of a multi-aperture plate 3-11 constituting a part of an electron beam forming apparatus and an EXB as a separating apparatus. It is provided between the separator 6 and 11. More specifically, the rotating lens 22_1 is provided in the vicinity of the point 411. The rotating lens 22-11 can rotate a plurality of primary electron beams 20-11 around the optical axis according to the intensity of the exciting current flowing through the coil of the rotating lens 22-11. it can.
  • Stage 6 0— 1 1 can be moved in the X direction (horizontal direction in Fig. 54), the Y direction (direction perpendicular to the plane in Fig. 54), and the Z axis direction (vertical direction in Fig. 54) by a stage driving device (not shown). Has become.
  • Reference numeral 31-1-11 indicates a laser oscillator, and the laser oscillator 311-111 oscillates laser light.
  • the oscillated laser beam is split into two beams by a half mirror 61-11-1.
  • One of the beams transmitted through the half mirror 6 1—11 reaches the moving mirror 40—11 provided at the end of the stage 60—11 in the X direction, and the other beam is transmitted through the total reflection mirror 6-11.
  • the light is reflected by 2-11 and reaches the fixed mirror 39-11 provided in the objective lens 7-11, and is respectively reflected.
  • the beam reflected by the moving mirror 40—11 passes through the half mirror 61–11 and is guided to the receiver 63–11, and the beam reflected by the fixed mirror 39–11 is all
  • the light is reflected again by the reflection mirror 6 2 _ 11 1 and the half mirror 6 1 -11, and is guided to the receiver 63 3 -11.
  • the interference light of the reflected beam from the movable mirror 40-11 and the fixed mirror 39-11 is detected by the receiver 63-11.
  • the detection signal is transmitted to the CPU 32-11, and the CPU 32-1-1 causes the distance between the moving mirror 40-11 and the fixed mirror 399-1 in the X and Y directions, that is, the stage. 6 XY coordinate position of 0—1 1 is detected.
  • a marker (not shown) is provided on the stage 60-11, and the stage drive device moves the stage 60-11 in the X direction and the Y direction, and the primary electron beam 20-11. Can move stage 60-11 to a position where it can scan the surface of the marker.
  • the beam position can be detected by the primary electron beam 2011 running over the surface.
  • the CPU 32-11 is connected to the cathode power supply 25-11 of the electron gun 1-111, and the CPU 32-11 is controlled by the CPU 32-111.
  • the voltage applied to 11 is periodically changed from several 10 to several 100 V, and the beam position is detected by the CPU 32-11 when this change is made. Movement can be measured. That is, force sword 3 0—marked to 1 1
  • the applied voltage is periodically changed from several 10 to several 100 V, and the primary electron beam 20--11 on the sample W is emitted or rotated about the optical axis. Movement can be measured. Changing this voltage corresponds to changing the beam energy.
  • Minimizing radial or rotational movement means that chromatic aberration of magnification or chromatic aberration of rotation is minimized.
  • the crossover position was adjusted using an aperture, there was a problem that the characteristics of the electron optical lens were affected and the characteristics were significantly different.
  • the voltage applied to the force source 30-1 1 is changed so that the movement of the plurality of primary electron beams 20-1 1 on the sample W is minimized.
  • the cross-over position 24-11 formed by the multiple primary electron beams 20-11 near the objective lens 7-11 is adjusted in the optical axis direction, which affects the lens characteristics. The above adjustments can be made to ensure that no adjustments are made.
  • the image is obtained.
  • Any one of aberrations such as distortion, lateral chromatic aberration, rotational chromatic aberration, coma, and visual field astigmatism can be corrected, and the landing angle can be corrected.
  • an aperture plate such as that shown in FIG. 8 is used, there is no problem with image distortion and field curvature aberration, and there is no effect on the visual field astigmatism or the landing angle.
  • the electron beam inspection apparatus in order to perform inspection with high reliability, it is necessary to set the amount of secondary electrons detected per pixel to about 400 pixels. Therefore, it is necessary to increase the intensity of the electron beam.
  • the electron beam crossover position can be changed to any position without changing the brightness or the emission current by changing the anode voltage or the control anode voltage. Can be controlled as follows. Therefore, it is possible to easily adjust the direction in which the intensity of the electron beam from the electron gun is high to the area of the plurality of holes in the aperture plate.
  • the present invention provides an electron beam inspection apparatus that can operate an electron gun in a space charge limited region and reduce shot noise of an electron beam.
  • an electron beam inspection apparatus 111 is schematically shown.
  • This electron beam inspection apparatus 112 has substantially the same configuration as the above-described electron beam inspection apparatus.
  • the primary optics 1 0-1 2 consist of an electron gun 1 1-1 2, a condenser lens 12-1 2, 13-1-2 for focusing an electron beam, an aperture plate 14-1 2, Electrostatic deflector for alignment 15-1 2, 16-12, knife edge 17-12 for blanking, and reduction lens to reduce the electron beam passing through aperture plate 14-1 2 1 8-1 2, electrostatic deflector 19 1-1 2, EXB separator 20 _ 12, and objective lens 2 1-1 2, which are equipped with an electron gun 1 1-1 2 It is arranged on the top, and the optical axis A of the electron beam emitted from the electron gun is perpendicular to the surface S of the sample.
  • An electrostatic deflector 23-12 for alignment is provided behind the electron gun, and an electrostatic deflector 24-for alignment is provided between the condenser lenses 12-12 and 13-12.
  • 1 2 and 2 5— 1 2 are arranged respectively.
  • the force sword 1 1 1 1 1 1 2 of the electron gun 1 1-1 2 has a structure in which a plurality of small projections are arranged concentrically with respect to the optical axis A by the number of electron beams.
  • the electron gun 1 1-1 2 also has a Wehnelt electrode 1 1 2-1 2 and an anode 1 13-1-2. By increasing the bias of the Wehnelt electrode 1 1 2-1 2 to some extent, the electron gun 1 Can be controlled within the space charge limited region.
  • the secondary optics 30-1 2 comprises two electrostatic magnifying lenses 3 1 located along the optical axis B, which is tilted relative to the optical axis A near the EXB separator 20-12 — 1 2 and 3 2— 1 2 and multiple small holes arranged in two dimensions Opening plate 33-12-2.
  • the detection device 40-12 includes a detector 41-12, an amplifier 42-12, and an image processing unit 43-12.
  • the control device 50-12 includes a deflector control section 51_1_2, and a computer 52_2_12 for controlling the image processing section 43-12 and the deflector control section 51_112. .
  • this electron beam inspection device is the same as the device described so far.
  • the electron guns 111-122 can be controlled within the space charge limited region.
  • FIG. 56 shows another electron beam inspection apparatus 1 ′-1 2.
  • the same components as those in the device shown in FIG. 55 are denoted by the same reference numerals, and those having the corresponding but different configurations are denoted by the same reference numerals with the symbol “′” added thereto. Represent.
  • the electron beam inspection apparatus 1 ′ 1 1 2 has a primary optical system 10 ′-1 2, a secondary optical system 30 0-12, and a detection apparatus 4 0—1 2 and a control device 5 0—1 2.
  • the primary optics 1 0 ′ — 1 2 consists of an electron gun 1 1 ′ 1 1 2, a condenser lens 1 2 ⁇ 1 2, 1 3 1 1 2, and multiple small holes 1 4 1
  • a reduction lens 18-12 for reducing the electron beam that has passed through 1, electrostatic deflector 19-12, EXB separator 20-12, objective lens 2 These are arranged with the electron gun 1 1 1 1 2 at the top and the optical axis A of the electron beam emitted from the electron gun perpendicular to the surface S of the sample.
  • the primary optical system 10-12 includes an electrostatic deflector 23-12 for axial alignment behind the electron gun and a condenser lens 12-12. Electrostatic deflectors 24-12 and 25-1-12 for axis alignment are respectively arranged between and 13-2.
  • Electron gun 1 1 ′ — 1 2 power sword 1 1 1 ′ _ 1 2
  • This is a structure that is arranged concentrically with respect to the optical axis A by the number of sagittal wires.
  • the electron gun 1 1 ′ 1 1 2 is provided with a Wehnelt electrode 1 1 2 ⁇ 1 2 and an anode 1 1 3 ⁇ 1 2, and by increasing the bias of the Wehnelt electrode 1 1 2 ⁇ 1 2 to a certain degree, The electron gun can be controlled within the space charge limited region.
  • the aperture plate 14 ′-1 2 is disposed behind the condenser lens 12-12 and behind the crossover C 1 formed by the condenser lens 12-12.
  • the condenser lens 13-1-2 is located behind the aperture plate 14-1-2.
  • the configuration and the arrangement of the secondary optical system 30-12, the detection device 40-12 and the control device 50-12 are the same as in the first embodiment.
  • the plurality of electron beams C emitted from the electron guns 1 1 ′ 1 1 2 form a crossover 1 C 1 near the anode 1 1 2 _ 1 2, and the crossover C 1 is much larger than the crossover C 1.
  • the divergent electron beam is focused by a condenser lens 12-12 with a short focal length, and forms a crossover C 2 near the condenser lens 12-12. Opening plate 14'-12 is located well away from crossover C2.
  • the electron beam C diverging from the crossover C 2 irradiates the aperture plate 14,-1 2, passes through a plurality of small holes 14 1-11 formed in the aperture plate 14 ′-1 2, and forms a multi-beam. Is done. These multi-beams are converged by the condenser lenses 13-12 to form an image on the crossover C3. At this position, a knife edge 17-12 for blanking is arranged. The electron beam that has passed through the multiple small holes 1 4 1 1 1 2 is reduced by the reduction lens 18 1-12 and projected onto C 4.
  • the electron beam travels toward the sample S, and the multi-beam formed by the c- aperture plate 14 '-12, which forms an image on the sample S by the objective lens 21-1-12, is transmitted to the computer and In response to a command from the deflector control unit, the scanning deflector 1911 and the scanning deflector 1912 are simultaneously controlled to run on the surface of the sample S at the same time.
  • the vertical position of the crossover C 2 is changed as shown by a point C 5 in FIG. 56 by changing the excitation of the condenser lens 12-12. If it is moved to the position on the electron gun side, the radial position of the high intensity part of the electron beam from the electron gun 1 1 1 1 2 1 2 It can be oriented so that it extends outward (arrow D) at the position 14'_12.
  • the electron gun 11-1 2, 1 1 '-1 2 The radial position of the high-strength part can be oriented so as to narrow inward at the positions of the aperture plates 14-12, 14'11. In this way, the radiation direction position of the part where the electron beam intensity is high can be adjusted to match the area of the aperture plate 14-12, 14'-112. These adjustments can be easily made by changing only the excitation of the condenser lenses 12-12 without changing the conditions of the electron guns 1 1 ′ 1 1 2. Therefore, the electron gun can be operated under arbitrary conditions in the space charge limited region, and the shot noise generated by the electron beam is approximately 1.8% larger than when operated in the temperature limited region. Can be reduced.
  • the stage movement speed is simply increased to improve the throughput, the total amount of current (hereinafter referred to as “dose”) of the electron beam applied to the sample decreases, and the sample image Therefore, it is necessary to increase the amount of current of the electron beam emitted from the electron gun by an amount corresponding to the increased stage moving speed.
  • high-speed inspection in the conventional multi-beam inspection system It is performed by continuously irradiating a large current beam onto the sample surface while moving it continuously at high speed.
  • the stage may stop or the moving speed may decrease for some reason during the inspection.
  • the present invention when irradiating the sample with the electron beam while moving the sample and the electron beam relative to each other, it is possible to prevent a sudden increase in the dose due to the stop of the relative movement and a reduction in the speed And a multi-beam inspection device capable of protecting the sample.
  • Fig. 57 exemplarily shows a case where a dose control function is incorporated in a multi-beam inspection device.
  • the multibeam inspection apparatus 1 to 13 has substantially the same configuration as the above-described electron beam inspection apparatus, but has an operation mode (hereinafter, referred to as “observation mode”) in which a sample image is acquired with the stage stopped. ) And an operation mode for acquiring a sample image at high speed while moving the stage (hereinafter referred to as “inspection mode J”). It is important to note that a mechanism to protect the sample on the stage when the operation in the inspection mode becomes abnormal for some reason (hereinafter referred to as “sample protection mechanism”) is provided.
  • This multi-beam inspection device 11-13 has a primary optical system 10-13, a secondary optical system 30-13, and a detection device 40-13 similar to the aforementioned multi-beam inspection device.
  • a first chamber (not shown).
  • the primary optical system 10-13 has an electron gun 111 at the top.
  • the electron guns 11-13 accelerate and converge thermions emitted from the cathode, and emit them as electron beams.
  • An electron gun control unit 2 controls the acceleration voltage V ac of the electron gun 111 and controls the power supply of the electron gun 111 to turn on and off. 0_13 is connected. Further, a gun alignment mechanism (not shown) and a gun aligner (not shown) for adjusting the position of the electron guns 11 to 13 are provided.
  • a two-stage electrostatic lens 12-13, 15-15-3 and a multi-aperture plate 133-1 3 and a primary deflector 16_13 are formed with a plurality of small holes arranged in a straight line so that the electron beam emitted from the electron gun 11-13 can be converted into a plurality of electron beams (hereinafter referred to as “primary beam”). ”).
  • Each of the electrostatic lenses 12-13 and 15-13 of the primary optical system 10-13 is a 3-pole or 2-pole electrostatic lens (or electromagnetic lens) whose rotation axis is symmetric.
  • the lens voltage of each electrostatic lens is controlled by a primary optical system control unit 21-1-13 connected to the primary optical system 101-3.
  • the primary deflectors 16-13 are constituted by electrostatic deflectors or electromagnetic deflectors.
  • the primary deflector 16-13 is an electrostatic deflector consisting of eight electrodes, the trajectory of the primary beam is deflected in the X direction by changing the voltage applied to the opposing electrodes along the X axis. can do. Also, by changing the voltage applied to the electrodes facing each other along the Y axis, the trajectory of the primary beam can be changed.
  • the voltage applied to each electrode of the primary deflector 16-13 is controlled by a primary deflector control unit 22-13 connected to the primary deflector 16-13.
  • the electron gun control unit 20-13 and the primary optical system control unit 21-1-13 and the primary deflector control unit 22-1-13 are connected to the host computer 23-1-13. I have.
  • a stage 80-13 that can move the sample L and move in the XY direction is installed.
  • a predetermined retarding voltage Vr to be described later is applied to the stage 80_13.
  • a stage control unit 24-13 is connected to the stage 80-13.
  • the stage control unit 24_13 drives the stage 80-13 in the XY direction and reads the XY position of the stage 80-13 using a laser interferometer (not shown) (data rate For example, 10 Hz), the XY position signal is output to the host computer 23-13.
  • the stage control unit 24-13 detects the moving speed of the stage 80-13 based on the read XY position, and outputs a speed signal to the host computer 23-13.
  • an electrostatic objective lens 31—13 Inside the secondary optical system 30—13, an electrostatic objective lens 31—13, an EXB separator 32—13, along the optical axis B of the secondary optical system 30—13, The second electrostatic lens 33-13 and the third electrostatic lens 34-13 are arranged.
  • the electrostatic objective lens 31-13 is composed of, for example, three electrodes.
  • the configuration of such an electrostatic objective lens is known to those skilled in the art.
  • the EXB separator 32-13 is a deflector acting as an electromagnetic prism.
  • the Wien condition (E vB, where V is the velocity of charged particles, E is electric field, B is magnetic field, Charged particle that satisfies E ⁇ B (eg primary beam) Can move straight, and bend the trajectory of other charged particles (eg, secondary electrons).
  • the second electrostatic lens 33-1-33 and the third electrostatic lens 34-14-13 are all rotationally symmetrical lenses called Unipotential lenses or Weinzel lenses, each having three lenses. It is composed of electrodes. In each electrostatic lens, the lens action is usually controlled by setting the outer two electrodes to zero potential and changing the voltage applied to the center electrode.
  • the above-mentioned electrostatic objective lens 3 1-1 3, the second electrostatic lens 3 3-1 3, the voltage of each lens of the third electrostatic lens 34-13, and the EXB separator 3 2-1 13 The electromagnetic field generated is controlled by the secondary optical system control unit 25-13 connected to the secondary optical system 30-13.
  • the detecting device 40-13 includes a multi-aperture plate 4-11 and a detector 42-13.
  • the multi-aperture plate 4 1-13 is arranged on the image plane of the third electrostatic lens 34-13, and restricts secondary electrons from the adjacent primary beam from mixing. Further, a plurality of small holes are formed in the multi-aperture plate 41-11 in a straight line in accordance with the number and arrangement of the small holes of the multi-aperture plate 133.
  • the detectors 42-13 include a phosphor that converts electrons into light and a PMT (photomultiplier tube) that converts light into an electric signal. Since a high electric field is applied between the multi-aperture plate 41-13 and the detector 42-13, there is a convex lens action near the small holes of the multi-aperture plate 41-13. All secondary electrons approaching the holes pass through these holes.
  • PMT photomultiplier tube
  • Each detector 42-13 is connected to an image processing unit 43-13.
  • the secondary optical system control unit 25-13 and the image processing unit 43-13 are connected to a host computer 23-13.
  • the CRT 26-13 is connected to the host computer 23-13.
  • the primary beam is emitted with a current amount corresponding to the accelerating voltage V ac of the electron gun 111.
  • the amount of current of the primary beam emitted from the electron gun 111 is referred to as “electron gun current Ia”.
  • the primary beam from the electron gun 1 11 passes through the primary optical system 10 13 while undergoing the lens action, and reaches the primary deflector 16 13.
  • the primary beam deflectors 16—13 because the deflection of the primary deflectors 16—13 does not extend to the primary beam. It passes through and enters the center of the EXB separator 3 2 1 1 3.
  • the primary beam is irradiated on the sample L in a multi-beam state via the electrostatic objective lens 31_13.
  • the current amount of the primary beam applied to the sample L (hereinafter referred to as “irradiation current amount Ib”) is much smaller than the electron gun current amount Ia.
  • the correspondence between the irradiation current Ib and the electron gun current Ia is known, and the correspondence between the electron gun current Ia and the acceleration voltage Vac of the electron guns 11 to 13 is also known. Therefore, the relationship between the irradiation current Ib and the acceleration voltage Vac is also known.
  • the irradiation current Ib of the primary beam can be set to a desired value by controlling the acceleration voltage V ac of the electron gun 11-13. it can. Information on the set value of the irradiation current Ib is output from the electron gun control unit 20-13 to the host computer 23-13.
  • the irradiation current amount lb is set to a different value in the observation mode and the inspection mode described later.
  • the shape of the primary beam irradiation area on the sample L is adjusted to an arbitrary size by controlling the lens voltage of the primary optical system 10-13.
  • the sample L can be uniformly irradiated between the primary beams by the irradiation current Ib.
  • the dose D o is given by the following equation (1), where the total area S of each primary beam and the irradiation time T of the primary beam are given. ). That is, the dose Do increases in proportion to the irradiation current Ib and the irradiation time T.
  • the dose Dv is expressed by the following equation (2), using the moving speed (V ⁇ 0) of the stage 80-13. Is done. That is, the dose Dv is proportional to the irradiation current lb, and increases in inverse proportion to the stage moving speed V.
  • sample L there is a limit to the dose that can be tolerated for sample L. If the primary beam is irradiated until the dose exceeds the allowable range of the dose, contamination or charge-up of sample L occurs, or in the worst case, In some cases, sample L may be destroyed. For this reason, data relating to the allowable range of the dose of the sample L is predetermined for each type of the sample L, and stored in the storage unit of the host computer 23-13. The data on the allowable dose range is used in a sample protection mechanism described later.
  • the XY position of the primary beam irradiation area can be moved on the sample by controlling the voltage applied to the primary deflector 16-13 to deflect the trajectory of the primary beam.
  • an electron beam (hereafter referred to as “secondary beam”) composed of at least one of secondary electrons, reflected electrons, or backscattered electrons from the sample L in the irradiation area. Occurs.
  • This secondary beam has two-dimensional image information of the irradiation area.
  • primary bee The secondary electrons will have a clear image without shadows because the beam is irradiated perpendicular to the sample surface.
  • the stage 80-13 since the retarding voltage Vr is applied to the stage 80-13 on which the sample L is placed, the stage 80-13 is placed between the sample L and the electrode of the electrostatic objective lens 31-13. Creates an accelerating electric field for the secondary beam. Therefore, the secondary beam generated from the sample L is accelerated toward the electrostatic objective lens 31-1-3.
  • the secondary beam is focused by the electrostatic objective lens 31-13, is deflected by the deflection action of the EXB separator 32-13, and is deflected by the second electrostatic lens 33-13.
  • the multi-aperture plate 4 1-13 Through the multi-aperture plate 4 1-13 through the small holes.
  • the secondary beam emitted from the sample surface by the primary beam forms an image on each small hole of the multi-aperture plate 4-13 corresponding to each small hole of the multi-aperture plate 13-13.
  • the imaging of the secondary beam generated from the sample L is performed in cooperation with the electrostatic objective lens 31-13 and the second electrostatic lens 33-13 to suppress the occurrence of lens aberration can do.
  • the two-dimensional image of the multi-beam formed on the small holes of the multi-aperture plate 41-13 is converted into light by the fluorescent plate of the detector 42-13 and then converted to an electric signal by the PMT.
  • the “moving device” in the claims corresponds to the stage 80-13, the stage control unit 24-13, and the “measuring device” corresponds to the electron gun control unit 20-13, the stage control unit 24-1. 3.
  • the “judgment device” corresponds to the host computer 23-13;
  • the "control device” is the primary deflector 16-13 and the secondary deflector control unit 22 — 1 3, Host computer 23— Corresponds to 13
  • the observation mode is to acquire an image of the sample L with the stage 80—13 stopped.
  • the size of the sample L in the multibeam inspection apparatus 1 is adjusted to be 0.1 micron for each multibeam.
  • the electron gun control unit 20_13 controls the accelerating voltage V ac of the electron guns 11-1 to 13 and adjusts the primary beam irradiation current I b to 62.5 nA (see Table 1). Set to.
  • the signal from the image processing unit 43-13 is sent to the host computer.
  • Data are sequentially transferred based on the observation evening signal from the monitor.
  • an image of a region to be observed (for example, a region including a defective portion) in the sample L can be constantly displayed on the CRT 26-13.
  • the electron gun control unit 20—13 controls the accelerating voltage V ac of the electron gun 11—13 to reduce the primary beam irradiation current I b to 62.5 nA (see Table 1). Set.
  • the image processing unit 43-13 supplies a drive pulse based on the observation timing signal from the host computer 23-13.
  • the primary deflector 16-13 scanning signal and the detector 42-13 intensity signal A SEM image is formed.
  • the sample image can be continuously and quickly captured from a relatively large area or the whole of the sample L. Can be.
  • the host computer 23-1 to 13 can identify the defect portion of the sample L by executing template matching or the like on the image information. .
  • the inspection mode performs a high-speed inspection by continuously irradiating the sample with a large current beam while moving the stages 80 to 13 continuously at a high speed.
  • sample L there is a limit to the allowable dose for sample L. If the primary beam is irradiated until the dose exceeds the allowable range of the dose, sample L may be contaminated or charged up, or in the worst case, However, the sample may be destroyed.
  • FIGS. 58 (a) and 58 (b) show a flowchart in one embodiment of the sample protection mechanism.
  • the host computer 23-13 receives an inspection mode command input from the outside (S10), the host computer 23 permits the dose of the sample L with respect to the dose.
  • the range data is acquired from the storage unit (S ll).
  • the host computer 23-13 obtains a signal relating to the moving speed V of the stage 80-13 input from the stage control unit 24 13, and the moving speed V and the irradiation current amount I of the primary beam are obtained.
  • the actual dose DV to the sample L is calculated (S12).
  • the host computer 23-13 compares the allowable dose data acquired in S 11 with the actual dose D v calculated in S 12 (S 13), and calculates the actual dose DV. If is smaller, return to S 1 2 and repeat the process. Therefore, as long as the actual dose DV is smaller than the allowable data, the operation of acquiring the sample image in the above-described inspection mode is continuously performed.
  • the host computer 23-1 to 13 determines that the currently performed inspection mode is abnormal, and An abnormality notification is output to the deflector control unit 22-13 (S14).
  • the primary deflector control unit 22-1-3 Upon receiving an abnormality notification from the host computer 23-1-13 (S21), the primary deflector control unit 22-1-3 applies a blanking voltage to the primary deflector 16-13. Deflected the trajectory of the primary beam greatly (S2 2), ie, blanking. As a result, the sample L is not irradiated with the large current primary beam. Therefore, it is possible to avoid the worst-case situation in which the sample L is subjected to contaminating or charging up, or the sample is destroyed.
  • the abnormality notification from the host computer 23-1 to 13 is output to the primary deflector control unit 22-13 and the primary beam is blanked by the primary deflector 16-13.
  • the present invention is not limited to this configuration.
  • an abnormality notification from the host computer 23-13 is output to the electron gun control unit 20-13, and the electron gun 11-11 is turned off by turning off the power of the electron gun 11. Release may be stopped.
  • Deflectors other than the primary deflectors 16—13 are on the primary beam orbit. If they are arranged, blanking control similar to the above may be performed using these other deflectors.
  • a primary deflector 16-13 to deflect the trajectory of the primary beam at high speed and in a wide range so that the irradiation area on the sample does not stay at the same place.
  • the area S of the irradiation area can be increased to reduce the current density of the primary beam and reduce the rapid increase in dose. Can be prevented.
  • a method of controlling the acceleration voltage V ac of the electron guns 11 to 13 to lower the emission current Ia of the primary beam can similarly prevent the dose from increasing.
  • the amount of the secondary beam generated from the sample increases.Therefore, the amount of the secondary beam is detected and the correspondence between the amount of the secondary beam and the dose of the sample L is determined. By using this, the actual dose DV can be detected.
  • the measurement of the amount of generation of the secondary beam can be measured by monitoring the output of the detector 42-13 from time to time.
  • the sample image becomes brighter and the contrast ratio decreases.Therefore, by detecting the contrast ratio of the sample image and utilizing the correspondence between the contrast ratio and the dose of the sample. Thus, the actual dose DV can be detected.
  • the detection of the contrast ratio of the sample image is performed by the image processing unit 4 This can be achieved by comparing the average value of the density values of the respective light receiving pixels stored in the memory in 3-13 with a predetermined threshold value of the density value.
  • the allowable range data of the dose of the sample L is stored in the storage unit of the host computer 23-13, and the allowable range data is compared with the actual dose Dv.
  • the present invention is not limited to this configuration.
  • the allowable range data of the moving speed of 80-13 may be calculated, and the allowable range data of the moving speed may be stored in the storage unit of the host computer 23-13. In this case, by comparing the permissible range data of the movement speed of the stage 80_13 with the actual movement speed of the stage 80_13,
  • the allowable range data of the secondary beam generation amount is calculated in advance based on the allowable data of the dose of the sample L, and the allowable range data of the secondary beam generation amount is stored in the host computer 23-1. It may be stored in the storage unit of 3. In this case, the abnormality of the inspection mode can be determined by comparing the allowable range data of the secondary beam generation amount with the actual secondary beam generation amount.
  • allowable range data of the contrast ratio of the image information is calculated in advance, and the allowable range data of the contrast ratio is stored in the storage unit of the host computer 23-13. May be stored.
  • the abnormality of the inspection mode can be determined by comparing the allowable range data of the contrast ratio of the image information with the contrast ratio of the actual image information.
  • the primary beam is not deflected during the acquisition of the sample image in the inspection mode (the irradiation area is not moved) has been described, but the primary beam is deflected (while the irradiation area is moved).
  • the present invention is also applicable to the case of acquiring a sample image.
  • the present invention relates to a primary beam including a single beam SEM.
  • the present invention can be applied to any configuration that acquires a sample image while relatively moving the sample.
  • an electrostatic deflector As described above, in an electron beam inspection apparatus, there are an electrostatic deflector, an electrostatic lens, a Wien filter, and the like as elements forming the electron optical system.
  • Fig. 61 is a plan view of a conventional electrostatic deflector 100-14.
  • Figs. 62 (a) and (b) show the A-A section and the BB section of Fig. 61, respectively. Is shown.
  • the electrostatic deflector 100-0-14 has 8 poles of metal electrodes 1011-114, each of which is attached to the inside of the insulating outer cylinder 1002-214 with fixing screws 103-03-14 and 104-14. (Fig. 61, Fig. 62 (a)).
  • the wiring for applying voltage to each metal electrode 10 1 _ 14 is connected to the metal electrode 10 1 by using the wiring screw 10 6 1 1 4 (Fig. 62 (b)). Fixed directly to _14.
  • the space 107--14 surrounded by the inner side surface 101a-14 of each metal electrode 101-140 includes the metal electrode 1011-1.
  • An electrostatic field corresponding to the voltage applied to 14 is formed. Therefore, the charged particle beam passing through the space 107-14 along the central axis Z is deflected according to the electrostatic field formed in the space 107-14.
  • the gap portion 108_14 between the adjacent metal electrodes 101-14 is not a straight shape, but has a shape that reaches the insulating outer cylinder 102-14 after being bent twice. This is because the exposed part 1 0 9 — 1 4 of the insulating outer cylinder 1 0 2 — 1 4 can be seen directly from the charged particle beam passing through the space 1 0 7 — 1 4 This is to prevent it.
  • This structure prevents charging of the insulating outer cylinder 102-14 and precisely controls the electrostatic field in the space 107-14 according to the voltage applied to each metal electrode 101-14 can do.
  • the above-mentioned electrostatic deflector 101-14 has a problem that the structure is complicated, the number of parts is large, and it is difficult to reduce the cost and size.
  • the 8-pole metal electrodes 101 to 14 that compose the electrostatic deflector 100 to 14 are divided after a metal cylinder is screwed inside the insulating outer cylinder 102 to 14 Therefore, it was difficult to increase the angle accuracy. Furthermore, it was also difficult to increase the roundness of the space 107-14 surrounded by the inner surface 101-14 of the metal electrode 101-14.
  • Electrostatic deflectors using plating electrodes do not need to be fixed by screwing the electrodes, reducing the number of parts and miniaturizing.
  • the electrostatic deflector using the plating electrode similar to the above-mentioned conventional electrostatic deflector 100--14, if the voltage application wiring is to be fixed directly to the plating electrode by screwing, Holes may be formed in the surface. If a hole is formed in the plating electrode, the electrostatic field distribution in the space where the charged particle beam passes will be distorted, and the deflection control for the charged particle beam cannot be performed with high accuracy.
  • the supporting portion of the insulator on which the plating electrode is formed is protruded from the end of the insulating outer cylinder together with the plating electrode, and the electric field application wiring is connected to the protruding portion, thereby performing plating.
  • Some electrodes prevent holes from being formed on the surface of the electrode.
  • this wiring structure is complicated, and the coating (insulator) of the voltage application wiring connected to the protruding portion may be seen from the gap between the adjacent plating electrodes.
  • the present invention makes it possible to connect a voltage applying wiring to this electrode with a simple configuration while maintaining the surface of the electrode formed on the insulator with high precision by surface treatment such as plating.
  • a charged particle beam control element that is, an electron lens and a deflector.
  • FIG. 59 is a top view schematically showing one embodiment of the charged particle beam control element according to the present invention, which is used as a deflector or a Wien filter.
  • FIG. It is a longitudinal section of a control element.
  • the charged particle beam control element has a base portion 114 made of an insulator (the base portion 114 is a cylindrical shape around the axis A, 14 has the structure defined by the through-holes 5 _ 14 that form the end faces 3-14, 3 '-14 and the inner face 4-14.
  • the axis A is aligned with the optical axis
  • the through holes 514 are coaxial with the axis A.
  • each groove 6-14 On the cylindrical base part 114, grooves 614 that separate the electrodes are formed radially in a direction parallel to the axis A. As shown in the figure, each groove 6-14 has the same bent shape, and a circular through hole 7-14 is formed at the end of each groove 6-14. As shown in the figure, the through-holes of the same diameter as the through-holes 5-14 are located above and below the charged particle beam control elements 114, that is, near the opposing end faces 3-14 and 3'1-14. 2 1 1 1 4 Each is installed.
  • the end faces 3-14 and 3 ′ 14 facing the inner side 4-14 are coated with a metal except for the uncoated side 10-14 for insulation.
  • the inner surface 4 _ 14 is formed with a plurality of electrodes 8 _ 14 separated from each other by grooves 6 _ 14, as shown by hatching, and each end surface 3 _ 14, 3 A plurality of conductors 9-14 electrically connected to the electrodes 8-14 are also formed on the 1-14.
  • metal is also coated on the inner surfaces of the grooves 6-1 and 4 formed on the inner surfaces 4-1 and 14 extending from the electrodes 8-14 to the through holes 7_14, as shown by hatching.
  • each groove 6—14 A plurality of conductive portions formed of the electrodes 8-14 and the conductors 9-14 electrically separated from each other by the non-coating surfaces 10-14 and electrically connected to each other are formed. .
  • eight grooves 6 to 14 are formed, and therefore, eight electrodes 8 to 14 and conductors 9 to 14 electrically connected to each other are also formed. .
  • a conductor portion electrically connected to the conductor portion 911 may be formed on a part of the outer side surface 2-1-4.
  • a thin wire is used as the wiring 11 1 to 14 for each electrode 8 _ 14, and the thin wire is bonded to the outer surface 2 to 14 or one of the end surfaces 3 to 14 or 3 ′ _ 14. Is done.
  • the wires 1 1-1-4 are taken out from the outer surface 2-14, the outer diameter of the charged particle beam control element 1-14 becomes large, and the wires 1 1-1-4 are moved from the end surface 3-14.
  • extra space is required in the direction along the optical axis A of the charged particle beam control element.
  • FIG. 59 and FIG. 60 show an example in which the wirings 111 to 114 are taken out from one end face 314.
  • the distance between the shield disk 21--14 on the surface including the axis A and the opposing through-hole 7 and the end surface 3-14 of the base 1-14 is D, and the electrode 8 on the side closer to the axis A 8 -. 1 when the radial distance between the fourth surface and the axis a side through hole 7-1 4 face close to the L, L ZD ⁇ 4 0 and that by this is desirable t be the substrate
  • the inner surface of the through hole 7-1-4 of the part 114 is charged, the effect of the potential generated by the charging on the charged particle beam passing near the axis A is suppressed to 1Z1000 or less. It becomes possible.
  • the charged particle beam control element shown in FIG. 59 is used as an electrostatic deflector, an EXB separator, or a Vienna filter in an electron beam inspection apparatus as described above.
  • the charged particle control element according to the present invention is simple with respect to the electrode while maintaining the surface of the electrode formed on the insulator by surface treatment such as plating with high accuracy.
  • Wiring for voltage application can be connected with the configuration, and the charged particle beam control element and charged particle beam device can be reduced in size and cost. It is possible to realize high precision of the orbit control of the charged particle beam control element.
  • the inspection apparatus since the inspection apparatus according to the present invention enables high throughput, it is necessary to appropriately inspect a sample such as a wafer during the process (processing) without interfering with the process. Make it possible. A method for manufacturing a semiconductor device in which such an inspection is performed during the process will be described with reference to FIGS. 63 and 64. FIG.
  • FIG. 63 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention. The steps of this embodiment include the following main steps.
  • Wafer manufacturing process for manufacturing wafers or wafer preparation process for preparing wafers
  • a mask manufacturing process for manufacturing a mask for manufacturing a mask used for exposure (or a mask preparation process for preparing a mask)
  • Chip 8 The chip assembling process that cuts out the chips formed on the chip one by one and makes them operable.
  • Chip inspection process to inspect the resulting chip
  • Each of the above main steps is further composed of several sub-steps.
  • the wafer processing process (3) has a decisive effect on the performance of semiconductor devices.
  • the designed circuit patterns are sequentially stacked on a wafer, and a number of chips that operate as memories or MPUs are formed.
  • This wafer processing step includes the following steps.
  • a thin film forming process for forming a dielectric thin film or wiring portion serving as an insulating layer, or a metal thin film forming an electrode portion using CVD, sputtering, etc.
  • the wafer processing process is repeated for the required number of layers to manufacture a semiconductor device that operates as designed.
  • FIG. 64 is a flowchart showing a lithography step which is the core of the wafer processing step of FIG.
  • One lithography step includes the following steps.
  • the defect inspection method and the defect inspection apparatus according to the present invention are used in the inspection step (7), even a semiconductor device having a fine pattern can be inspected with high throughput, so that 100% inspection can be performed, thereby improving the product yield. It is possible to prevent defective products from being shipped.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

明細書
電子線装置及びその装置を用いたデバイス製造方法 技術分野
本発明は、 複数の電子ビーム (又は電子線) を用いて試料の表面に形 成されたパターンの欠陥の検査等をする装置に関し、 特に、 半導体製造 工程におけるウェハの欠陥を検出する場合のように、 電子ビームを試料 に照射してその表面の性状に応じて変化する二次電子を捕捉して画像 データを形成し、 その画像データに基づいて試料の表面に形成されたパ 夕一ン等を高いスループットで検査または評価する装置、 並びにそのよ うな装置を用いて歩留まり良くデバイスを製造するデバイス製造方法 に関する。 背景技術
本発明に関連する装置の従来技術については、 走査電子顕微鏡 (S E M) を用いた装置が既に市販されている。 この装置は細く絞った電子線 を非常に間隔の小さいラスタ幅でラスタ走査を行い、 走査に伴って試料 から放出される二次電子を二次電子検出器で検出して S E M画像を形 成し、 その S E M画像を基本画像と比較して欠陥を抽出するものである しかし、 S E Mを応用した欠陥検査装置では、 ビーム寸法が小さく、 当然画素寸法が小さく、 ラスタ幅も小さいため、 欠陥検査に多くの時間 を必要としていた。 また、 高スループットにするため、 試料に照射する ビーム幅を大きくすると、 照射されている領域内で帯電のバラツキが生 じ、 良好な S E M像が得られない問題があった。
これに対して、 近年では、 高スループットを得るために、 複数の電子 ビーム (マルチビーム) を同時に試料面に照射するようにしたマルチビ 一ム検查装置の開発が行われているが、 適正な精度をもって高スループ ットを得るには、 多くの改善が望まれている。 発明の開示
そこで、 本発明では、 マルチビーム検査装置の種々の改善を行うこと を基本的目的とする。
具体的には先ず、 スループットの更なる向上を目的とする。 このため、 本発明では、 電子銃から放出される一次電子ビームをウェハ等の試料に 指向させる一次電子光学系、 及び、 一次電子ビームによる試料の照射に よって生じる二次電子ビームを二次電子検出器まで導く二次電子光学 系を備える光学系 (鏡筒)を複数備え、 各光学系がそれぞれ試料上の別々 の領域を検査するようにすることにより、 高スループットを可能とする 電子線検査装置を提供する。
また、 本発明は電子ビームによる試料検査の精度をあげるために、 よ り正確な電子光学系の軸合わせを行えるようにした電子線検査装置を 提供する。 具体的には、 電子光学系のエレメントであるレンズへの印可 電圧を変化させたときに、 マルチビームの中心から等距離にある電子線 が、 実質的に同程度の変位を示すように当該電子光学系のエレメントを 調整することにより、 マルチビームの軸合わせを行う。
また、 別の軸合わせ方法では、 形成される画像の絞り中心を検出し、 二次電子ビームが絞り中心を通過するように、 当該電子光学系のエレメ ントを調整することにより、 軸合わせを行う。
また、 本発明に係るマルチビーム検査装置においては、 電子銃から放 出された 1つの電子ビームを複数のビームにするために、 複数の電子ビ —ム形成用開口が用いられるが、 電子ビームの高い強度の部分を、 当該 開口板の開口に整合するようにして、 ビーム電流の大きいマルチビーム を得るようにし、 良好な状態での検查を可能とする。
更に、 本発明に係るマルチビーム検査装置においては、 一次電子ビー ムが設計された照射ボイントに照射する修正装置を設けた電子線検查 装置を提供することにより、 より良好な状態での検査を可能とする。 更にまた、 本発明では、 一次電子線が形成するクロスオーバ位置を光 軸方向で調節することにより、 倍率色収差、 回転色収差等の収差を是正 するようにした電子線検査装置を提供する。
また、 本発明では、 電子銃が空間電荷制限条件で動作するようにする ことによりショッ トノイズの発生を抑制するようにした電子線検査装 置を提供する。
更に、 本発明では、 試料に照射される電子線ビームのドースを測定し, ドースが異常であることを検知したときに当該電子線検査装置の動作 を停止する等の所用の制御を行うようにした電子線検査装置を提供す る。
更にまた、 本発明では、 電子光学系を構成する電子レンズ、 偏向器等 の電子光学エレメントを、 それぞれ別体に設けた絶縁体と導電体とをネ ジ等により結合して構成するのではなく、 絶縁体の所要領域に導電層を 電気メツキすることにより構成することにより、 コンパクトで簡易な構 成とした電子エレメントを備えた電子線検査装置を提供する。
本発明では、 更に、 プロセス途中のウェハ等の試料を、 上記の如き電 子線検査装置を用いて検査するようにしたデバイス製造方法を提供す る。
図面の簡単な説明
図 1は、 本発明に係る検査装置の主要構成要素を示す立面図であって, 図 2の線 A— Aに沿って見た図である。
図 2は、 図 1に示す検査装置の主要構成要素の平面図であって、 図 1 の線 B— Bに沿って見た図である。
図 3は、 図 1のミニエンバイロメン卜装置を示す断面図であって、 線 C一 Cに沿つて見た図である。
図 4は、 図 1のローダハウジングを示す図であって、 図 2の線 D— D に沿って見た図である。
図 5 (A) は、 ゥェ八ラックの拡大側面図である。
図 5 (B) は、 (A) の線 E— Eに沿って見た断面図である。
図 6 (A) は、 主ハウジングの支持方法の変形例を示す図である。
図 6 (B) は、 主ハウジングの支持方法の他の変形例を示す図である。 図 7は、 図 1の検査装置の電子光学装置の概略構成を示す模式図であ る。
図 8は、 図 7の電子光学装置の一次光学系に使用されているマルチ開 口板の開口の位置関係を示す図である。
図 9は、 電位印加機構を示す図である。
図 1 0 (A) は、 電子ビームキャリブレーション機構の側面図である。 図 1 0 ( B ) は、 同機構の平面図である。
図 1 1は、 ウェハのァライメント制御装置の概略説明図である。
図 1 2は、 カセットホルダとミニエンバイロンメント装置の拡大断面 側面図である。
図 1 3は、 電子光学装置による検査工程を示す図である。
図 1 4は、 ウィーンフィルタの断面平面図である。 - 図 1 5は、 ウイーンフィルタの断面側面図である。
図 1 6は、 一次電子ビームを試料であるウェハに照射する態様を示す 図である。
図 1 7 (A) は、 従来の電子線検査装置の真空チャンバ及び X Yステ ージの正面図である。
図 1 7 ( B ) は、 同側面図である。
図 1 8は、 上記 X Yステージに使用されている差動排気装置の説明図 である。
図 1 9は、 本発明の電子線検査装置の一実施例の真空チャンバ及び X Yステージを示す図である。
図 2 0は、 図 1 9に示された装置に設けられた作動排気機構の一例を 示す図である。
図 2 1は、 図 1 9に示された装置のガスの循環配管系を示す図である ( 図 2 2 (A) は、 本発明の電子ビーム装置の一実施形態の真空チャン バ及び X Yステージを示す正面図、 図 2 2 (B) は同側面図である。
図 2 3は、 本発明の電子ビーム装置の他の実施形態の真空チャンバ及 び X Yステージを示す図である。 図 2 4は、 本発明の電子ビーム装置の別の実施形態の真空チャンバ及 び X Yステージを示す図である。
図 2 5は、 本発明の電子ビーム装置の更に別の実施形態の真空チャン バ及び X Yステージを示す図である。
図 2 6は、 本発明の電子ビーム装置の更に別の実施形態の真空チャン バ及び X Yステージを示す図である。
図 2 7 (a)は、本発明に係る複数鏡筒の電子光学装置の 1つの鏡筒の光 学系の概略上面図、 図 2 7 ( b ) は、 同側面図である。
図 2 8は、 本発明に係る複数鏡筒の電子光学装置における複数光学系 の第 1の配列形態を示す図である。
図 2 9は、 本発明に係る複数鏡筒の電子光学装置における複数光学系 の第 2の配列形態を示す図である。
図 3 0は、 パターン欠陥検出方法を説明するための図である。
図 3 1は、 線幅測定方法を説明するための図である。
図 3 2は、 電位コントラスト測定方法を説明するための図である。 図 3 3は、 電子光学系における軸合わせを説明するための図である。 図 3 4は、 軸合わせのために用いられる、 図 3 3の試料の上に設けら れるマーカーを示す図である。
図 3 5は、 二次光学系の軸合わせを説明するための電子光学系の図で ある。
図 3 6 ( a ) は、 光軸合わせが終了した状態での絞り画像とアドレス との位置関係を示す図である。
図 3 6 ( b ) は、 光軸合わせ途中での絞り画像とアドレスとの位置関 係を示す図である。
図 3 7は、 本発明に従ってウィーンフィルタ (E X B分離器) への軸 合わせを行う、 電子線装置の光学系を模式的に示した説明図である。 図 3 8は、 本発明の電子線装置の要部の一例を概略的に示す図である, 図 3 9は、 図 3 8の装置における電子ビームとマルチ開口板の開口と の調整前の対応関係を示す図である。 図 4 0は、 図 3 8の装置における電子ビームとマルチ開口板の開口と の軸合わせ後の対応関係を示す図である。
図 4 1は、 図 3 8の装置における電子ビームとマルチ開口板の開口と の本発明による調整後の対応関係を示す図である。
図 4 2は、 基準画像と被検査画像との位置ずれを防止するための装置 を備えた本発明に係る欠陥検査装置の概略構成図であり且つ本発明に 従って照射ボイントと設計ボイントとのずれの較正を行う電子線検査 装置の概略構成図である。
図 4 3は、 図 4 2の欠陥検査装置で取得される複数の被検査画像及び 基準画像の例を示す図である。
図 4 4は、 図 4 2の欠陥検査装置におけるウェハ検査のメインルーチ ンの流れを示すフローチャートである。
図 4 5は、 図 4 4における複数の被検査画像データ取得工程 (ステツ プ 3 0 4 - 9 ) のサブル一チンの詳細な流れを示すフローチヤ一トであ る。
図 4 6は、 図 4 4における比較工程 (ステップ 3 0 8— 9 ) のサブル 一チンの詳細な流れを示すフローチヤ一トである。
図 4 7は、 図 4 2の欠陥検査装置の検出器の具体的構成例を示す図で ある。
図 4 8は、 半導体ウェハの表面上で部分的に重なり合いながら互いか ら位置がずらされた複数の被検査領域を概念的に示す図である。
図 4 9は、 第 1のマルチ開口板の概略正面図である。
図 5 0は、 マーカ旮の概略平面図である。
図 5 1は、 複数の電子ビームの照射位置を較正するための方法を示す フローチャートである。
図 5 2 ( a ) は、 複数の 1次電子ビームのマーカ台での照射位置を X 軸に沿って較正する方法を示す概略図である。
図 5 2 ( b ) は、 複数の 1次電子ビームのマ一力台での照射位置を Y 軸に沿って較正する方法を示す概略図である。 図 52 (c) は、 光軸の位置とマーク位置との位置合わせを説明する ための概略図である。
図 53 (a) は、 複数の 1次電子ビームをマーカ台で X軸に沿つ走査 したときに出力される信号波形を示す図である。
図 53 (b) は、 複数の 1次電子ビームをマーカ台で Y軸に沿つ走査 したときに出力される信号波形を示す図である。
図 53 (c) は、 1次電子ビームの照射位置が較正されたときの偏向 電圧と信号強度との関係を示す図である。
図 54は、 クロスオーバ位置調節の説明のための電子光学系の概略図 である。
図 5 5は、 本発明によりショット雑音を抑制する方法を説明するため の、 電子線検査装置の光学系を模式的に示した説明図である。
図 56は、 ショット雑音を抑制する方法を説明するための、 他の電子 線検査装置の光学系を模式的に示した説明図である。
図 57は、 マルチビーム検査装置にドーズ制御機能を組み込んだ電子 線検査装置の光学系を模式的に示した説 §図である。
図 5 8 (a), 図 5 8 (b) は、 一つの実施例に係る試料保護機構に おける作動フローチヤ一トである。
図 59は、 この発明に係る荷電粒子線制御素子の一つの実施の形態の 構成を概略的に示す図である。
図 60は、 図 5 9の荷電粒子線制御素子の断面図である。
図 6 1は、 従来の静電偏向器の上面図である。
図 6 2 (a) は図 6 1の A— A線に沿う断面図であり、 図 6 2 (b) は図 6 1の B— B線に沿う断面図である。
図 63は、 本発明に係る検査装置を用いてプロセス途中のウェハを検 查するようにしたデバイス製造工程を示すフローチヤ一トである。
図 64は、 リソグラフィー工程を示すフローチヤ一トである。 発明の実施例 以下、 図面を参照して、 本発明に係る電子線検査装置の実施例として の半導体検査装置を説明する。
半導体検査装置の全体構造概要
図 1及び図 2において、 本実施例の半導体検査装置 1の主要構成要素 が立面及び平面で示されている。
本実施例の半導体検査装置 1は、 複数枚のウェハを収納したカセット を保持するカセットホルダ 1 0と、 ミニエンバイロメント装置 2 0と、 ワーキングチャンバを画成する主ハウジング 3 0と、 ミニエンバイロメ ント装置 2 0と主ハウジング 3 0との間に配置されていて、 二つの口一 デイングチャンバを画成するローダハウジング 4 0と、 ウェハをカセッ トホルダ 1 0から主ハウジング 3 0内に配置されたステージ装置 5 0 上に装填するローダー 6 0と、 主ハウジングに取り付けられた電子光学 装置 (すなわち電子線を用いて検査を行う装置) 7 0と、 を備え、 それ らは図 1及び図 2に示されるような位置関係で配置されている。 半導体 検査装置 1は、 更に、 真空の主ハウジング 3 0内に配置されたプレチヤ —ジユニット 8 1と、 ウェハに電位を印加する電位印加機構 8 3 (図 8 に図示) と、 電子ビームキャリブレーション機構 8' 5 (図 1 0に図示) と、 ステージ装置上でのウェハの位置決めを行うためのァライメント制 御装置 8 7を構成する光学顕微鏡 8 7 1とを備えている。
上記半導体検査装置の各部は、 いずれも図示されていない真空ポンプ, 真空バルブ、 真空ケージ、 真空配管等から構成され、 後述する電子光学 系、 検出器部、 ワーキングチャンバ内を所定のシーケンスに従い真空排 気する。 各部においては必要な真空度を達成するように真空バルブが制 御される。 常時、 真空度のモニタ一を行い、 異常時にはイン夕一ロック 機能により隔離バルブ (図示せず) によるチャンバと排気系間の遮断等 の緊急制御を行い、 各部において必要な真空度を確保する。 真空ポンプ としては、 主排気に夕一ポ分子ポンプ、 粗引き用としてルーツ式ドライ ブポンプを使用することができる。 ステージ上のウェハ(電子線照射部) の周囲の圧力は 1 0 - 3〜 1 0 - 6 P a、 好ましくは、 1 0— 4〜: L 0 - 6 P aとされる。
カセットホルダ
カセットホルダ 1 0は、 複数枚 (例えば 2 5枚) のウェハが上下方向 に平行に並べられた状態で収納されたカセット c (例えば、 アシスト社 製の S M I F,F〇 U Pのようなクローズドカセット) を複数個 (この実 施例では 2個) 保持するようになっている。 このカセットホルダとして は、 カセットをロポット等により搬送してきて自動的にカセットホルダ 1 0に装填する場合にはそれに適した構造のものを、 また人手により装 填する場合にはそれに適したオープンカセッ ト構造のものをそれぞれ 任意に選択して設置できるようになつている。 カセットホルダ 1 0は、 この実施例では、 自動的にカセット Cが装填される形式であり、 例えば 昇降テーブル 1 1と、 その昇降テ一ル 1 1を上下移動させる昇降機構 1 2とを備え、 カセット cは昇降テーブル上に図 2で鎖線図示の状態に装 填され、 その後、 図 2で実線図示の状態に自動的に回転されミニエンバ イロメント装置内の(後述の)第 1の搬送ュニット 6 1によるウェハの出 し入れを可能とする位置とされ、 昇降テーブル 1 1により図 1で鎖線で 示す状態に降下される。 カセット c内に収容されるウェハは多数枚上下 方向に隔ててかつ平行に並べて配置されているため、 任意の位置のゥェ ハを第 1の搬送ュニットで保持できるように、 第 1の搬送ュニットのァ —ムは上下移動できるようになつている。 第 1の搬送ュニット 6 1と力 セット cとの関係は、 図 1 2に示してある。
なお、 カセット c内に収納される基板すなわちウェハは、 検査を受け るウェハであり、 そのような検査は、 半導体製造工程中でウェハを処理 するプロセスの後、 若しくはプロセスの途中で行われる。 具体的には、 エッチング成膜工程 (銅メツキを含む)、 C M P (化学機械研磨) 平坦 化処理、 イオン注入等を受けた基板すなわちウェハ、 表面に配線パター ンが形成されたウェハ、 又は配線パターンが未だに形成されていないゥ ェハが、 カセット内に収納される。
ミニエンバイロンメント装置 図 1ないし図 3において、 ミニエンバイロメント装置 2 0は、 雰囲気 制御されるようになっているミニエンバイロメント空間 2 1を画成す るハウジング 2 2と、 ミニエンバイロメント空間 2 1内で清浄空気のよ うな気体を循環して雰囲気制御するための気体循環装置 2 3と、 ミニエ ンバイロメント空間 2 1内に供給された空気の一部を回収して排出す る排出装置 2 4と、 ミニエンバイロメント空間 2 1内に配設されていて 試料としての基板すなわちウェハを粗位置決めするブリアライナー 2 5とを備えている。
ハウジング 2 2は、 頂壁 2 2 1、 底壁 2 2 2及び四周を囲む周壁 2 2 3を有していてい、 ミニエンバイロメント空間 2 1を外部から遮断する 構造になっている。 ミニエンバイロメント空間を雰囲気制御するために, 気体循環装置 2 3は、 図 3に示されるように、 ミニエンバイロメント空 間 2 1内において、 頂壁 2 2 1に取り付けられていて、 気体 (この実施 例では空気) を清浄にして一つ又はそれ以上の気体吹き出し口 (図示せ ず) を通して清浄空気を真下に向かって層流状に流す気体供給ユニット 2 3 1と、 ミニエンバイロメント空間内において底壁 2 2 2の上に配置 されていて、 底に向かって流れ下った空気を回収する回収ダクト 2 3 2 と、 回収ダクト 2 3 2と気体供給ュニット 2 3 1とを接続して回収され た空気を気体供給ュニット 2 3 1に戻す導管 2 3 3とを備えている。 こ の実施例では、 気体供給ユニット 2 3 1は供給する空気の約 2 0 %をハ ウジング 2 2の外部から取り入れて清浄にするようになっているが、 こ の外部から取り入れられる気体の割合は任意に選択可能である。 気体供 給ュニット 2 3 1は、 清浄空気をつくりだすための公知の構造の H E P A若しくは U L P Aフィルタを備えている。 清浄空気の層流状の下方向 の流れすなわちダウンフローは、 主に、 ミニエンバイロメント空間 2 1 内に配置された後述する第 1の搬送ュニッ 卜による搬送面を通して流 れるように供給され、 搬送ュニッ卜により発生する虞のある塵埃がゥェ ハに付着するのを防止するようになっている。 したがって、 ダウンフロ —の噴出口は必ずしも図示のように頂壁に近い位置である必要はなく、 搬送ユニットによる搬送面より上側にあればよい。 また、 ミニエンバイ ロメント空間全面に亘つて流す必要もない。 なお、 場合によっては、 清 浄空気としてイオン風を使用することによって清浄度を確保すること ができる。 また、 ミニエンバイロメント空間内には清浄度を観察するた めのセンサを設け、 清浄度が悪化したときに装置をシャットダウンする こともできる。 ハウジング 2 2の周壁 2 2 3のうちカセットホルダ 1 0 に隣接する部分には出入り口 2 2 5 (図 1)が形成されている。 出入り口 2 2 5近傍には公知の構造のシャツ夕装置を設けて出入り口 2 2 5を 閉じるようにしてもよい。 ウェハ近傍でつくる層流のダウンフローは、 例えば 0 . 3〜0 . 4 m/ s e cの流速でよい。 気体供給ユニットはミ 二エンバイロメント空間内でなくその外側に設けてもよい。
排出装置 2 4は、 前記搬送ュニットのウェハ搬送面より下側の位置で 搬送ュニットの下部に配置された吸入ダクト 2 4 1と、 ハウジング 2 2 の外側に配置されたブロワ一 2 4 2と、 吸入ダクト 2 4 1とブロワ一 2 4 2とを接続する導管 2 4 3と、 を備えている。 この排出装置 2 4は、 搬送ュニッ トの周囲を流れ下り搬 ュニットにより発生する可能性の ある塵埃を含んだ気体を、 吸入ダクト 2 4 1により吸引し、 導管 2 4 3 , 2 4 4及ぴブロワ一 2 4 2を介してハウジング 2 2の外側に排出する。 この場合、 ハウジング 2 2の近くに引かれた排気管 (図示せず) 内に排 出してもよい。 ' ' ミ二エンバイロメント空間 2 1内に配置されたプリァライナ一 2 5 は、 ウェハに形成されたオリエンテーションフラットや、 ウェハの外周 縁に形成された一つ又はそれ以上の V型の切欠きすなわちノッチを光 学的に或いは機械的に検出してウェハの軸線〇一 Oの周りの回転方向 の位置を約 ± 1度の精度で予め位置決めしておくようになっている。 プ リアライナーは請求項に記載された発明の試料の座標を決める機構の 一部を構成し、 試料の粗位置決めを担当する。 このブリアライナー自体 は公知の構造のものでよいので、 その構造、 動作の説明は省略する。 なお、 図示しないが、 ブリアライナーの下部にも排出装置用の回収ダ クトを設けて、 ブリアライナーから排出された塵埃を含んだ空気を外部 に排出するようにしてもよい。
ワーキングチャンバ
図 1及び図 2において、 ワーキングチャンバ 3 1を画成する主ハウジ ング 3 0は、 ハウジング本体 3 2を備え、 そのハウジング本体 3 2は、 台フレーム 3 6上に配置された振動遮断装置すなわち防振装置 3 7の 上に載せられたハウジング支持装置 3 3によって支持されている。 ハウ ジング支持装置 3 3は矩形に組まれたフレーム構造体 3 3 1を備えて いる。 八ウジング本体 3 2はフレーム構造体 3 3 1上に配設固定されて いて、 フレーム構造体上に載せられた底壁 3 2 1と、 頂壁 3 2 2と、 底 壁 3 2 1及び頂壁 3 2 2に接続されて四周を囲む周壁 3 2 3とを備え ていてワーキングチヤンバ 3 1を外部から隔離している。 底壁 3 2 1は、 この実施例では、 上に載置されるステージ装置等の機器による加重で歪 みの発生しないように比較的肉厚の厚い鋼板で構成されているが、 その 他の構造にしてもよい。 この実施例において、 ハウジング本体及びハウ ジング支持装置 3 3は、 剛構造に組み立てられていて、 台フレーム 3 6 が設置されている床からの振動がこの剛構造に伝達されるのを防振装 置 3 7で阻止するようになっている。 ハウジング本体 3 2の周壁 3 2 3 のうち後述するローダハウジングに隣接する周壁にはウェハ出し入れ 用の出入り口 3 2 5が形成されている。
なお、 防振装置は、 空気バネ、 磁気軸受け等を有するアクティブ式の ものでも、 或いはこれらを有するパッシブ式のもよい。 いずれも公知の 構造のものでよいので、 それ自体の構造及び機能の説明は省略する。 ヮ 一キングチャンバ 3 1は公知の構造の真空装置 (図示せず) により真空 雰囲気に保たれるようになつている。 台フレーム 3 6の下には装置全体 の動作を制御する制御装置 2が配置されている。 この制御装置は、 制御 系は主にメインコントローラ、 制御コントローラ、 ステージコント口一 ラから構成されている。
メインコントローラにはマン一マシンインターフエースが備えられ ており、 オペレータの操作はここを通して行われる (種々の指示 Z命令、 レシピなどの入力、 検査スタートの指示、 自動と手動検査モードの切り 替え、 手動検査モード時のときの必要な全てのコマンドの入力等)。 そ の他、 工場のホストコンピュータとのコミュニケーション、 真空排気系 の制御、 ウェハ等の試料搬送、 位置合わせの制御、 他の制御コントロー ラゃステージコントローラへのコマンドの伝達や情報の受け取り等も メインコントローラで行われる。 また、 光学顕微鏡からの画像信号の取 得、 ステージの変動信号を電子光学系にフィ一ドバックさせて像の悪化 を補正するステージ振動補正機能、 試料観察位置の Z方向 (二次光学系 の軸方向) の変位を検出して、 電子光学系へフィードパックし、 自動的 に焦点を補正する自動焦点補正機能を備えている。 電子光学系へのフィ ードバック信号等の授受、 及びステージからの信号の授受は、 それぞれ 制御コントローラ及びステージコントローラを介して行われる。
制御コント口一ラは主に電子線光学系の制御 (電子銃、 レンズ、 ァラ イナ一、 ウイーンヒルター用等の高精度電源の制御等) を担う。 具体的 には照射領域に、 倍率が変わったときにも常に一定の電子電流が照射さ れるようにすること、 各倍率に対応した各レンズ系ゃァライナ一への自 動電圧設定等の、 各オペレーションモードに対応した各レンズ系ゃァラ イナ一への自動電圧設定等の制御 (連動制御) が行われる。
ステージコントロ一ラは主にステージの移動に関する制御を行い精 密な X方向および Y方向の/ mオーダ一の移動 (± 0 . 5 ^ m程度の誤 差) を可能にしている。 また、 本ステージでは誤差精度 ± 0 . 3秒程度 以内で、 回転方向の制御 (0制御) も行われる。 ロー
図 1、 図 2及び図 4において、 ローダハウジング 4 0は、 第 1のロー ディングチャンバ 4 1 と第 2の口一ディングチヤンバ 4 2とを画成す るハウジング本体 4 3を備えている。 ハウジング本体 4 3は底壁 4 3 1 と、 頂壁 4 3 2と、 四周を囲む周壁 4 3 3と、 第 1のローデイングチヤ ンバ 4 1 と第 2のローディングチャンバ 4 2とを仕切る仕切壁 4 3 4 とを有していて、 両口一ディングチャンバを外部から隔離できるように なっている。 仕切壁 4 3 4には両口一ディングチャンパ間でウェハのや り取りを行うための開口すなわち出入り口 4 3 5が形成されている。 ま た、 周壁 4 3 3のミニエンバイロメント装置及び主ハウジングに隣接し た部分には出入り口 4 3 6及び 4 3 7が形成されている。 このローダハ ウジング 4 0のハウジング本体 4 3は、 ハウジング支持装置 3 3のフレ ーム構造体 3 3 1上に載置されてそれによつて支持されている。 したが つて、 このローダハウジング 4 0にも床の振動が伝達されないようにな つている。 ローダハウジング 4 0の出入り口 4 3 6とミニエンバイロメ ント装置のハウジング 2 2の出入り口 2 2 6とは整合されていて、 そこ にはミニエンバイロメント空間 2 1 と第 1の口一ディングチヤンバ 4 1との連通を選択的に阻止するシャツ夕装置 2 7が設けられている。 シ ャッ夕装置 2 7は、 出入り口 2 2 6及び 4 3 6の周囲を囲んで側壁 4 3 3と密に接触して固定されたシール材 2 7 1、 シール材 2 7 1と協働し て出入り口を介しての空気の流通を阻止する扉 2 7 2と、 その扉を動か す駆動装置 2 7 3とを有している。 また、 ローダハウジング 4 0の出入 り口 4 3 7とハウジング本体 3 2の出入り口 3 2 5とは整合されてい て、 そこには第 2の口一ディングチャンバ 4 2とヮ一キンググチャンバ 3 1との連通を選択的に密封阻止するシャツ夕装置 4 5が設けられて いる。 シャツ夕装置 4 5は、 出入り口 4 3 7及び 3 2 5の周囲を囲んで 側壁 4 3 3及び 3 2 3と密に接触してそれらに固定されたシール材 4 5 1、 シール材 4 5 1と協働して出入り口を介しての空気の流通を阻止 する扉 4 5 2と、 その扉を動かす駆動装置 4 5 3とを有している。 更に- 仕切壁 4 3 4に形成された開口には、 扉 4 6 1によりそれを閉じて第 1 及び第 2のローディングチャンバ間の連通を選択的に密封阻止するシ ャッタ装置 4 6が設けられている。 これらのシャツタ装置 2 7、 4 5及 び 4 6は、 閉じ状態にあるとき各チャンバを気密シールできるようにな つている。 これらのシャツタ装置は公知のものでよいので、 その構造及 び動作の詳細な説明は省略する。 なお、 ミニエンバイロメント装置 2 0 のハウジング 2 2の支持方法とローダハウジングの支持方法が異なり、 ミニエンバイロメント装置を介して床からの振動がローダハウジング 4 0、 主ハウジング 3 0に伝達されるのを防止するために、 ハウジング 2 2とローダハウジング 4 0との間には出入り口の周囲を気密に囲む ように防振用のクッション材を配置しておけば良い。
第 1のローディングチャンバ 4 1内には、複数(この実施例では 2枚) のウェハを上下に隔てて水平の状態で支持するウェハラック 4 7が配 設されている。 ウェハラック 4 7は、 図 5の示されるように、 矩形の基 板 4 7 1の四隅に互いに隔てて直立状態で固定された支柱 4 7 2を備 え、 各支柱 4 7 2にはそれぞれ 2段の支持部 4 7 3及び 4 7 4が形成さ. れ、 その支持部の上にウェハ Wの周縁を載せて保持するようになってい る。 そして後述する第 1及び第 2の搬送ュニットのアームによりウェハ を把持するようになっている。
ローデイングチャンバ 4 1及び 4 2は、 図示しない真空ポンプを含む 公知の構造の真空排気装置 (図示せず) によって高真空状態 (真空度と しては 1 0 ·5〜 1 0 ·6 Ρ a ) に雰囲気制御され得るようになつている。 こ の場合、 第 1のローディングチャンバ 4 1を低真空チヤンバとして低真 空雰囲気に保ち、 第 2のローディングチャンバ 4 2を高真空チャンバと して高真空雰囲気に保ち、 ウェハの汚染防止を効果的に行うこともでき る。 このような構造を採用することによって口一ディングチャンバ内に 収容されていて次に欠陥検査されるウェハをヮ一キングチャンバ内に 遅滞なく搬送することができる。 このようなローディングチャンバを採 用することによって、 後述するマルチビ一ム型電子装置原理と共に、 欠 陥検査のスループットを向上させ、 更に保管状態が高真空状態であるこ とを要求される電子源周辺の真空度を可能な限り高真空度状態にする ことができる。
第 1及び第 2のローディングチャンバ 4 1及び 4 2は、 それぞれ真空 排気配管と不活性ガス (例えば乾燥純窒素) 用のベント配管 (それぞれ 図示せず) が接続されている。 これによつて、 各ローデイングチャンバ 内の大気圧状態は不活性ガスベント (不活性ガスを注入して不活性ガス 以外の酸素ガス等が表面に付着するのを防止する) によって達成される ( このような不活性ガスベントを行う装置自体は公知の構造のものでよ いので、 その詳細な説明は省略する。
なお、 電子線を使用する本発明の検査装置において、 後述する電子光 学系の電子源として使用される代表的な六硼化ランタン (L a B 6)等は 一度熱電子を放出する程度まで高温状態に加熱された場合には、 酸素等 に可能な限り接触させないことがその寿命を縮めないために肝要であ るが、 電子光学系が配置されているワーキングチャンバにウェハを搬入 する前段階で上記のような雰囲気制御を行うことにより、 より確実に実 行できる。
ステージ装置
ステージ装置 5 0は、 主ハウジング 3 0の底壁 3 2 1上に配置された 固定テーブル 5 1と.、 固定テーブル上で Y方向 (図 1において紙面に垂 直の方向) に移動する Yテーブル 5 2と、 Yテーブル上で X方向 (図 1 において左右方向) に移動する Xテーブル 5 3と、 Xテーブル上で回転 可能な回転テーブル 5 4と、 回転テーブル 5 4上に配置されたホルダ 5 5とを備えている。 そのホルダ 5 5のウェハ載置面 5 5 1上にウェハを 解放可能に保持する。 ホルダは、 ウェハを機械的に或いは静電チャック 方式で解放可能に把持できる公知の構造のものでよい。 ステージ装置 5 0は、 サ一ポモ一夕、 エンコーダ及び各種のセンサ (図示せず) を用い て、 上記のような複数のテーブルを動作させることにより、 載置面 5 5 1上でホルダに保持されたウェハを電子光学装置から照射される電子 ビームに対して X方向、 Y方向及び Z方向 (図 1において上下方向) に, 更にウェハの支持面に鉛直な軸線の回り方向 (0方向) に高い精度で位 置決めできるようになつている。 なお、 Z方向の位置決めは、 例えばホ ルダ上の載置面の位置を Z方向に微調整可能にしておけばよい。 この場 合、 載置面の基準位置を微細径レーザによる位置測定装置 (干渉計の原 理を使用したレ一ザ干渉測距装置) によって検知し、 その位置を図示し ないフィードバック回路によって制御したり、 それと共に或いはそれに 代えてウェハのノツチ或いはオリフラの位置を測定してウェハの電子 ビームに対する平面位置、 回転位置を検知し制御する。 ワーキングチヤ ンバ内での塵埃の発生を極力防止するために、 ステージ装置用のサーポ モータ 5 2 1、 5 3 1及びエンコーダ 5 2 2、 5 3 2は、 主ハウジング 3 0の外側に配置されている。
電子ビームに対するウェハの回転位置や、 X、 Y位置を、 予め後述す る信号検出系或いは画像処理系に入力することで得られる信号の基準 化を図ることもできる。 更に、 このホルダに設けられたゥェ八チャック 機構は、 ウェハをチヤックするための電圧を静電チヤックの電極に与え られるようになっていて、 ウェハの外周部の 3点 (好ましくは周方向に 等隔に隔てられた) を押さえて位置決めするようになっている。 ウェハ チャック機構は、 二つの固定位置決めピンと、 一つの押圧式クランプピ ンとを備えている。 クランプピンは、 自動チャック及び自動リリースを 実現できるようになつており、 かつ電圧印加の導通箇所を構成している, ローダ一の全体構造
ローダー 6 0は、 ミニエンバイロメント装置 2 0のハウジング 2 2内 に配置されたロポット式の第 1の搬送ュニット 6 1と、 第 2のローディ ングチャンバ 4 2内に配置されたロポッ ト式の第 2の搬送ュニット 6 3とを備えている。
第 1の搬送ュニット 6 1は、 駆動部 6 1 1に関して軸線〇t一 の回 りで回転可能になっている多節のアーム 6 1 2を有している。 多節のァ ームとしては任意の構造のものを使用できるが、 この実施例では、 互い に回動可能に取り付けられた三つの部分を有している。 第 1の搬送ュニ ッ ト 6 1のアーム 6 1 2の一つの部分すなわち最も駆動部 6 1 1側の 第 1の部分は、 駆動部 6 1 1内に設けられた公知の構造の駆動機構 (図 示せず) により回転可能な軸 6 1 3に取り付けられている。 アーム 6 1 2は、 軸 6 1 3により軸線 — の回りで回動できると共に、 部分間 の相対回転により全体として軸線 O i—O iに関して半径方向に伸縮可能 になっている。 アーム 6 1 2の軸 6 1 3から最も離れた第 3の部分の先 端には、 公知の構造の機械式チヤック又は静電チャック等のウェハを把 持する把持装置 6 1 6が設けられている。 駆動部 6 1 1は、 公知の構造 の昇降機構 6 1 5により上下方向に移動可能になっている。
この第 1の搬送ュニット 6 1は、 アーム 6 1 2がカセットホルダに保 持された二つのカセッ ト cの内いずれか一方の方向 M 1又は M 2に向 かってアームが伸び、 カセット c内に収容されたウェハ W (図 1 2 )を 1 枚、 把持装置 6 1 6により把持して取り出す。 その後アームが縮み (図 2に示すような状態)、 アームがプリアライナー 2 5の方向 M 3に向か つて伸長できる位置まで回転してその位置で停止する。 するとアームが 再び伸びてアームに保持されたウェハをブリアライナ一 2 5に載せる。 ブリアライナ一から前記と逆にしてウェハを受け取った後はアームは 更に回転し第 2のローデイングチャンバ 4 1に向かって伸長できる位 置 (向き M 4 ) で停止し、 第 2のローデイングチャンバ 4 1内のウェハ 受け 4 7にウェハを受け渡す。 なお、 機械的にウェハを把持する場合に はウェハの周縁部 (周縁から約 5 mmの範囲) を把持する。 これはゥェ ハには周縁部を除いて全面にデバイス (回路配線) が形成されており、 この部分を把持するとデバイスの破壊、 欠陥の発生を生じさせるからで ある。
第 2の搬送ュニッ ト 6 3も第 1の搬送ュニッ トと構造が基本的に同 じであり、 ウェハの搬送をウェハラック 4 7とステージ装置の載置面上 との間で行う点でのみ相違するだけであるから、 詳細な説明は省略する < 上記ローダー 6 0では、 第 1及び第 2の搬送ュニット 6 1及び 6 3は- カセットホルダに保持されたカセットからワーキングチャンバ 3 1内 に配置されたステージ装置 5 0上への及びその逆のウェハの搬送をほ ぼ水平状態に保ったままで行い、 搬送ュニッ卜のアームが上下動するの は、 単に、 ウェハのカセットからの取り出し及びそれへの挿入、 ウェハ のウェハラックへの載置及びそこからの取り出し及びウェハのステー ジ装置への載置及びそこからの取り出しのときるだけである。 したがつ て、 大型のウェハ、 例えば直径 3 0 c mのウェハの移動もスムースに行 うことができる。
ローダ一による搬送 (カセット—ワーキングチャンバ)
次にカセッ トホルダに支持されたカセッ ト Cからヮ一キングチャン ) 3 1内に配置されたステージ装置 5 0までへのウェハの搬送を順を 追って説明する。
カセットホルダ 1 0は、 前述のように人手によりカセットをセットす る場合にはそれに適した構造のものが、 また自動的にカセットをセット する場合にはそれに適した構造のものが使用される。 この実施例におい て、 カセット cがカセットホルダ 1 0の昇降テーブル 1 1の上にセット されると、 昇降テーブル 1 1は昇降機構 1 2によって降下されカセット cが出入り口 2 2 5に整合される。
カセットが出入り口 2 2 5に整合されると、 カセットに設けられた力 バ一が開き、 さらにまたカセット Cとミニエンバイロメントの出入り口 2 2 5との間には筒状の覆いが配置されてカセッ ト内及びミニエンバ イロメント空間内を外部から遮断する。 なお、 ミニエンバイロメント装 置 2 0側に出入り口 2 2 5を開閉するシャッタ装置が設けられている 場合にはそのシャツ夕装置が動作して出入り口 2 2 5を開く。
一方第 1の搬送ュニット 6 1のアーム 6 1 2は方向 M 1又は M 2の いずれかに向いた状態 (この説明では M 1の方向) で停止しており、 出 入り口 2 2 5が開くとァ一ムが伸びて先端でカセッ ト内に収容されて いるウェハのうち 1枚を受け取る。 なお、 アームと、 カセットから取り 出されるべきウェハとの上下方向の位置調整は、 この実施例では第 1の 搬送ュニット 6 1の駆動部 6 1 1及びアーム 6 1 2の上下移動で行う が、 カセットホルダの昇降テーブルの上下動で行っても或いはその両者 + で行ってもよい。
アーム 6 1 2によるウェハの受け取りが完了すると、 アームは縮み、 シャツ夕装置を動作して出入り口を閉じ (シャツ夕装置がある場合)、 次にアーム 6 1 2は軸線〇i一 の回りで回動して方向 M 3に向けて伸 長できる状態になる。 すると、 アームは伸びて先端に載せられ或いはチ ャックで把持されたウェハをブリアライナー 2 5の上に載せ、 そのプリ ァライナーによってウェハの回転方向の向き (ウェハ平面に垂直な中心 軸線の回りの向き) を所定の範囲内に位置決めする。 位置決めが完了す ると搬送ュニッ ト 6 1はアームの先端にブリアライナ一 2 5からゥェ ハを受け取つたのちアームを縮ませ、 方向 M 4に向けてアームを伸長で きる姿勢になる。 するとシャツ夕装置 2 7の扉 2 7 2が動いて出入り口 2 2 6及び 4 3 6を開き、 アーム 6 1 2が伸びてウェハを第 1のローデ ィングチヤンバ 4 1内のウェハラック 4 7の上段側又は下段側に載せ る。 なお、 前記のようにシャツ夕装置 2 7が開いてウェハラック 4 7に ウェハが受け渡される前に、 仕切壁 4 3 4に形成された開口 4 3 5はシ ャッタ装置 4 6の扉 4 6 1により気密状態で閉じられている。
上記第 1の搬送ュニットによるウェハの搬送過程において、 ミニエン バイロメン卜装置のハウジングの上部に設けられた気体供給ュニッ 卜 2 3 1からは清浄空気が層流状に流れ (ダウンフローとして)、 搬送途 中で塵埃がウェハの上面に付着するのを防止する。 搬送ュニット周辺の 空気の一部 (この実施例では供給ュニッ 卜から供給される空気の約 2 0 %で主に汚れた空気) は排出装置 2 4の吸入ダクト 2 4 1から吸引さ れてハウジング外に排出される。 残りの空気はハウジングの底部に設け られた回収ダクト 2 3 2を介して回収され再び気体供給ュニッ 卜 2 3 1に戻される。
口一ダハウジング 4 0の第 1のローデイングチャンバ 4 1内のゥェ ハラック 4 7内に第 1の搬送ュニッ ト 6 1によりウェハが載せられる と、 シャツ夕装置 2 7が閉じて、 ローデイングチャンバ 4 1内を密閉す る。 すると、 第 1のローデイングチャンバ 4 1内には不活性ガスが充填 されて空気が追い出された後、 その不活性ガスも排出されてそのローデ ィングチャンバ 4 1内は真空雰囲気にされる。 この第 1のローディング チャンバの真空雰囲気は低真空度でよい。 ローディングチャンパ 4 1内 の真空度がある程度得られると、 シャツ夕装置 4 6が動作して扉 4 6 1 で密閉していた出入り口 4 3 4を開き、 第 2の搬送ュニット 6 3のァー ム 6 3 2が伸びて先端の把持装置でウェハ受け 4 7から 1枚のウェハ を受け取る (先端の上に載せて或いは先端に取り付けられたチヤックで 把持して)。 ウェハの受け取りが完了するとアームが縮み、 シャツ夕装 置 4 6が再び動作して扉 4 6 1で出入り口 4 3 5を閉じる。 なお、 シャ ッ夕装置 4 6が開く前にアーム 6 3 2は予めウェハラック 4 7の方向 N 1に向けて伸長できる姿勢になる。 また、 前記のようにシャツタ装置 4 6が開く前にシャツ夕装置 4 5の扉 4 5 2で出入り口 4 3 7、 3 2 5 を閉じていて、 第 2の口一ディングチャンバ 4 2内とワーキングチャン バ 3 1内との連通を気密状態で阻止しており、 第 2の口一ディングチヤ ンバ 4 2内は真空排気される。
シャツ夕装置 4 6が出入り口 4 3 5を閉じると、 第 2の口一ディング チャンバ内は再度真空排気され、 第 1のローディングチヤンバ内よりも 高真空度で真空にされる。 その間に、 第 2の搬送ユニット 6 1のアーム はワーキングチャンバ 3 1内のステージ装置 5 0の方向に向いて伸長 できる位置に回転される。 一方ワーキングチャンバ 3 1内のステージ装 置では、 Yテーブル 5 2が、 Xテーブル 5 3の中心線 X。— X。が第 2の 搬送ュニット 6 3の回動軸線 02— 02を通る X軸線 とほぼ一致 する位置まで、 図 2で上方に移動し、 また、 Xテーブル 5 3は図 2で最 も左側の位置に接近する位置まで移動し、 この状態で待機している。 第 2のローディングチャンバがワーキングチャンバの真空状態と略同じ になると、 シャツ夕装置 4 5の扉 4 5 2が動いて出入り口 4 3 7、 3 2 5を開き、 アームが伸びてウェハを保持したアームの先端がワーキング チャンバ 3 1内のステージ装置に接近する。 そしてステージ装置 5 0の 載置面 5 5 1上にウェハを載置する。 ウェハの載置が完了するとアーム が縮み、 シャツ夕装置 4 5が出入り口 4 3 7、 3 2 5を閉じる。
以上は、 カセット c内のウェハをステージ装置上に搬送するまでの動 作に付いて説明したが、 ステージ装置に載せられて処理が完了したゥェ ハをステージ装置からカセッ ト C内に戻すには前述と逆の動作を行つ て戻す。 また、 ウェハラック 4 7に複数のウェハを載置しておくため、 第 2の搬送ュニッ卜でウェハラックとステージ装置との間でウェハの 搬送を行う間に、 第 1の搬送ュニットでカセッ卜とウェハラックとの間 でウェハの搬送を行うことができ、 検査処理を効率良く行うことができ る。
ワーキングチャンバの変形例
図 6において、 主ハウジングの支持方法の変形例がで示されている。 図 6 ( A ) に示された変形例では、 ハウジング支持装置 3 3 aを厚肉で 矩形の鋼板 3 3 1 aで構成し、 その鋼板の上にハウジング本体 3 2 aが 載せられている。 したがって、 ハウジング本体 3 2 aの底壁 3 2 1 aは、 前記実施例の底壁に比較して薄い構造になっている。 図 6 ( B ) に示さ れた変形例では、 ハウジング支持装置 3 3 bのフレーム構造体 3 3 6 b によりハウジング本体 3 2 b及びローダハウジング 4 0 bを吊り下げ て状態で支持するようになっている。 フレーム構造体 3 3 6 bに固定さ れた複数の縦フレーム 3 3 7 bの下端は、 ハウジング本体 3 2 bの底壁 3 2 1 bの四隅に固定され、 その底壁により周壁及び頂壁を支持するよ うになっている。 そして防振装置 3 7 bは、 フレーム構造体 3 3 6 bと 台フレーム 3 6 bとの間に配置されている。 また、 ローダハウジング 4 0もフレーム構造体 3 3 6に固定された吊り下げ部材 4 9 bによって 吊り下げられている。 ハウジング本体 3 2 bのこの図 6 [ B ] に示され た変形例では、 吊り下げ式に支えるので主ハウジング及びその中に設け られた各種機器全体の低重心化が可能である。 上記変形例を含めた主ハ ウジング及びローダハウジングの支持方法では主ハウジング及びロー ダハウジングに床からの振動が伝わらないようになつている。
図示しない別の変形例では、 主ハウジングのハウジング本体のみが八 ウジング支持装置によって下から支えられ、 ローダハウジングは隣接す るミニエンバイロメント装置と同じ方法で床上に配置され得る。 また、 図示しない更に別の変形例では、 主ハウジングのハウジング本体のみが フレーム構造体に吊り下げ式で支持され、 ローダハウジングは隣接する ミニエンバイロメント装置と同じ方法で床上に配置され得る。
電子光学装置の構造
電子光学装置 7 0は、 ハウジング本体 3 2に固定された鏡筒 7 1を備 え、 その中には、 図 7及び図 8に概略図示するような、 一次電子光学系 (以下単に一次光学系) 7 2と、 二次電子光学系 (以下単に二次光学系) 7 4とを備える電子光学系と、 検出系 7 6とが設けられている。 一次光 学系 7 2は、 電子線を試料であるウェハ Wの表面に照射する光学系で、 電子線を放出する電子銃 7 2 1と、 電子銃 7 2 1から放出された一次電 子線を集束する静電レンズすなわちコンデンサレンズ 7 2 2と、 コンデ ンサレンズ 7 2 2の下方に配置されかつ複数の開口が形成されていて 一次電子線を複数の一次電子ビームすなわちマルチビームに形成する マルチ開口板 7 2 3と、 一次電子ビームを縮小する静電レンズである縮 小レンズ 7 2 4と、 ウイーンフィルタすなわち E X B分離器 7 2 5と、 対物レンズ 7 2 6と、 を備え、 それらは、 図 7に示されるように電子銃 7 2 1を最上部にして順に、 しかも電子銃から放出される一次電子線の 光軸が試料 Sの表面に鉛直になるように配置されている。
電子銃は熱電子線源を用いている。 電子放出 (ェミッタ) 材は LaB 6 である。 高融点 (高温での蒸気圧が低い) で仕事関数の小さい材料であ れば、 他の材料を使用することが可能である。 複数の電子線を得るには、 2通りの方法、 すなわち、 1つは一本のェミッタ (突起がひとつ) から 一本の電子線を引き出し、 他の一つは一本のエミッ夕に複数の突起を形 成してそこから複数の電子線を引き出す方法、 があるが、 本発明に係る 実施例では、 主に後者の方法を採用している。 他の方式の電子線源、 例 えば、 熱電界放出型の電子線も使用可能である。 尚、 熱電子線源は電子 放出材を加熱することにより電子を放出する方式であり、 熱電界放出電 子線源とは、 電子放出材に高電界をかけることにより電子を放出させ、 更に電子線放出部を加熱することにより、 電子放出を安定させた方式で ある。 ウィーンフィル夕は、 図 1 4に示すように、 静電偏向器と電磁偏向器 とにより構成されている。 静電偏向器は、 真空容器中に設けられた一対 の電極 (静電偏向電極) 7 2 5— 1を備え、 X軸方向に電界を生成する。 これら静電偏向電極 7 2 5— 1は、 絶縁スぺーサ 7 2 5— 2を介して真 空容器の真空壁 7 2 5 _ 3に取り付けられており、 これらの電極間距離 Dは、 静電偏向電極 7 2 5— 1の y軸方向の長さ 2 ょりも小さく設定 されている。 このような設定により、 z軸 (光軸) の周りの形成される 電界強度が一様な範囲を比較的大きくすることができるが、 理想的には D<Lであれば、 電界強度が一様な範囲をより大きくすることができる c すなわち、 電極の端縁から DZ2の範囲は、 電界強度が一様ではない ため、 電界強度がほぼ一様な領域は、 一様ではない端部領域を除いた中 心部の 2 L— Dの領域となる。 このため、 電界強度が一様な領域が存在 するためには、 2 L>Dとする必要があり、 さらに、 L>Dと設定する ことにより、 電界強度が一様な領域がより大きくなる。
電磁偏向器は、 真空壁 7 2 5— 3の外側に設けられ、 y軸方向に磁界 を生成する。 該電磁偏向器は、 電磁コイル 7 2 5— 4及び電磁コイル 7 2 5— 5を備え、 これらコイルはそれぞれ、 X軸方向及び y軸方向に磁 界を生成する。 コイル 7 2 5 - 5だけでも y軸方向の磁界を生成できる が、 コイル 4を設け電界と磁界との直交度を向上させている。 すなわち、 コイル 7 2 5— 4によって生成された— X軸方向の磁界成分によって、 コイル 7 2 5— 5によって生成された + X軸方向を打ち消すことによ つて、 電界と磁界との直交度を良好にすることができる。
図 1 5は、 本発明のウィーンフィル夕の池の実施例を示している。 こ の実施例では、 静電偏向電極 7 2 5— 1が 6極設けられている点で、 図 1 4に実施例と相違している。 これら静電偏向電極 1には、 それぞれの 電極の中央と光軸 (z軸) とを結んだ線と電界の方向 (X軸方向) との 角度を Si ( i = 0 , 1, 2 , 3 , 4, 5 ) としたときに、 c o s 0iに 比例する電圧 k · c o s 0i (kは定数) が供給される。 ただし、 Siは、 任意の角度である。 図 1 5'に示した実施例においても、 第 1の実施例と同様に、 X及び y 軸方向の磁界を生成するコイル及び 5を設け、 直行度の修正を行う。
この実施例によれば、 図 1 4の実施例に比べて、 電界強度が一様な領 域をさらに大きくすることができる。
図 1 4及び図 1 5に示したウィーンフィル夕においては、 磁界を生成 するためのコイルをサドル型に形成しているが、 トロイダル型のコイル を用いてもよい。
縮小レンズ 7 2 4及び対物レンズ 7 2 6の像面湾曲収差の影響をな くすため、マルチ開口板 7 2 3に形成される複数(この実施例では 9個) の開口 7 2 3 aは、 図 8に示されるように光軸を中心とした円周上に形 成され、 しかもその開口の X軸上への投影像の X方向の間隔 L Xが同じ になるように配置されている。
二次光学系 7 4は、 E X B型偏向器 7 2 5により一次光学系から分離 された二次電子を通す 2段の静電レンズである拡大レンズ 7 4 1及び 7 4 2と、 マルチ開口検出板 7 4 3を備えている。 マルチ開口検出板 7 4 3に形成される開口 7 4 3 aは、 一次光学系のマルチ開口板 7 2 3に 形成されている開口 7 2 3 aと一対一で対応するようになっている。 検出系 7 6は、 二次光学系 7 4のマルチ開口検出板 7 4 3の各開口 7 4 3 aに対応してそれに近接して配置された複数 (この実施例では 9 個) 検出器 7 6 1と、 各検出器 7 6 1に A Z D変換器 7 6 2を介して電 気的に接続された画像処理部 7 6 3とを備えている。
電子光学装置の動作
次に、 上記構成の電子光学装置 7 0の動作に付いて説明する。
電子銃 7 2 1から放出された一次電子線は、 一次光学系 7 2のコンデ ンサレンズ 7 2 2によって集束されて点 P 1においてクロスオーバを 形成する。 一方、 コンデンサレンズ 7 2 2によって集束された一次電子 線は、 マルチ開口板の複数の開口 7 2 3 aで成形されて複数の一次電子 ビームが形成され、 縮小レンズ 7 2 4によって縮小されて位置 P 2に投 影される。 位置 P 2で合焦した後、 更に対物レンズ 7 2 6によってゥェ ハ Wの表面上に合焦される。 一方、 一次電子ビームは縮小レンズ 7 2 4 と対物レンズ 7 2 6との間に配置された偏向器 7 2 7によってウェハ Wの表面上を走査するように偏向される。一次電子線によるウェハ W表 面の走査態様としては、 例えば、 図 1 6に示す。 すなわち、 この例では、 等間隔にされた 4本の電子線 1 0 1— 1 0 4を、 図で見て、 左から右へ 走査し、 それぞれの走査の右端に来ると、 ウェハを支持しているステー ジが、 図で見て、 下から上へ所定ステップ距離だけ上方へ動き、 電子線 はそれぞれの走査の左端に戻り、 再び右方への走査を行い、 これを繰り 返すことにより、 ウェハの所要領域の走査を行う。
合焦された複数 (この実施例では 9本) の一次電子ビームによって試 料 Sは複数の点が照射され、 照射されたこれらの複数の点からは二次電 子が放出される。 この二次電子は、 対物レンズ 7 2 6の電界に引かれて 細く集束され、 E X B分離器 7 2 5で偏向されて二次光学系 7 4に投入 される。 二次電子による像は偏向器 7 2 5に関して位置 P 2より近い位 置 P 3において焦点を結ぶ。 これは、 一次電子ビームがウェハ面上で 5 0 0 e Vのエネルギを有しているのに対して、 二次電子が数 e Vのエネ ルギしか有していないためである。
位置 P 3で合焦された二次電子の像は 2段の拡大レンズ 7 4 1 , 7 4 2でマルチ開口検出板 7 4 3の対応する開口 7 4 3 aに合焦され、 その 開口を通過し、 各開口 7 4 3 aに対応して配置された検出器 7 6 1で検 出する。 検出器 7 6 1は、 検出した電子線を、 その強度を表す電気信号 に変換する。 このようにして変換された電気信号は、 各検出器 7 6 1か ら出力されてそれぞれ A Z D変換器 7 6 2にデジタル信号に変換され た後、 画像処理部 7 6 3に入力される。 画像処理部 7 6 3は入力された デジタル信号を画像データに変換する。 画像処理部 7 6 3には、 一次電 子線を偏向させるための走査信号が供給されるようになっているので、 画像処理部はウェハの面を表す画像を形成することになる。 この画像を 設定器 (図示せず) に予め設定された標準パターンと、 比較器 (図示せ ず) において比較することによってウェハ Wの被検出 (評価) パターン の良否を検出する。
更に、 レジストレーションによウェハ Wの被測定パターンを一次光学 系の光軸の近くへ移動させ、 ラインスキャンする事によって線幅評価信 号を取り出し、 これを適宜校正することによって、 ウェハの表面に形成 されたパターンの線幅を測定することができる。
ここで上記画像には、 2次電子だけではなく、 散乱電子や反射電子に よる画像を取得できるが、 ここでは 2次電子画像を取得を選択した場合 について述べる。
なお、 一次光学系のマルチ開口板 7 2 3の開口を通過した一次電子ビ ームをウェハ Wの表面に合焦させ、 ウェハから放出される二次電子を検 出器 7 6 1に結像させる際に、 一次光学系で生じるコマ収差、 軸上色収 差及び視野非点という 3つの収差による影響を最小にするよう特に配 慮する必要がある。
また、 複数の一次電子ビーム間の間隔と、 二次光学系との関係につい ては、 一次電子ビーム間の間隔を二次光学系の収差よりも大きい距離だ け離せば複数のビーム間のクロストークを無くすことができる。
なお、 電子光学装置が作動すると、 ウェハ物質等が蒸発して、 偏向器 等の様々な電子光学エレメントに絶縁物質が堆積し悪影響を及ぼすの で、 堆積した絶縁体は周期的に除去しなければならない。 絶縁物質の除 去は絶縁物質の堆積する領域近傍の電極を利用して真空中で水素や酸 素あるいはフッ素のプラズマを励起し、 絶縁物質のみ酸化除去する。 電子光学装置のプレチャージュニット
プレチャージユニット 8 1は、 図 1に示されるように、 ワーキングチ ャンバ 3 1内で電子光学装置 7 0の鏡筒 7 1に隣接して配設されてい る。 本検査装置では試料である基板すなわちウェハに電子線を走査して 照射することによりウェハ表面に形成されたデバイスパターン等を検 查する形式の装置であるから、 電子線の照射により生じる二次電子等の 情報をウェハ表面の情報とするが、 ウェハ材料、 照射電子のエネルギ等 の条件によってウェハ表面が帯電 (チャージア プ) することがある。 更に、 ウェハ表面でも強く帯電する箇所、 弱い帯電箇所が生じる可能性 がある。 ウェハ表面の帯電量にむらがあると二次電子情報もむらを生じ、 正確な情報を得ることができない。 そこで、 本実施例では、 このむらを 防止するために、 荷電粒子照射部 8 1 1を有するプレチャージュニット 8 1が設けられている。 検査するウェハの所定の箇所に検査電子を照射 する前に、 帯電むらをなくすためにこのプレチャージュニットの荷電粒 子照射部 8 1 1から荷電粒子を照射して帯電のむらを無くす。 このゥェ ハ表面のチャージアツプは予めウェハ面の画像を形成し、 その画像を評 価することで検出し、 その検出に基づいてプレチヤ一ジュニット 8 1を 動作させる。
また、 このプレチャージュニットでは一次電子線をぼかして照射して もよい。
プレチャージは、 検査試料であるゥェ八の電気的欠陥を検査すること にも利用することができる。 すなわち、 本来電気的に絶縁されている部 分が何らかの原因で通電状態にあると、 プレチャージを行った場合、 適 正に絶縁されている部分との比較において、 帯電状態が異なるので、 一 次電子ビームを照射して、 それに基づき発生する二次電子を検知するこ とにより、 当該ウェハの電気的欠陥の有無を検査することができるので ある。
電子光学装置の電位印加装置
図 9において、 電位印加機構 8 3は、 ゥェ八から放出される二次電子 発生率が、 ウェハの電位に依存すると言う事実に基づいて、 ウェハを載 置するステージの設置台に土数 Vの電位を印加することにより二次電 子の発生を制御するものである。 また、 この電位印加機構は、 照射電子 が当初有しているエネルギーを減少し、 ウェハに 1 0 0〜 5 0 0 e V程 度の照射電子エネルギーとするための用途も果たす。
電位印加機構 8 3は、 図 9に示されるように、 ステージ装置 5 0の載 置面 5 4 1と電気的に接続された電圧印加装置 8 3 1と、 チャージアツ プ調査及び電圧決定システム (以下調査及び決定システム) 8 3 2とを 備えている。 調査及び決定システム 8 3 2は、 電,子光学装置 7 0の検出 系 7 6の画像形成部 7 6 3に電気的に接続されたモニタ一 8 3 3と、 モ 二夕一 8 3 3を監視するオペレータ 8 3 4と、 オペレータ 8 3 4が制御 する C P U 8 3 5とを備えている。 C P U 8 3 5は、 前記電圧印加装置 8 3 1並びに偏向器 7 2 7に信号を供給するようになっている。
上記電位印加機構は、 試料であるウェハが帯電し難い電位を探し、 そ の電位を印加するように設計されている。
電子光学装置の電子ビームキヤリブレーション機構
図 1 0において、 電子ビームキャリブレーション機構 8 5は、 前記回 転テーブル上でウェハの載置面 5 4 1の側部の複数箇所に設置された、 ビーム電流測定用のそれぞれ複数のファラデーカップ 8 5 1及び 8 5 2を備えている。 ファラデーカップ 8 5 1は細いビーム用(約 φ 2 m) で、 ファラデー力ップ 8 5 2は太いビーム用 (約 3 0 m) である。 細いビ一ム用のファラデー力ップ 8 5 1では回転テーブルをステツプ 送りすることで、 ビームプロフィルを測定し。 太いビーム用のファラデ —カップ 8 5 2ではビームの総電流量を計測する。 ファラデーカップ 8 5 1及び 8 5 2は、 上表面が載置面 5 4 1上に載せられたウェハ Wの上 表面と同じレベル (高さ)になるように配置されている。 このようにして 電子銃から放出される一次電子線を常時監視する。 これは、 電子銃が常 時一定の電子線を放出できるわけでなく、 使用しているうちにその放出 量が変化するためである。
電子光学装置のアラインメント制御装置
ァライメント制御装置 8 7は、 ステージ装置 5 0を用いてウェハ Wを 電子光学装置 7 0に対して位置決めさせる装置であって、 ウェハを光学 顕微鏡 8 7 1を用いた広視野観察による概略合わせ (電子光学系による よりも精度が低い測定)、 電子光学装置 7 0の電子光学系を用いた高倍 率合わせ、 焦点調整、 検査領域設定、 パターンァライメント等の制御を 行うようになっている。 このように光学系を用いて低倍率でウェハを検 査するのは、 ゥェ八のパターンの検查を自動的に行うために、 電子線を 用いた狭視野でウェハのパターンを観察してウェハライメントを行う 時に、 電子線によるァライメントマークを容易に検出する必要があるか らである。
光学顕微鏡 8 7 1は、 ハウジングに設けられ (ハウジング内で移動可 能に設けられていてもよい) ており、 光学顕微鏡を動作させるための光 源も図示しないがハウジング内に設けられている。 また高倍率の観察を 行う電子光学系は電子光学装置 7 0の電子光学系 (一次光学系 7 2及び 二次光学系 7 4 ) を共用するものである。 その構成を概略図示すれば、 図 1 1に示されるようになる。 ウェハ上の被観察点を低倍率で観察する には、 ステージ装置 5 0の Xステージ 5 3を X方向に動かすことによつ てウェハの被観察点を光学顕微鏡の視野内に移動させる。 光学顕微鏡 8 7 1で広視野でウェハを視認してそのウェハ上の観察すべき位置を C C D 8 7 2を介してモニタ 8 7 3に表示させ、 観察位置をおおよそ決定 する。 この場合光学顕微鏡の倍率を低倍率から高倍率に変化させていつ てもよい。
次に、 ステージ装置 5 0を電子光学装置 7 0の光軸と光学顕微鏡 8 7 1の光軸との間隔 δ Xに相当する距離だけ移動させて光学顕微鏡で予 め決めたウェハ上の被観察点を電子光学装置の視野位置に移動させる。 この場合、 電子光学装置の軸線 03— 03と光学顕微鏡 8 7 1の光軸 04 一 04との間の距離 (この実施例では X軸線に沿った方向にのみ両者は 位置ずれしているものとするが、 Υ軸方向及び Υ軸方向に位置ずれして いてもよい) (5 Xは予めわかっているのでその値 δ Xだけ移動させれば 被観察点を視認位置に移動させることができる。 電子光学装置の視認位 置への被観察点の移動が完了した後、 電子光学系により高倍率で被観察 点を S Ε Μ撮像して画像を記憶したり、 モニタ 7 6 5に表示させる。
このようにして電子光学系による髙倍率でウェハの観察点をモニタ に表示させた後、 公知の方法によりステージ装置 5 0の回転テ一ブル 5 4の回転中心に関するウェハの回転方向の位置ずれすなわち電子光学 系の光軸 ο 3— 03に対するウェハの回転方向のずれ δ Θを検出し、 また 電子光学装置に関する所定のパターの X軸及び Y軸方向の位置ずれを 檢出する。 そしてその検出値並びに別途得られたウェハに設けられた検 查マークのデ一夕或いはウェハのパターンの形状等に関するデータに 基づいてステージ装置 5 0の動作を制御してウェハのァライメントを 行う。
検査シーケンス (要約)
電子光学装置によるウェハ(資料)検査は、 図 1 3に示すごとく要約す ることができる。 すなわち、 はじめに光学顕微鏡により、 必要に応じて 各ダイの位置確認や、 各場所の高さ検出が行われ記憶される。 次にゥェ ハの種類 (どの工程後か、 ウェハのサイズは 2 0 c mか 3 0 c mか等) に応じたレシピの情報を装置に入力し、 以下検査場所の指定、 電子光学 系の設定、 検査条件の設定を行なった後、 画像取得を行ないながら通常 はリアルタイムで欠陥検査を行なう。 セル同士の比較、 ダイ比較等が、 アルゴリズムを備えた高速の情報処理システムにより検査が行なわれ、 必要に応じて C R T等に結果を出力や、 メモリーへ記憶を行なう。 欠陥 にはパーティクル欠陥、 形状異常 (パターン欠陥)、 及び電気的欠陥等 が有り、 これらを区別したり欠陥の大きさや、 キラー欠陥 (チップの使 用が不可能になる重大な欠陥等) の分類を自動的にリアルタイムで行う ことも出来る。 電気的欠陥の検出は電位コントラスト異状を検出するこ とで達成される。例えば導通不良の場所は電子線照射(5 0 0 e V程度) により、 通常正に帯電し、 コントラストが低下するので正常な場所と区 別ができる。 この場合の電子照射装置とは、 通常検査用の電子線照射装 置以外に別途、 電位差によるコントラストを際立たせるために設けた、 低電位のエネルギーの電子線発生装置をいう。 試料領域に検査用の電子 線を照射する前に、 この低電位エネルギーの電子線を発生 ·照射してい る。 検査用の電子線を照射すること自体、 正に帯電させることが出来る 写像投影方式の場合は、 使用によっては、 別途低電位の電子線発生装置 を設ける必要はない。 また、 ウェハ等の試料に基準電位に対して、 正又 は負の電位をかけること等による (素子の順方向又は逆方向により流れ 易さが異なるために生じる) コントラストの違いから欠陥検出が出来る。 線幅測定装置及び合わせ精度装置にも利用できる。
上記実施例に係る検査装置における効果
上記実施例に係る検査装置においては、 特に、 次のような効果を奏す ることが可能である。
(ィ) 複数の電子線すなわちマルチビームを用いた検査装置の各構成機 器を機能的に組み合わせることができたた'め、 高いスループッ卜で試料 を処理することができる。 .
(口) エンバイロメント空間内に清浄度を観察するセンサを設けること によりその空間内の塵埃を監視しながら試料の検査を行うことができ る。
(Λ ) プレチャージユニットを設けているので、 絶縁物でできたウェハ も帯電による影響を受けがたい。 ワーキングチャンバ内の真空度の維持 (ステージの改良 1 )
ウェハ、 すなわち、 本発明に係る装置における試料である試料を支持 するステージに対して非常に高精度な位置決めが要求される場合には、 ステージを (高圧ガスによる支持を行う)静圧軸受けによって非接触支持 する構造が採用されている。 この場合、 静圧軸受けから排出される高圧 ガスが直接真空チャンパに入らないように、 高圧ガスを排気する差動排 気機構を静圧軸受けに隣接して形成することによって、 真空チャンバの 真空度を維持している。
かかる従来技術によるステージの一例が図 1 7 (A) 及び図 1 7 (B) に示されている。 同図の構造において、 真空チャンバ Cを構成するハウ ジング 1 4 ' 一 2に、 電子ビームを発生し試料に照射する電子線検査装 置の鏡筒 1 一 2の先端部すなわち電子ビーム照射部 2— 2が取り付け られている。 鏡筒内部は真空配管 1 8— 2によって真空排気されており, チャンバ Cは真空配管 1 9 ' _ 2によって真空排気されている。 そして、 電子ビームは鏡筒 1 一 2の先端部 2— 2から、 その下に置かれたウェハ 等の試料 wに対して照射される。
試料 W は試料台 tに公知の方法により取り外し可能に保持されてお り、 試料台!;は XYステージ (以下単にステージ) 3 ' — 2の Y方向可 動部 4 ' 一 2の上面に取り付けられている。 上記 Y方向可動部 4 ' - 2 には、 ステージ 3 - 2の X方向可動部 5 ' — 2のガイド面 5 a ' — 2と 向かい合う面 (図 1 7 (A) において左右両面及び下面) に静圧軸受け 9 ' 一 2が複数取り付けられており、 この静圧軸受け 9 ' 一 2の作用に よりガイド面との間に微小隙間を維持しながら Y方向 (図 1 7 (B) で 左右方向) に移動できる。 さらに静圧軸受けの周りには、 静圧軸受けに 供給される高圧ガスが真空チャンバ Cの内部にリークしないように差 動排気機構が設けられている。 この様子を図 1 8に示す。. 静圧軸受け 9 - 2の周囲に二重に溝 g 1— 2と g 2— 2が構成されており、 これらの 溝は図示されていない真空配管と真空ポンプにより常時真空排気され ている。 このような構造により、 Y方向可動部 4 ' _ 2は真空中を非接 触状態で支持され Y方向に自在に移動することができるようになって いる。 これらの二重の溝 g 1— 2と g 2— 2は可動部 4 ' 一 2の静圧軸 受け 9 ' 一 2が設けられている面にその静圧軸受けを囲むようにして 形成されている。
この Y方向可動部 4 ' 一 2を搭載している X方向可動部 5 ' — 2は、 図 1 7 (A) 及び図 1 7 (B) からも明らかなように、 上方に開口してい る凹形の形状を有していて、 その X方向可動部 5 ' — 2にもまったく同 様の静圧軸受け及び溝が設けられていて、 ステージ台 6 ' — 2に対して 非接触で支持されており、 X方向に自在に移動することができる。
これらの Y方向可動部 4 ' _ 2と X方向可動部 5 ' — 2の移動を組 み合わせることによって、試料 Wを鏡筒の先端部すなわち電子ビーム照 射部 2— 2に関して水平方向任意の位置に移動させ、 試料の所望の位置 に電子ビームを照射することができる。
上記の静圧軸受けと差動排気機構を組み合わせたステージでは、 差動 排気機構を設けたため、 大気中で使用される静圧軸受け式ステージに比 ベて構造が複雑で大型になり、 ステージとしての信頼性が低く、 高コス 卜になるという問題があつた。
そこで、 本発明では、 X Yステージの差動排気機構をなくして構造が 簡単で、 しかも、 ワーキングチャンバ内の真空度を維持することができ るようにした電子線検査装置を提供する。
改良ステージを備える電子線検査装置
以下において、 ステージの改良を行った本発明に係る電子線検査装置 の実施例を説明する。 なお、 図 1 7 ( A ) ,図 1 7 ( B ) の従来例及び実 施例において共通する構成部材を示す参照番号は同じになっている。 な お、 この明細書中で 「真空」 とは当該技術分野において呼ばれる真空で あって、 必ずしも絶対真空を指すものではない。
図 1 9には改良されたステージを備える電子線検査装置の第 1の実施 例が示されている。
電子ビームを試料に向かって照射する鏡筒 1一 2の先端部すなわち 電子ビーム照射部 2 _ 2が真空チャンバ Cを画成するハウジング 1 4 一 2に取り付けられている。 鏡筒 1— 2の直下には、 X Yステージ 3— 2の X方向 (図 1 9において左右方向) の可動テーブル上に載置されて いる試料 Wが配置されるようになっている。 この試料 Wは高精度な X Yステージ 3— 2によって、 その試料面上の任意の位置に対して正確に 電子ビームを照射させることができる。
X Yステージ 3— 2の台座 6— 2はハウジング 1 4— 2の底壁に固 定され、 Y方向 (図 1 9において紙面に垂直の方向) に移動する Yテー ブル 5— 2が台座 6— 2の上に載っている。 Yテーブル 5— 2の両側面 (図 1 9において左右側面) には、 台座 6— 2に載置された一対の Y方 向ガイ ド 7 a— 2及び 7 b - 2の Yテーブルに面した側に形成された 凹溝内に突出する突部が形成されている。 その凹溝は Y方向ガイドのほ. ぼ全長に亘つて Y方向に伸びている。 凹溝内に突出する突部の上、 下面 及び側面には公知の構造の静圧軸受け 1 1 a— 2、 9 a— 2、 l i b— 2、 9 b— 2、 がそれぞれ設けられ、 これらの静圧軸受けを介して高圧 ガスを吹き出すことにより、 Yテーブル 5 — 2は Y方向ガイド 7 a— 2 , 7 b - 2に対して非接触で支持され、 Y方向に円滑に往復運動できるよ うになつている。 また、 台座 6 — 2と Yテーブル 5 _ 2との間には、 公 知の構造のリニアモ一夕 1 2 ― 2が配置されており、 Y方向の駆動をそ のリニアモータで行うようになっている。 Yテーブルには、 高圧ガス供 給用のフレキシブル配管 2 2 - 2によって高圧ガスが供給され、 Yテ一 ブル内に形成されたガス通路 (図示せず) を通じて上記静圧軸受け 9 a 一 2ないし 1 1 a— 2及び 9 b _ 2ないし 1 l b— 2に対して高圧ガ スが供給される。 静圧軸受けに供給された高圧ガスは、 Y方向ガイドの 対向する案内面との間に形成された数ミクロンから数十ミクロンの隙 間に噴出して Yテーブルを案内面に対して X方向と Z方向 (図 1 9にお いて上下方向) に正確に位置決めする役割を果たす。
Yテーブル上には Xテーブル 4 _ 2が X方向 (図 1 9において左右方 向) に移動可能に載置されている。 Yテ一ブル 5 — 2上には Yテーブル 用の Y方向ガイド 7 a— 2、 7 b— 2と同じ構造の一対の X方向ガイド 8 a— 2、 8 b— 2 ( 8 a— 2のみ図示) が Xテーブル 4— 2を間に挟 んで設けられている。 X方向ガイドの Xテ一ブルに面した側にも凹溝が 形成され、 Xテーブルの側部 (X方向ガイドに面した側部) には凹溝内 に突出する突部が形成されている。 その凹溝は X方向ガイドのほぼ全長 に亘つて伸びている。 凹溝内に突出する X方向テーブル 4— 2の突部の 上、 下面及び側面には前記静圧軸受け 1 1 a— 2、 9 a— 2、 1 0 a - 2、 l i b— 2、 9 b— 2、 1 0 b— 2と同様の静圧軸受け (図示せず) が同様の配置で設けられている。 Yテーブル 5— 2と Xテーブル 4— 2 との間には、 公知の構造の.リニアモータ 1 3— 2が配置されており、 X テーブルの X方向の駆動をそのリニアモータで行うようにしている。 そ して、 Xテーブル 4一 2にはフレキシブル配管 2 1 - 2によって高圧ガ スが供給され、 静圧軸受けに高圧ガスを供給するようになっている。 こ の高圧ガスが静圧軸受けから X方向ガイ ドの案内面に対して噴出され ることによって、 Xテーブル 4一 2が Y方向ガイドに対して高精度に非 接触で支持されている。 真空チャンバ Cは公知の構造の真空ポンプ等に 接続された真空配管 1 9— 2、 2 0 a— 2、 2 O b— 2によって排気さ れている。 配管 2 0 a— 2、 2 0 b— 2の入口側 (真空チャンバ内側) は台座 6— 2を貫通してその上面において、 X Yステージ 3— 2から高 圧ガスが排出される位置の近くで開口しており、 真空チャンパ内の圧力 が静圧軸受けから噴出される高圧ガスにより上昇するのを極力防止し ている。
鏡筒 1— 2の先端部すなわち電子ビーム照射部 2— 2の周囲には、 差 動排気機構 2 5 一 2が設けられ、 真空チャンバ C内の圧力が高くても電 子ビーム照射空間 3 0 — 2の圧力が十分低くなるようにしてある。 すな わち、 電子ビーム照射部 2 _ 2周囲に取り付けられた差動排気機構 2 5 一 2の環状部材 2 6— 2は、 その下面 (試料 W側の面) と試料との間で 微少隙間 (数ミクロンから数百ミクロン) 4 0 _ 2が形成されるように、 ハウジング 1 4— 2に対して位置決めされており、 その下面には環状溝 2 7 - 2が形成されている。 環状溝 2 7 - 2は排気管 2 8— 2により図 示しない真空ポンプ等に接続されている。 したがって、 微少隙間 4 0— 2は環状溝 2 7— 2及び排気口 2 8— 2を介して排気され、 真空チャン バ Cから環状部材 2 6 - 2によって囲まれた空間 3 0— 2内にガス分 子が侵入しょうとしても、 排気されてしまう。 これにより、 電子ビーム 照射空間 3 0 — 2内の圧力を低く保つことができ、 電子ビームを問題な く照射することができる。
この環状溝は、 チャンバ内の圧力、 電子ビーム照射空間 3 0— 2内の 圧力によっては、 二重構造或いは三重構造にしてもよい。
静圧軸受けに供給する高圧ガスは、 一般にドライ窒素が使用される。 しかしながら、 可能ならば、 更に高純度の不活性ガスにすることが好ま しい。 水分や油分等の不純物がガス中に含まれると、 これらの不純物分 子が真空チャンバを画成するハウジングの内面やステージ構成部品の 表面に付着して真空度を悪化させたり、 試料表面に付着して電子ビーム 照射空間の真空度を悪化させてしまう。 なお、 以上の説明において、試料 Wは通常 Xテーブル上に直接載置さ れるのでなく、 試料を取り外し可能に保持したり XYステージ 3— 2に 対して微少な位置変更を行うなどの機能を持たせた試料台の上に載置 されているが、 試料台の有無及びその構造は本願発明の要旨には関係な いので、 説明を簡素化するために省略されている。
以上に説明した電子線検査装置では、 大気中で用いられる静圧軸受け のステージ機構をほぼそのまま使用できるので、 露光装置等で用いられ る大気用の高精度ステージと同等の高精度の XYステージを、 ほぼ同等 のコスト及び大きさで電子線検査装置用の XYステージに対して実現 できる。
なお、 以上説明した静圧ガイ ドの構造や配置及びァクチユエ一夕 (リ ニァモータ) はあくまでも一実施例であり、 大気中で使用可能な静圧ガ ィドゃァクチユエ一夕ならば何でも適用できる。
次に差動排気機構の環状部材 2 6一 2及びそれ【こ形成される環状溝 の大きさの数値例を図 20に示す。 なお、 この例では環状溝は 2 7 a _ 2及び 2 7 b— 2の二重構造を有しており、 それらは半径方向に隔てら れている。
静圧軸受けに供給される高圧ガスの流量は、 通常おおよそ 20 L/m i n (大気圧換算) 程度である。 真空チャンバ Cを、 内径 50mmで長 さ 2 mの真空配管を介して 2 0 0 0 0 L/m i nの排気速度を有する ドライポンプで排気すると仮定すると、 真空チャンバ内の圧力は、 約 1 6 0 P a (約 1. 2 T o r r) となる。 この時、 差動排気機構の環状部 材 2 6— 2及び環状溝等の寸法を、 図 20に示されるようにすれば、 電 子ビーム照射空間 3 0— 2内の圧力を 1 0-4P a ( 1 0·6Τ o r r ) にす ることができる。
図 2 1において、 第 2の実施例が示されている。 ハウジング 14— 2 によって画成された真空チャンバ Cには、 真空配管 74— 2、 7 5 - 2 を介してドライ真空ポンプ 5 3 _ 2が接続されている。 また、 差動排気 機構 2 5 - 2の環状溝 2 7 - 2は排気口 2 8— 2に接続された真空配 管 7 0— 2を介して超高真空ポンプであるターボ分子ポンプ 5 1 一 2 が接続されている。 更に、 鏡筒 1 一 2の内部は、 排気口 1 8— 2に接続 された真空配管 7 1— 2を介して、 夕ーポ分子ポンプ 5 2一 2が接続さ れている。 これらの夕一ポ分子ポンプ 5 1— 2、 5 2— 2は、 真空配管 7 2— 2、 7 3— 2によってドライ真空ポンプ 5 3— 2に接続されてい る。 (本図では、 ターボ分子ポンプの粗引きポンプと真空チャンバの真 空排気用ポンプを 1台のドライ真空ポンプで兼用したが、 X Yステージ の静圧軸受けに供給する高圧ガスの流量、 真空チャンバの容積や内表面 積、 真空配管の内径や長さに応じて、 それらを別系統のドライ真空ボン プで排気する場合も考えられる。)
X Yステージ 3— 2の静圧軸受けには、 フレキシブル配管 2 1 _ 2、 2 2— 2を通して高純度の不活性ガス (N 2ガス、 A rガス等) が供給 される。 静圧軸受けから噴出したこれらのガス分子は真空チャンバ内に 拡散し、 排気口 1 9一 2、 2 0 a - 2 , 2 0 b— 2を通してドライ真空 ポンプ 5 3— 2によって排気される。 また、 差動排気機構や電子ビーム 照射空間に侵入したこれらのガス分子は環状溝 2 7 一 2或いは鏡筒 1 一 2の先端部から吸引され、 排気口 2 8— 2及び 1 8— 2を通ってター ポ分子ポンプ 5 1— 2及び 5 2 _ 2によって排気され、 ターボ分子ボン プから排出された後ドライ真空ポンプ 5 3 - 2によって排気される。 このように、 静圧軸受けに供給された高純度不活性ガスはドライ真空 ポンプに集められて排出される。
一方、 ドライ真空ポンプ 5 3 - 2の排気口は、 配管 7 6— 2を介して 圧縮機 5 4— 2に接続され、 圧縮機 5 4 - 2の排気口は配管 7 7— 2、 7 8 - 2 , 7 9 _ 2及びレギユレ一夕 6 1 _ 2、 6 2— 2を介してフレ キシブル配管 2 1— 2、 2 2— 2に接続されている。 このため、 ドライ 真空ポンプ 5 3— 2から排出された高純度不活性ガスは、 圧縮機 5 4 - 2によって再び加圧されレギユレ一夕 6 1— 2、 6 2 - 2で適正な圧力 に調整された後、 再び X Yテーブルの静圧軸受けに供給される。
なお、 静圧軸受けに供給されるガスは上述したようにできるだけ高純 度にし、 水分や油分が極力含まれないようにする必要があるため、 ター ポ分子ポンプ、 ドライポンプ及び圧縮機は、 ガス流路に水分や油分が混 入しないような構造であることが求められる。 また、 圧縮機の排出側配 管 7 7— 2の途中にコールドトラップやフィルタ等 (6 0— 2 ) を設け、 循環するガス中に混入した水分や油分等の不純物質をトラップして静 圧軸受けに供給されないようにすることも有効である。
こうすることによって、 高純度不活性ガスを循環させて再利用できる ので、 高純度不活性ガスを節約でき、 また、 本装置が設置された部屋に 不活性ガスをたれ流さないので、 不活性ガスによる窒息等の事故が発生 する恐れもなくすことができる。
なお、 循環配管系には高純度不活性ガス供給系 6 3 — 2が接続されて おり、 ガスの循環を始める際に、 真空チャンバ Cや真空配管 7 0— 2 ~ 7 5 - 2及び加圧側配管 7 6 — 2〜 8 0— 2を含む全ての循環系に高 純度不活性ガスを満たす役割と、 何らかの原因で循環するガスの流量が 減少した際に不足分を供給する役割とを担っている。
また、 ドライ真空ポンプ 5 3 - 2に大気圧以上まで圧縮する機能を持 たせることによって、 ドライ真空ポンプ 5 3 - 2と圧縮機 5 4— 2を 1 台のポンプで兼ねさせることも可能である。
更に、 鏡筒の排気に用いる超高真空ポンプには、 夕一ポ分子ポンプの 代わりにイオンポンプやゲッタポンプ等のポンプを使用することも可 能である。 ただし、 これらの溜込み式ポンプを用いた場合は、
この部分には循環配管系を構築することはできないことになる。 また、 ドライ真空ポンプの代わりに、 ダイヤフラム式ドライポンプ等、 他方式 のドライポンプを使用することももちろん可能である。
改良されたステージを備える電子線検査装置における効果
上記の如きステージを備える本発明に係る電子線検査装置において は、 次のような効果を奏することが可能である。
(ィ) 大気中で一般に用いられる静圧軸受け式のステージと同様の構造 を持ったステージ (差動排気機構を持たない静圧軸受け支持のステ一 ジ) を使用して、 ステージ上の試料に対して電子ビームによる処理を安 定に行うことができる。
(口) 電子ビーム照射領域の真空度に対する影響を最小限に抑えること が可能になり、 電子ビームによる試料への処理を安定化させることがで さる。
(八) ステージの位置決め性能が高精度で、 かつ電子ビームの照射領域 の真空度が安定した検査装置を安価に提供することができる。
(二) ステージの位置決め性能が高精度で、 かつ電子ビーム照射領域の 真空度が安定した露光装置を安価に提供することができる。
(ホ) ステージの位置決め性能が高精度で、 かつ電子ビーム照射領域の 真空度が安定した装置によって半導体を製造することにより、 微細な半 導体回路を形成できる。 ワーキングチャンバ内の真空度の維持 (ステージの改良 2 )
上記ワーキングチャンバ内の真空度の維持 (ステージの改良 1 ) にお いて述べた従来技術に係る静圧軸受けと差動排気機構を組み合わせた ステージでは、 ステージが移動する際に、 静圧軸受けに対向するガイド 面は、 静圧軸受け部の高圧ガス雰囲気とチャンバ内の真空環境の間を往 復運動する。 この時ガイド面では、 高圧ガス雰囲気に曝されている間に ガスが吸着し、 真空環境に露出されると吸着していたガスが放出される という状態が繰り返される。 このためステージが移動する度に、 チャン バ内の真空度が悪化するという現象が起こり、 上述した電子ビームによ る露光や検査や加工等の処理が安定に行えず、 このために、 試料が汚染 されてしまうという問題もあった。
本発明では、 このような従来装置における問題をも解消することを目 的に、 以下に説明するごとき改良したステージを備えた電子線検查装置 を提供する。
改良されたステージを備えた電子線検査装置
(第 1の実施例) 図 2 2 ( ) ,図 22 (B) は、 その第 1の実施例が示されている。 ステージ 3— 3の Y方向可動部 5— 3の上面には + Y方向と— Y方 向 (図 2 2 (B) で左右方向) に大きくほぼ水平に張り出した仕切り板 1 4— 3が取り付けられ、 X方向可動部 6— 3の上面との間に常にコン ダクタンスが小さい絞り部 5 0— 3が構成されるようになっている。 ま た、 X方向可動部 6— 3の上面にも同様の仕切り板 1 2— 3が土 X方向 (図 2 2 (A) で左右方向) に張り出すように構成されており、 ステー ジ台 7 _ 3の上面との間に常に絞り部 5 1― 3が形成されるようにな つている。 ステージ台 7— 3は、 ハウジング 8— 3内において底壁の上 に公知の方法で固定されている。
このため、 試料台 4— 3がどの位置に移動しても常に絞り部 5 0— 3 と 5 1— 3が形成されるので、 可動部 5— 3及び 6 _ 3の移動時にガイ ド面 6 a— 3や 7 a— 3からガスが放出されても、 絞り部 5 0— 3と 5 1 - 3によって放出ガスの空間 Cへの移動が妨げられるため、電子ビー ムが照射される試料近傍の空間 2 4— 3の圧力上昇を非常に小さく押 さえることができる。
ステージの可動部 3— 3の側面及び下面並びに可動部 6— 3の下面 には、 静圧軸受け 9— 3の周囲に、 図 2に示されるような差動排気用の 溝が形成されていてこの溝によって真空排気されるため、 絞り部 5 0一 3、 5 1— 3が形成されている場合は、 ガイド面からの放出ガスはこれ らの差動排気部によって主に排気されることになる。 このため、 ステー ジ内部の空間 1 3— 3や 1 5— 3の圧力は、 チャンパ C内の圧力よりも 高い状態になっている。 したがって、 空間 1 3— 3や 1 5— 3を、 差動 排気溝 1 7— 3や 1 8— 3で排気するだけでなく、 真空排気する箇所を 別に設ければ空間 1 3— 3や 1 5— 3の圧力を下げることができ、 試料 近傍 24 - 3の圧力上昇を更に小さくすることができる。 このための真 空排気通路 1 1一 1 · 3と 1 1 _ 2 · 3とが設けられている。 排気通路 はステージ台 7— 3及びハウジング 8 _ 3を貫通してハウジング 8— 3の外部に通じている。 また、 排気通路 1 1一 2は X方向可動部 6— 3 に形成され X方向可動部 6 - 3の下面に開口している。
また、 仕切り板 1 2— 3及び 1 4一 3を設置すると、 チャンパ Cと仕 切り板が干渉しないようにチャンバを大きくする必要が生じるが、 仕切 り板を伸縮可能な材料や構造にすることによってこの点を改善するこ とが可能である。 この実施例としては、 仕切り板をゴムで構成したり蛇 腹状にして、 その移動方向の端部を、 仕切り板 1 4一 3の場合は X方向 可動部 6— 3に、 仕切り板 1 2— 3の場合はハウジング 8— 3の内壁に それぞれ固定する構成とすることが考えられる。
(第 2の実施例)
図 2 3 'には、 第 2の実施例が示されている。
この実施例では、 鏡筒の先端部すなわち電子ビーム照射部 2— 3の周 囲に、 試料 Sの上面との間に絞り部ができるように円筒状の仕切り 1 6 _ 3が構成されている。 このような構成では、 X Yステージからガスが 放出されてチャンバ C内の圧力が上昇しても、 仕切りの内部 2 4— 3は 仕切り 1 6— 3で仕切られており真空配管 1 0— 3で排気されている ので、 チャンバ C内と仕切りの内部 2 4— 3との間に圧力差が生じ、 仕 切り内部の空間 2 4 _ 3の圧力上昇を低く抑えられる。 仕切り 1 6 _ 3 と試料面との隙間は、 チャンバ C内と照射部 2— 3周辺の圧力をどの程 度に維持するかによって変わるが、 凡そ数十 mないし数 mm程度が適 当である。 なお、 仕切り 1 6 _ 3内と真空配管とは公知の方法により連 通されている。
また、 電子ビーム照射装置では、 試料 Sに数 k V程度の高電圧を印加 することがあり、 導電性の材料を試料の近傍に設置すると放電を起こす 恐れがある。 この場合には、 仕切り 1 6— 3の材質をセラミックス等の 絶縁物で構成すれば、 試料 Sと仕切り 1 6— 3との間で放電を起こすこ とがなくなる。
なお、 試料 S (ウェハ) の周囲に配置したリング部材 4一 1 · 3は試 料台 4一 3に固定された板状の調整部品であり、 ウェハのような試料の 端部に電子ビームを照射する場合であっても、 仕切り 1 6 _ 3の先端部 全周に亘つて微小隙間 5 2— 3が形成されるように、 ウェハと同一の高 さに設定されている。 これによつて、 試料 Sのどの位置に電子ビームが 照射しても、 仕切り 1 6— 3の先端部には常に一定の微小隙間 5 2 - 3 が形成され、 鏡筒先端部周囲の空間 2 4 - 3の圧力を安定に保つことが できる。
(第 3の実施例)
図 2 4には、 前述のワーキングチャンバ内の真空度の維持 (ステージ の改良 1 ) において説明したものと実質的に同じ内容を有する第 3の実 施例が示されている。
鏡筒 1 一 3の電子ビーム照射部 2 — 3の周囲に差動排気構造を内蔵 した仕切り 1 9— 3が設けられている。 仕切り 1 9 - 3は円筒状の形状 をしており、 その内部に円周溝 2 0— 3が形成され、 その円周溝からは 上方に排気通路 2 1 - 3が延びている。 その排気通路は内部空間 2 2一 3を経由して真空配管 2 3— 3に繋がれている。 仕切り 1 9一 3の下端 は試料 Sの上面との間に数十 mないし数 mm程度の微小隙間を形成 している。
このような構成では、 ステージの移動に伴ってステージからガスが放 出されてチャンバ C内の圧力が上昇し先端部すなわち電子ビーム照射 部 2— 3にガスが流入しょうとしても、 仕切り 1 9— 3が試料 Sとの隙 間を絞ってコンダクタンスを非常に小さくしているためガスは流入を 邪魔され流入量は減少する。 更に、 流入したガスは、 円周溝 2 0— 3か ら真空配管 2 3— 3へ排気されるため、 電子ビーム照射部 2— 3の周囲 の空間 2 4 _ 3へ流入するガスはほとんどなくなり、 電子ビーム照射部 2 - 3の圧力を所望の高真空のまま維持することができる。
仕切り 1 9一 3に設けられる作動排気構造は、 図 1 9ないし図 2 1に 示したものとすることができる。
(第 4の実施例) ' 図 2 5は、 第 4の実施例を示している。
チャンバ Cと電子ビーム照射部 2— 3の周囲には仕切り 2 6— 3が 設けられ、 電子ビーム照射部 2 _ 3をチャンバ Cから隔てている。 この 仕切り 2 6— 3は、 銅やアルミ二ユウム等の熱伝導性の良い材料からな る支持部材 2 9 - 3を介して冷凍機 3 0 - 3に連結されており、 一 1 0
0 °Cないし一 2 0 0 °C程度に冷却されている。 部材 2 7 - 3は冷却され ている仕切り 2 6— 3と鏡筒の間の熱伝導を阻害するためのものであ り、 セラミックスや樹脂材等の熱伝導性の悪い材料から成っている。 ま た、 部材 2 8— 3はセラミックス等の非絶縁体から成り、 仕切り 2 6—
3の下端に形成され試料 Sと仕切り 2 6 _ 3が放電することを防ぐ役 割を持っている。
このような構成により、 チャンバ C内から電子ビーム照射部に流入し ようとするガス分子は、 仕切り 2 6— 3で流入を阻害される上、 流入し ても仕切り 2 6— 3の表面に凍結捕集されてしまうため、 電子ビーム照 射部 2 4 - 3の圧力を低く保つことができる。
なお、 冷凍機としては、 液体窒素による冷却や、 H e冷凍機、 パルス チューブ式冷凍機等の様様な冷凍機が使用できる。
(第 5の実施例)
図 2 6は、 第 5の実施例を示している。
ステージ 3— 3の両可動部には、 図 2 2に示したのと同様に仕切り板 1 2 - 3 , 1 4一 3が設けられており、 試料台 4— 3が任意の位置に移 動しても、 これらの仕切りによってステージ内の空間 1 3— 3とチャン バ C内とが絞り 5 0— 3、 5 1 - 3を介して仕切られる。 更に、 電子ビ ーム照射部 2— 3の周りには図 2 3に示したのと同様の仕切り 1 6— 3が形成されており、 チャンバ C内と電子ビーム照射部 2— 3のある空 間 2 4— 3が絞り 5 2— 3を介して仕切られている。 このため、 ステ一 ジ移動時、 ステージに吸着しているガスが空間 1 3 _ 3に放出されてこ の部分の圧力を上昇させても、 チヤンバ Cの圧力上昇は低く抑えられ、 空間 2 4 _ 3の圧力上昇は更に低く抑えられる。 これにより、 電子ビー ム照射空間 2 4— 3の圧力を低い状態に保つことができる。 また、 仕切 り 1 6— 3に示したように差動排気機構を内蔵した仕切り 1 9一 3 (図 2 4 ) としたり、 図 2 5に示したように冷凍機で冷却された仕切り 2 6 一 3とすることによって、 空間 2 4 - 3を更に低い圧力で安定に維持す ることができるようになる。
改良されたステージ (2 ) を備える電子線検査装置の効果
上記のごとき電子線検査装置においては、 次のような効果を得ること ができる。
(ィ) ステージ装置が真空内で高精度な位置決め性能を発揮することが でき、 更に、 電子ビーム照射位置の圧力が上昇しにくい。 すなわち、 試 料に対する電子ビームによる処理を高精度に行うことができる。
(口) 静圧軸受け支持部から放出されたガスが仕切りを通過して電子ビ 一ム照射領域側に通過することがほとんどできない。 これによつて電子 ビーム照射位置の真空度を更に安定させることができる。
(八) 電子ビーム照射領域側に放出ガスが通過することが困難になり、 電子ビーム照射領域の真空度を安定に保ち易くなる。
(二) 真空チャンバ内が、 電子ビーム照射室、 静圧軸受け室及びその中 間室の 3室に小さいコンダクタンスを介して分割された形になる。 そし て、 それぞれの室の圧力を、 低い順に電子ビーム照射室、 中間室、 静圧 軸受け室となるように真空排気系を構成する。 中間室への圧力変動は仕 切りによって更に低く抑えられ、 電子ビーム照射室への圧力変動は、 も う一段の仕切りによって更に低減され、 圧力変動を実質的に問題ないレ ベルまで低減することが可能となる。
(ホ) ステージが移動した時の圧力上昇を低く抑えることが可能になる c (へ) ステージが移動した時の圧力上昇を更に低く抑えることが可能で ある。
(ト) ステージの位置決め性能が高精度で、 かつ電子ビームの照射領域 の真空度が安定した検査装置を実現することができるので、 検査性能が 高く、 試料を汚染する恐れのない検査装置を提供するごとができる。
(チ) ステージの位置決め性能が高精度で、 かつ電子ビーム照射領域の 真空度が安定した露光装置を実現することができるので、 露光精度が高 く、 試料を汚染する恐れのない露光装置を提供することができる。
(リ) ステージの位置決め性能が高精度で、 かつ電子ビーム照射領域の 真空度が安定した装置によって半導体を製造することにより、 微細な半 導体回路を形成できる。 スループットの向上 (複数光学系 (鏡筒) を有する電子光学装置)
上記実施例に係る検査装置の電子光学装置では、 単一の電子源から放 出する電子線を複数の開口を備える開口板を通してマルチビ一ムすな わち複数のビームを形成し、 これによりウェハの検査を行う鏡筒を備え る単一の電子光学系により、 スループットの向上を図っているが、 本発 明では複数の鏡筒 (光学系) を備え、 これら複数の光学系により同時に 複数の範囲 (従って、 広い範囲) の検査を可能とすることにより、 スル ープットを更に向上させるようにした電子光学装置を提供する。 . (複数光学系 (鏡筒) 電子光学装置の第 1の実施例)
第 1 の実施例では、 図 2 8に示すように、 4個の電子光学系 (鏡筒) l a— 4、 l b— 4、 l c— 4、 I d— 4 (個々の最大外径 60 a— 4 , 6 0 b_4、 60 c— 4、 6 0 d— 4) が、 ウェハ Wの検査面を走査す るため、 ステージ 48— 4 (即ちウェハ) の移動方向 2 1— 4に垂直な 方向にウェハ W上で一列に配置される。
電子光学系 l a— 4、 l b— 4、 l c— 4、 I d— 4のそれぞれは、 基本的には、 図 7に示した同様の構造を有するものであり、 電子銃 1一 4、 コンデンサレンズ 2 - 4、 マルチ開口板 3— 4、 開口絞り 4一 4、 コンデンサレンズ 5— 4、 EXB 分離器 7— 4、 静電偏向器 6— 4, 8 — 4、 対物レンズ 1 0— 4、 拡大レンズ 1 2— 4、 1 3— 4、 検出器開 口板 14— 4、 検出器 1 5— 4、 偏向器 20— 4、 ステージ 48— 4、 コントローラ 50— 4、 ディスプレイ 5 2— 4を有する。
図 27 (a) には、 図 2 8の光学系のうちの 1つの光学系 1 a— 4の 1次電子線照射装置 ( 1次光学系) 及び 2次電子線検出装置の上面から 見た位置関係が模式的に示されている。 ここで、 輪郭 6 0 a— 4は 1次 電子線照射系の最大外径を示し、 この最大外径 6 0 a— 4の直径方向に 沿って等間隔に一列に並んでいるのが、 マルチ開口板 3— 4の開口 1 7 一 4を通過した 1次電子線のマルチ開口領域 1 6— 4である。 1 8— 4 は上記した 2次電子検出器の光軸であり、 マルチ開口領域 1 6 _ 4の電 子線の照射によりウェハから発生した 2次電子のマルチビ一ムは、 E X B分離器 7— 4で偏向された後、 光軸 1 8— 4に沿って進行し拡大され てから各々のビームが検出器 1 5— 4のマルチ検出素子で検出される ように 1次電子線照射系及び 2次電子線検出系の相対位置関係が定め られている。 図より明らかに、 マルチ開口領域 1 6— 4即ちマルチ開口 1 7— 4の各々は、 各々のマルチ検出素子に対応しており、 マルチビ一 ムが途中でクロストークすることはないことがわかる。
本実施例の電子光学装置を構成する他の光学系 1 b— 4、 1 c _ 4 も、 上記と同様の 1次電子線照射装置、 E X B分離器及び 2次電子検出 装置を各々有する。 コントローラ 5 0 — 4及びステージ 4 8— 4は共有 とすることができる。 伹し、 コントローラ 5 0— 4の一部の機能である 2次電子画像の信号処理回路等は、 コントローラに内臓する代わりに必 要に応じて各光学系毎に設けてもよい。 これら複数の光学系 1 a— 4、 1 b— 4、. . . .は 1枚のウェハ Wの上方で並列に配置され 1枚のウェハ W上の夫々異なる領域に 1次電子を照射し、 各領域から発生した 2次電 子を各々検出する。
各光学系は互いに干渉しないように、 各光学系の 2次光学系の光軸 1 8 _ 4がこの列方向に垂直な方向即ちステージ移動方向 2 1 一 4に沿 つて互い違いに逆向きに配置されている。 この場合、 マルチ開口領域 1 6 - 4の並び及び検出器 1 5— 4のマルチ検出素子の並びも、 ステージ 移動方向 2 1— 4に直角方向となる。
8 " (約 2 0 c m) ウェハの場合 1次電子線照射装置の最大外径を 4 0 mm φとすれば、 図 2 8の並びの場合、 8 " ウェハ上で 5本の光学系 を配置することが可能となるが、 周辺部はウェハの外部に出ることが多 いので、 実際には、 4光学系程度となる。 最大外径を 3 0 mm ψにでき れば、 6本程度配置することができる。
次に、 この電子光学装置の作用を説明する。
光学系 1 a— 4〜 1 d— 4の電子銃 1から各々放出された単一の 1 次電子線は、 コンデンサレンズ 2— 4で収束され、 開口絞り 4一 4でク ロスオーバー像を形成する。 この途中で 1次電子線は、 マルチ開口板 3 一 4に照射される。 マルチ開口 1 7— 4を通過した数のビームが形成さ れるので、 本実施例では各光学系毎に 7本のマルチビ一ムを形成する。 これらのマルチビ一ムは、 コンデンサレンズ 5— 4によって E X B分離 器 7— 4の主面 1 1— 4に結像され、 更に対物レンズ 9— 4によってゥ ェハ W上に縮小結像される。 このとき、 ウェハ上には、 各光学系毎に 7 つの照射スポット (図 2 8参照) が形成され、 各照射スポットから 2次 電子が放出される。 静電偏向器 6 _ 4及び 8— 4は、 マルチビ一ムをス テ一ジ移動方向 2 1 — 4に垂直な方向に沿って、 隣接するビーム間隔よ り僅かに広い領域を偏向させる。 この偏向によって、 ウェハ上の照射ス ポットはビームの並び方向を切れ目なく走查できる。 この間、 ステージ 4 8— 4は移動方向 2 1— 4に沿って、 所定幅で逐次、 同期的に連続移 動制御されるので、 ウェハの検査面全体を走査可能となる。 例えば 4本 の光学系でビームの並び方向の検查可能な幅を 2 mmとすると、 2 0回 程度のステージ連続移動で、 4光学系の場合、 1 6 0 mm角の評価がで きる。
ウェハの各照射スポッ トから発生した 2次電子のマルチビームは略 垂直上方に進行し、 加速されて E X B分離器 7— 4に至り、 そこに存在 する場 E X Bによって光軸 5 5 _ 4に対して所定角度をなす方向に偏 向され、 2次光学系の光軸 1 8— 4に沿って進行する。 これらの 2 電 子のマルチビームは、 拡大レンズ 1 2— 4及び 1 3— 4によって互の間 隔が拡大され、 検出器開口板 1 4一 4を通過してマルチ検出素子 1 5— 4の各々で検出される。 このとき、 偏向器 6— 4、 8— 4による 1次電 子線の偏向で生じる 2次電子線の位置変位は、 補正偏向器 1 9一 4によ つて相殺される。 即ち、 1次電子線の走査の如何に係らず、 2次電子の マルチビームの各々は、 常に検出器開口板 1 4— 4の対応する開口を通 過し、 その背面にある対応する検出素子で検出される。
マルチ検出素子 1 5— 4は、 2次電子線強度を示す信号をコントロー ラ 5 0— 4に出力する。 コントローラ 5 0— 4は、 各光学系 l a— 4〜 1 d— 4の検出素子 1 5 — 4からの出力信号を 1次電子線の偏向制御 及びステージ 4 8 一 4の移動制御と同期して逐次受信し、 最終的に半導 体ウェハ Wの検査面全体に亘る 2次電子線の強度分布画像を得る。
コントローラ 5 0— 4は、 メモリに予め蓄えられていた欠陥の存在し ないウェハの 2次電子線画像と、 実際に検出された 2次電子線画像とを 比較照合して、 欠陥部分を自動的に検出する。 また、 同じダイを多数有 するウェハの場合、 検出されたダイ同士の検出画像を比較することによ つても欠陥部分を検出できる。 このとき、 検出画像をディスプレイ 5 2 一 4に表示すると共に欠陥部分と判定された部分をマーク表示しても よい。 これによつて、 ォペレ 夕は、 ウェハ Wが実際に欠陥を持つか否 かを最終的に確認、 評価することができる。
このような欠陥検査方法の具体例を図 3 0乃至図 3 2に示す。 まず、 図 3 0には、 1番目に検出されたダイの画像 3 1— 4及び 2番目に検出 された他のダイの画像 3 2— 4が示されている。 3番目に検出された別 のダイの画像が 1番目の画像 3 1 — 4と同じか又は類似と判断されれ ば、 2番目のダイ画像 3 2— 4の 3 3— 4の部分が欠陥を有すると判定 され、 欠陥部分を検出できる。
図 3 1には、 ウェハ上に形成されたパターンの線幅を測定する例が示 されている。 ウェハ上の実際のパターン 3 4— 4を 3 5 - 4の方向に走 査したときの実際の 2次電子の強度信号が 3 6— 4であり、 この信号が 予め較正して定められたスレツシホールドレベル 3 7 — 4を連続的に 超える部分の幅 3 8— 4をパターン 3 4— 4の線幅として測定するこ とができる。 このように測定された線幅が所定の範囲内にない場合、 当 該パターンが欠陥を有すると判定することができる。
図 3 2には、 ウェハ上に形成されたパターンの電位コントラストを測 定する例が示されている。 図 2 7 ( b ) に示す構成において、 対物レン ズ 9— 4とウェハ Wとの間に軸対称の電極 3 9 - を設け、例えばゥェ ハ電位 0 Vに対して一 1 0 Vの電位を与えておく。 このときの— 2 Vの 等電位面は 4 0— 4で示されるような形状とする。 ここで、 ウェハに形 成されたパターン 4 1 一 4及び 4 2— 4は、 夫々— 4 Vと 0 Vの電位で あるとする。 この場合、 パターン 4 1 一 4から放出された 2次電子は等 電位面 4ひ一 4で 2 e Vの運動エネルギーに相当する上向きの速度を 持っているので、 このポテンシャル障壁 4 0— 4を越え、 軌道 4 3— 4 に示すように電極 3 9— 4から脱出し、 検出器 1 5— 4で検出される。 一方、 パターン 4 2— 4から放出された 2次電子は一 2 Vの電位障壁を 越えられず、 軌道 4 4 - 4に示すようにウェハ面に追い戻されるので、 検出されない。 従って、 パ夕一ン 4 1 一 4の検出画像は明るく、 パター ン 4 2— 4の検出画像は暗くなる。 かくして、 電位コントラストが得ら れる。 検出画像の明るさと電位とを予め較正しておけば、 検出画像から パターンの電位を測定することができる。 そして、 この電位分布からパ ターンの欠陥部分を評価することができる。
図 2 7 ( b ) において、 ブランキング偏向器 2 0— 4を設け、 この偏 向器 2 0— 4によって 1次電子線を開口絞り 4一 4の開口外側部分に 所定周期で偏向させ、 当該ビームを短時間のみ通して他の時間は遮断す ることを繰り返すことによって、 短いパルス幅のビーム束を作ることが 可能となる。 このような短パルス幅ビームを用いて上記したようなゥェ 八上の電位測定等を行えば、 高時間分解能でデバイス動作を解析可能と なる。 即ち、 本電子光学装置をマルチビームのいわゆる E Bテスターと して使用することが可能となる。
第 1の実施例では、 ステージ 4 8— 4の戻り動作が少ないので、 ステ ―ジ移動に要する無駄時間を減少させることができる。
(第 2の実施例)
第 2の実施例に係る電子光学装置は、複数の光学系がウェハ W上で 2 行 m列 (m> l ) に配置された構成に関する。 図 2 9には、 6個の光学系が 2行 3列に配列された構成例の上面図が 示されている。 なお、 電子光学装置及び各光学系の具体的な構成要素に ついては第 1の実施例とほぼ同様であるので、 同一の符号を附して詳細 な説明を省略する。
図 2 9では、 6個の光学系の 1次電子線照射装置の最大外径は、 夫々 6 0 a _ 4〜 6 0 f _ 4によって示されている。 複数の光学系は、 各々 が互いに干渉しないように各 2次光学系の光軸 1 8 _ 4 ( 2次電子線の 経路) が行の並び方向に沿ってゥェ八外側に向かうように配置される。 列の数 mは、 好ましくは 3、 4列程度であるが、 これ以外の 2列、 或い は 4列以上であってもよい。
また、 マルチ開口領域 1 6— 4及びマルチ検出器 1 5— 4は 1つの光 学系で 3行 3列の配列とし、 収差が少ない範囲内に可能な限り多くのマ ルチビーム及び検出素子が入るようにしている。 ステージ 4 8 _ 4は、 逐次ステップ移動を繰り返し動作させることで、 水平面内を移動される 検査方法については第 1実施例と同様である。
第 2の実施例では、 光学系 (鏡筒) 数を多くし、 更に 1つの光学系に, より多くのマルチビーム及び検出素子を設けたので、 半導体ウェハ検査 工程のスル一プットを更に向上させることができる。
(複数光学系 (鏡筒) 電子光学装置の効果)
以上詳細に説明したように本発明に係る複数光学系電子光学装置に よれば、 1次電子の照射及び 2次電子の検出を単独で行うことができる 光学系を複数設け、 試料上の異なる領域を評価できるようにしたので、 高分解能を維持しつつスループットを大幅に向上させることができる、 という優れた効果が得られる。 マルチビーム検査装置における電子光学系の軸合わせ
上述したマルチビ一ム検查装置においては、 その電子光学系の軸合わ せが重要である。 本発明では、 以下のような、 軸合わせの装置を備える 電子ビーム検査装置を提供する。 (一次光学系の軸合わせ)
図 3 3は、 本発明における軸合わせを説明するための電子光学系を示 しており、 その構成及び作用については、 前述のマルチビーム検査装置 と実質的に同じであり、 それらについての説明の重複は避ける。
この電子光学系は、 前述のものと同様に、 電子銃 1— 5、 コンデンサ レンズ 2— 5、 縮小レンズ 6 - 5、 静電偏向器 5 _ 5、 1 2 - 5 , 軸対 称電極 1 1 一 5及び対物レンズ 9一 5を含む一次光学系と、 拡大レンズ 1 4 - 5 , 1 5 - 5を含む二次光学系とを有しており、 まず、 一次電子 光学系における軸合わせについて説明する。 ここで、 軸合わせとは、 マ ルチビームの軸線と光学系の光軸とを整合させることをいう。
一次光学系におけるレンズ、 すなわち、 コンデンサ · レンズ 2— 5、 縮小レンズ 6— 5、 対物レンズ 9一 5に対する軸合わせは、 基本的には. それらのレンズの励起電圧を小さく変化させたとき、 少なくとも 2つの ビーム位置の試料面上での動き量が同じ大きさになるように調整する ことにより行う。 この場合の 2つのビームとは、 マルチビームの中心か ら等距離にあるビーム (たとえば、 図に示した点を中心とする円周上の ビーム) とする。
また、 対物レンズ 9一 5の軸合わせは次のようにして行うことができ る。 まず、 図 3 4に示すように、 試料 1 0— 5の面上の、 複数の一次電 子ビームが結像する各位置 (黒丸で示す) に、 Xラインと Yラインとの 組合わせからなる(各ビームの基準照射位置を示す)マーカー 2 1— 5、 2 2— 5、 · · · · 、 2 n - 5を設け、 各マーカ一への一次電子ビーム の合焦条件を測定する。 この測定は、 複数の一次電子ビームを X方向に 走査したときの信号のコントラストと y方向に走査したときの信号の コントラストとを少なくとも 3つの対物レンズ励起電圧について行い、 その測定結果を、 励起電圧に対する X方向及び y方向のコントラストの 変化としてグラフ化する。 求めたグラフから、 例えば、 X方向のコント ラストが最大になる励起電圧を V 0 x、 y方向のコントラストが最大に なる励起電圧を V o yとすると、 合焦条件は (V o x + V o y ) ノ 2と なる。 少なくとも 2つの電子ビーム、 例えば、 前述のように、 光軸を中 心とする円の直径方向に対向する 2つの電子ビームについての合焦条 件の差が最小になるように、 対物レンズ 9の軸合わせ条件を求める。 即 ち合焦条件の差が最小であるという事は 2つのビームか対物レンズの 軸からの距離の差が最小の位置を通る事を意味している。
以上のように、 マルチビーム電子光学系での軸合わせを行うことによ り、 複数の一次電子ビームを用いることにより、 欠陥検査や C D検査等 の各種検査を、 精度を落とすことなく、 スループットを高めることがで きる、
(二次光学系の軸合わせ)
次に、 二次光学系における軸合わせについて説明する。
図 3 5は、 上記と同様のマルチビーム電子光学系を示している。 図示 の通り、 この電子光学系の二次電子光学系は、 第 1の拡大レンズ、 9一 6、 第 2 の拡大レンズ 1 0— 6、 マルチ開口板 1 1 一 6 , 検出器 1 2— 6、 第 1の偏向器 1 9— 6、 第 2の偏向器 2 0— 6、 クロスオーバ絞り 2 1 - 6を有している。
この二次光学系の軸合わせに関し、 ここでは、 第 2の拡大レンズ 1 0 一 6と検出用マルチ開口板 1 1 一 6との間のクロスオーバに絞り 2 1 一 6を設けた場合について述べる。
図 3 5において、 走査信号発生回路 2 2— 6からの信号は、 偏向信号 発生回路 2 3— 6から出た偏向信号に重畳され、 第 1の偏向器 1 9— 6 および第 2の偏向器 2 0一 6からなる二段偏向器へと与えられる。 これ らのニ段偏向器 1 9一 6, 2 0— 6は、 光軸に直交して設けられ、 第 2 の拡大レンズ 1 0— 6への軸合わせを行うモードと、 絞り 2 1— 6への 軸合わせを行うモ一ドとがある。 これらのモードにおいては、 走査信号 発生回路 2 2 - 6と偏向信号発生回路 2 3 - 6とで、 両偏向器 1 9一 6 , 2 0 - 6に与える信号の強度比がモード毎にあらかじめ決められた値 に設定されており、 それによつて偏向器 1 9— 6, 2 0— 6が制御され る。 例えば、 第 2の拡大レンズ 1 0— 6の軸合わせ時には、 偏向器 1 9 一 6の出力が 1に、 偏向器 2 0— 6の出力が— 1 . 5にされ、 絞り 2— 6 1の軸合わせ時には、 第 2の拡大レンズ 1 0— 6の主面が偏向中心に なるよう信号の強度比が決められる。
画像処理部 1 4 _ 6は、 偏向器 1 9— 6, 2 0— 6による絞り 2 1— 6上での電子線の走査に同期して画像を形成する。 走査信号を、 偏向器 1 9 - 6 , 2 0 _ 6と、 画像処理部 1 4一 6の画像形成回路とに与え、 マルチ検出器 1 2— 6の一つからの信号を画像デ一夕として画像処理 部 1 4 _ 6に与えると、 画像処理部 1 4 _ 6の画像形成回路の走査信号 に対応したアドレスのうち、 絞り 2 1 — 6を通った電子線に対応したァ ドレスにのみ検出器 1 2— 6からの強い信号が入力されるので、 光軸が 合っている場合、 図 3 6 ( a ) のような絞り画像 2 4— 6が形成される c 光軸が合っていない場合は、 図 3 6 ( b ) のように、 X , yの走査信 号がゼロの場所と離れたァドレスに、 信号強度が強い絞り画像 2 5 - 6 が形成される。 そこで、 偏向信号発生回路 2 3— 6の出力を変化させて 偏向器 1 9— 6, 2 0— 6に供給する。 すると偏向器 1 9— 6, 2 0— 6は、 二次電子線 B 2を偏向させ、 図 3 6 ( a ) に示すように、 走査信 号 x, yともゼロとなるアドレスと絞りの像とを一致させることができ る。 このとき、 軸合わせが終了したことになる。 また、 この状態を、 絞 り中心を通過したと呼ぶ。 偏向信号発生回路 2 3— 6は、 偏向信号発生 装置と、 該偏向信号発生装置の出力を変化させて偏向装置へと供給する 装置とを兼ねている。
上記の方法は、 軸合わせに人を関与させず、 自動的に行わせることが できる。
この電子光学系では、 上記の如き軸合わせにより以下の如き効果を奏 することができる。
絞りに関する軸合わせを自動的に行うことができる。
走査用の偏向器と軸合わせ用の偏向器とを兼用できるので、 偏向器の 数が半分で済む。 マルチビームに対する軸合わせも可能となった。
二次光学系の絞りを、 E X B分離器とマルチ検出器との間に設けるこ とにより、 一次光学系の絞りとは無関係に二次光学系の絞りを決められ る。
(ウィーンフィル夕すなわち E X B分離器への軸合わせ)
以上で説明した検査装置における電子光学装置において用いられて いる E X B分離器は、 .試料の表面に垂直な平面内において電界と磁界と を直交させた構造となっていて、 電界、 磁界、 電子のエネルギー及びそ の速度の関係が一定の条件を満たしたときには電子を直進させそれ以 外のときには偏向させる作用を有するが、 E X B分離器の構造上電界及 び磁界が一様に分布している領域と、 それらの分布が一様でない領域と が存在する。 マルチビームを用いた電子線検査装置を使用して精度の良 い欠陥検査を行うためには、 それぞれの電子ビームについての E X B分 離器への軸合わせ、 即ち、 E X B分離器の電界及び磁界が一様な領域を 求め、 その領域にそれぞれの電子ビームを進行させるように調整を行う 必要がある。
しかしながら、 E X B分離器をマルチビームによる電子線検査装置に 使用する場合には、 E X B分離器の電界及び磁界が一様な領域と E X B 分離器を通るマルチビームの領域とが同程度にまで広い領域に亘り広 がっている。 従って、 各電子ビームの E X B分離器への軸合わせの調整 が不十分である場合には、 マルチビームの内の幾つかの電子ビームは当 該電界及び磁界が一様な領域から逸れてビームの特性に悪影響を及ぼ し、 視野の端部での歪みや像のぼけが増大する。
本発明は、 マルチビームによる電子線検査装置に E X B分離器を使用 することにより生じる像の歪みやぼけを解消するため、 E X B分離器へ のマルチビームの軸合わせを行う方法をも提供する。
以下図面を参照して、 E X B分離器へのマルチビームの軸合わせを説 明する。 図 3 7に示される電子線検査装置 1の光学系は、 上述した検査装置の ものと実質的に同様の構成を有しており、 第一次光学系 1 0— 7と、 第 二次光学系 3 0— 7と、 検出装置 4 0— 7と、 検査されるべき試料を X 方向及び Y方向に移動する X— Yステージ 8 0 - 7とを備えている。 第 一次光学系 1 0— 7は、 電子線を試料すなわちウェハ Wの表面に照射す る光学系で、 電子線を放出する電子銃 1 1 一 7と、 電子銃から放出され た電子線を偏向する静電レンズ 1 2— 7と、 直線状に並べられた複数の 小孔 (本実施形態では 1 3 a— 7ないし 1 3 h— 7の 8個) が形成され た第一のマルチ開口板 1 3— 7と、 静電偏向器 1 4 _ 7と、 第一のマル チ開口板 1 3— 7を通過したマルチビームを偏向する静電縮小レンズ 1 5— 7と、 マルチビームを走査する静電偏向器 1 6— 7と、 E X B分 離器 1 7— 7と、 静電対物レンズ 1 8 _ 7と、 電子ビームを軸合わせす るための軸合わせ装置 1 9— 7とを備え、 それらは、 図 3 7に示すよう に電子銃 1 1— 7を最上部にして順に、 かつ電子銃から放出される電子 線の光軸 Aが試料 Wに垂直になるように配置されている。 なお、 電子銃 1 1 - 7の内部には力ソードを直線状に並べられた多数の突起形状に した突起部 (本実施形態では、 例えば、 図 3 7に示すように 1 1 a— 7 ないし 1 1 h— 7の 8個) が形成されている。
第二次光学系 3 0— 7は、 第一次光学系 1 0— 7の E X B分離器 1 7 — 7の近くで光軸 Aに対して傾斜している光軸 Bに沿って配置された 2 つの静電拡大レンズ 3 1 - 7及び 3 2— 7と、 第一のマルチ開口板 1 3 一 7の小孔の数及び配列に合わせて形成された複数の小孔 (本実施形態 では 3 3 a - 7ないし 3 3 h— 7の 8個) が形成された第二のマルチ開 口板 3 3— 7と、 を備えている。
検出装置 4 0— 7は第二のマルチ開口板 3 3— 7の各開口毎に検出 器 4 1— 7を備えている。 また、 各検出器 4 1 一 7は増幅器 4 2— 7を 介して画像処理部 4 3 - 7と接続され、 画像処理部 4 3 - 7は更に静電 偏向器 1 6— 7に与えた信号と同じ信号が与えられている。
上記各構成要素は公知のものであってもよく、 それらの構造の詳細説 明は省略する。
次に上記構成の電子線検査装置 1 一 7の動作について説明する。
単一の電子銃 1 1 _ 7の多数の突起部 ( 1 1 a— 7ないし l l h— 7 ) からは 8方向に電子線が放出される。 放出された電子線 Cは静電レ ンズ 1 2— 7で集束されてクロスオーバー C 1を形成する。 静電レンズ 1 2 - 7で集束された電子凝 Cは第一のマルチ開口板 1 3— 7を照射 して、第一のマルチ開口板 1 3— 7に直線状に並べて(例えば X方向に) 形成された複数の小孔 ( 1 3 a— 7ないし 1 3 h— 7 ) を通過して 8本 のマルチビームにされる。 これらマルチビームのそれぞれの電子ビーム は、 静電縮小レンズ 1 5— 7により縮小されて点 5 0— 7で示された位 置に投影され、 点 5 0— 7で合焦した後、 静電対物レンズ 1 8— 7によ り試料 Wに合焦される。第一のマルチ開口板 1 3— 7から出たマルチビ ームは静電縮小レンズ 1 5— 7と静電対物レンズ 1 8— 7の間に配置 された静電偏向器 1 6— 7により、同時に試料 Wの表面を走査するよう に偏向される。
合焦されたマルチビームにより試料 Wの 8個の点が無射され、これら の照射された点から放出された二次電子は、 静電対物レンズ 1 8— 7の 電界に引かれて細く集束され、 E X B分離器 1 7— 7で偏向され、 二次 光学系に投入される。 二次電子の像は点 5 0— 7よりも静電対物レンズ に近い点 5 1 — 7に結像する。 これは一次のマルチビームの各々が 5 0 0 e Vのエネルギーを有するのに対して、 二次電子は数 e Vのエネルギ 一しか有していないためである。結像した二次電子は光軸 Bに沿って移 動されて静電拡大レンズ 3 1 一 7及び 3 2 - 7に入射する。 これらの静 電拡大レンズを通過した二次電子は第二のマルチ開口板 3 3— 7の複 数の小孔 ( 3 3 a— 7ないし 3 3 h _ 7 ) の位置に結像する。 この小孔 を通過した電子は対応する検出器 4 1 一 7により検出される。 この場合、 第一のマルチ開口板 1 3— 7の小孔 1 3 a— 7を通った電子ビームに より試料 W で放出された二次電子は第二のマルチ開口板 3 3 - 7の小 孑し 3 3 a— 7を通して、 第一のマルチ開口板の小孔 1 3 b— 7を通った 電子ビームにより試料 W で放出された二次電子は第二のマルチ開口板 3 3 - 7の小孔 3 3 b— 7を通して、 第一のマルチ開口板の小孔 1 3 c ― 7を通った電子ビームにより試料 W で放出された二次電子は第二の マルチ開口板 3 2— 7の小孔 3 3 c— 7を通して、 と言ったように、 電 子ビームにより試料面で放出された二次電子は第一のマルチ開口板 1 3 - 7の各小孔に対応する第二のマルチ開口板 3 3 - 7の各小孔を通 つてそれぞれの検出器 4 1 一 7に入射する。
それぞれの検出器 4 1 一 7は、 検出した二次電子をその強度を表す電 気信号へ変換する。 各検出器から出力された電気信号は増幅器 4 2 - 7 によってそれぞれ増幅された後、 画像処理部 4 3— 7によって受信され, 画像データへ変換される。 画像処理部 4 3 - 7には一次の電子ビームを 偏向させるための走査信号が更に供給されるので、 画像処理部 4 3 - 7 は試料 Wの表面を表す画像を表示する。この画像を標準パターンと比較 することにより試料 Wの欠陥を検出することができる。
ここで、 第一のマルチ開口板 1 3— 7の小孔を通過した各電子ビーム を試料面に合焦させ、試料 Wから放出された二次電子を検出器 4 1 一 7 で検出する際に、 第一次光学系で生じる歪み、 像面湾曲及び視野非点と いう 3つの収差による影響を最小にするように特に配慮する必要があ る。
静電偏向器 1 6— 7及び E X B分離器 1 7— 7の磁界に走査信号を印 加することにより、試料 Wが二次元的に走査されて、走査型電子顕微鏡 信号が画像処理部 4 3 - 7に表示される。試料 Wの表面にはマーカ (3 7の +字形の記号) 2 0— 7が設けられており、 このマーカ 2 0— 7の 大きさは 5ミクロンである一方、 8本の電子ビームは相互に 1 0 0ミク ロン程度離れた位置に結像される。 従って、 マ
一力 2 0— 7の像は 1本の電子ビームのみで走査して画像処理部 4 3 一 7に表示することができる。
次に、 複数の電子ビームの軸合わせ方法について説明する。 電子ビ一 ムの E X B分離器 1 7— 7への軸合わせは軸合わせ装置 1 9 一 7を用い て行われる。 まず、 8本の電子ビームの内で、 第一のマルチ開口板 1 3 一 7の一番右端の小孔 1 3 h— 7により形成された電子ビームのみで 試料上のマーカ 2 0— 7を走査するように、 X— Yステージ 8 0 _ 7の 位置を決定した後、 静電偏向器 1 6— 7と E X B分離器 1 7 _ 7の磁界 に走査信号を与えることによりマ一力 2 0 - 7を二次元的に走査して 検出器 4 1― 7で検出し、 画像処理部 4 3 - 7にマ一力 2 0— 7の像を 表示させる。 この状態で、 E X B分離器 1 7— 7に印加する電圧を基準 値と基準値 + 1 0ポルトで周期的に変動させる。 この場合、 画像処理部 4 3— 7には、 図 3 7に示されているように、 相互に位置移動量 4 4一 7だけ離れた 2つのマ一力の像が表示される。 この位置移動量 4 4一 7 は、 小孔 1 3 h— 7を通った電子ビームが E X B分離器 1 7— 7の電庄 変動により偏向された偏向量に対応する。 この位置移動量 4 4一 7の値 は記憶しておく。
次に、 第一のマルチ開口板 1 3— 7の一番左端の小孔 1 3 a _ 7によ り形成された電子ビームのみで試料上のマ一力 2 0— 7を走査するよ うに、 X— Yステージ 8 0— 7の位置を決定し、 マーカ 2 0— 7を走査 して検出器 4 1 - 7で検出し、 画像処理部 4 3 - 7にマーカ 2 0— 7の 像を表示させる。 この小孔 1 3 a— 7により形成された電子ビームは、 第一次光学系 1 0— 7の光軸 Aに対して上記小孔 1 3 h— 7により形 成された電子ビームとは反対側で等距離に位置しており、 従って、 これ ら 2本の電子ビームは相互に最も遠い距離に位置していることとなる。 この状態で、 E X B分離器1 7 _ 7に印可する電圧を基準値と基準値 + 1 0ポルトで周期的に変動させる。 この場合も同様に、 画像処理部 4 3 一 7には相互に位置移動量 4 4 ' 一 7だけ離れた 2つのマーカの像が 表示される。 この位置移動量 4 4 7は、 小孔 1 3 a— 7を通った電 子ビームが E X B分離器 1 7— 7の電圧変動により偏向された偏向量 に対応する。 この位置移動量 4 4 ' 一 7の値も記憶しておく。
更に、 軸合わせ装置 1 9一 7の電圧を別の種々の値に設定し、 以下、 小孔 1 3 h— 7を通った電子ビームと小孔 1 3 a— 7を通った電子ビ ームについて上記と同様の操作を行い、 それぞれについて位置移動量 4 4— 7および 4 4 ' _ 7を求める。
その後、 2つの位置移動量 4 4— 7と 4 4 ' _ 7の差が最も小さくな るような軸合わせ装置 1 9 一 7の電圧値を求め、 軸合わせ装置 1 9一 7 をその電圧値に固定することにより、 E X B分離器に入射する電子ビー ムの軸合わせが完了する。 それにより、 E X B分離器の電界及び磁界が 一様な領域内に複数の電子ビームを配置することができる。
複数の電子ビームの軸合わせの別の方法として、 直線状に並んだ電子 ビームに替えて、 第一のマルチ開口板 1 3 - 7及び第二のマルチ開口板 3 3— 7に、 第一の光学系の光軸 Aの周囲で当該光軸から等距離の位置 に複数個 (本実施形態では 4個) の小孔をそれぞれ設けることにより、 4本のマルチビームを形成しても良い。 4本のマルチビームは全て光軸 Aから等距離の位置にあるため、 E X B分離器 1 7— 7の電圧を変化さ せたときに、 これら 4本のマルチビームの位置移動量が同じになるよう に軸合わせする必要がある。 従って、 8本のビームの内 4本の電子ビ一 ムそれぞれについて、 軸合わせ操作が必要である。
また、 4本の電子ビームが入射する位置に 4個のマーカを設け、 それ らのマーカの像を画像処理部 4 3— 7の 4個のモニタ一で表示して、 そ れぞれの位置移動量 4 4 _ 7、 4 4 ' — 7を同時に測定してもよい。 更に、 画像処理部 4 3— 7を使わずに、 コンピュータ制御により自動 的にマーカ 2 0— 7の位置移動量を測定し、 自動的に軸合わせするよう にしてもよい。 この場合は、 マーカ 2 0— 7は +字マ一クよりも、 X方 向と Y方向のライン&スペースのマーカの方が適している。
以上の如き電子線検査装置においては、 次の如き効果を奏することが できる。
( 1 ) マルチビームの各々の電子ビームを E X B分離器の電界及び磁界 がー様に分布する領域内に安定的に配置し、 全電子ビームを細く絞るこ とができる。
( 2 ) 個々の電子ビームが直線状に並んだ状態のマルチビームの場合は, 第一次光学系の光軸に対して対称的に位置している 2本の電子ビーム のみの軸合わせ調整で足りる。
( 3 ) E X B分離器の電界及び磁界が一様に分布する領域をマルチビー ムを通す余裕があるか否かを調査することができる。
( 4 ) レンズ磁場の対称位置を探し、 その方向に電子ビームを進行させ る場合のウォーブラ一操作と類似の操作で、 E X B分離に入射する電子 ビームの軸合わせを行うことができる。 マルチビームとマルチ開口板の開口との整合
マルチビームを発生させる電子銃は、 高輝度を得るために指向性の強 いビームを発生させる必要があるが、 この指向性の強いピ一ムをマルチ 開口板に入射させて強いマルチビームを得るには、 電子銃からのビーム 強度の大きい領域とマルチ開口板の開口位置とを正確に一致させる必 要がある。
また、 試料面のパターンの評価を効率よくおこなうためには、 試料面 に入射されるマルチビームの試料面における並び方向と試料面上のパ ターンの方向、 すなわち座標軸とを正確に一致させる必要がある。 本発明は、 このような視点から、 ビーム発生源からマルチ開口板に入 射されるビームの強い領域とマルチ開口板の開口位置とを一致させ、 ま た、 そこから得られるマルチビームの試料面上における並び方向と試料 面のパターン方向とを正確に一致させることができるようにしている。 その実施例を以下に説明する。
図 3 8において 1 一 8は電子銃であり、 力ソード 3— 8と、 ゥエーネ ルト電極つまり集束電極 5— 8と、 アノード 7— 8とを備えている。 力 ソード 3— 8は、 円錐台形の L a B 6の単結晶に複数の小突起、 つまり 電子線放出端が円周上に並んだ形状に形成されている。 ウェーネルト電 極 5— 8は、 該電極に負の深いバイアス電圧を与えると、 電子銃が作る クロスオーバー 9 一 8はカソード側に移動し、 力ソードの円周上の電子 線放出端から放出された電子線の軌道は図の点線位置 1 1 一 8から実 線位置 1 3— 8の方へ移動する。 逆に、 ウェーネルト電極 5— 8に負の 浅いバイアス電圧を与えると実線から点線の方へ移動する。
アノード 7— 8は、 通常接地されており、 該アノードから出たビーム はコンデンサレンズ 1 5— 8で収束され、 1 7— 8にクロスオーバーを 作る。 クロスオーバー 1 7— 8の電子銃側にはマルチ開口板 1 9— 8が 設けられており、 該マルチ開口板に照射されたビームは該マルチ開口板 の開口で小さいマルチビームに整形される。
電子銃 1 一 8よりマルチ開口板 1 9一 8にビームを照射する際、 軸合 わせコイル 2 1 _ 8によって、 マルチ開口板の中心〇 1と該マルチ開口 板に入射される全ビームの中心 0 2とを軸合わせする。 すなわち、 図 3 9に示すように、 マルチ開口板の中心〇 1と該マルチ開口板に入射され る全ビームの中心 O 2とがずれていると、 マルチ開口板 1 9一 8の小さ な開口 a 1 — a 7と、 該マルチ開口板に照射されるビームのビーム強度 の大きい領域 b 1 - b 7とが一致せず、 マルチ開口板 1 9 一 8から出た ビーム間に強度の差が出る。 したがって、 軸合わせコイル 2 1 _ 8によ りマルチ開口板 1 9— 8に入射するビームの中心 O 2とマルチ開口板 の中心〇 1とが一致するように全てのビームを平行移動させ、 マルチ開 口板の各開口 a l— a 7から出たビーム強度が一様になるように調整 する。
上記軸合わせコイル 2 1— 8で軸合わせ調整したマルチビームとマ ルチ開口板の開口との関係が図 4 0に示してある。 この図から明らかな ように、 マルチ開口板 1 9— 8に入射する全ビームの中心〇 2とマルチ 開口板の中心〇 1とが一致しているだけではマルチ開口板に入射する ビームのビーム強度の大きい領域 b 1 - b 7とマルチ開口板 1 9 一 8 の開口位置 a 1 — a 7とは必ずしも一致しておらず、 調整が不十分であ ることがわかる。 すなわち、 マルチ開口板の開口 a 1— a 7は、 ビーム 強度の大きい領域 b 1— b 7との間に放射方向 (半径方向) の位置ずれ 4 1 - 4と方位角方向 (周方向) のずれ 4 3 - 4とがあり、 マルチ開口 板に入射するビームのビーム強度の余り強くない領域 c 1 一 c 7内に ある場合がある。 そこで、 本発明では、 ビーム強度の大きい領域 b 1— b 7とマルチ開口板の開口位置 a 1 - a 7とを一致させるベく、 両者間 の放射方向 (半径方向) 位置と方位角方向 (周方向) とを合わせるべく 調整可能とした。
放射方向の位置合わせは、 ウェーネルト電極 5— 8に与えるバイアス 電圧を調整することによってなし得る。 すなわち、 ビーム強度の強い領 域 b l— b 7を放射方向 (半径方向) 外側に移動させるには、 ゥエーネ ルト電極 5 _ 8に与える負の電圧を深くすればよい。 逆に、 ビーム強度 の強い領域を放射方向内側に移動させるには、 ウェーネルト電極に与え る負の電圧を浅くすればよい。 なお、 図示例は、 電子銃 1 一 8がクロス オーバ一 9一 8を作る場合の例であるが、 電子銃がクロスオーバーを作 らず発散する一方のビームを作る場合は、 ウェーネルト電極 5— 8に与 える負の電圧を浅くするとビーム強度の強い領域は放射方向外側へ移 動し、 逆に負の電圧を深くすると、 放射方向内側へ移動する。 このよう にして、 マルチ開口板 1 9 一 8に入射するビ一ム強度が大きい領域 b 1 - b 7とマルチ開口板の開口 a 1— a 7との放射方向位置が一致する ように調整する。
次に、 方位角方向の合わせは、 電子銃 1 一 8とマルチ開口板 1 9— 8 との間に設けた回転レンズ 3 5— 8により、 マルチ開口板 1 9 _ 8に入 射するマルチビ一ムを光軸周りに回転させてもよく、 あるいはマルチ開 口板 1 9 _ 8に回転機構 3 7— 8を設け、 マルチ開口板 1 9— 8を光軸 周りに回転させてもよい。 このようにして、 ビーム強度の強い領域 b l - b 7とマルチ開口板の開口 a 1— a 7との方位角方向を一致させる ことができる。
また、 マルチ開口板 1 9一 8と試料 2 9― 8'との間に回転レンズ 3 9 一 8を設け、 マルチ開口板 1 9 - 8から出たマルチビームを光軸まわり に回転調整することにより、 試料面上におけるマルチビームの並び方向 を試料面の座標軸 (例えば、 X座標) に正確に合わせることによって試 料面の走査を効率よくおこなうことができる。 •なお、 縮小レンズ 2 3 - 8より下にはマルチビームを試料面上で走査 するための偏向器が設けられていて、 この偏向方向は座標軸に別途合わ されている。 回転レンズ 3 9— 8をこれらの偏向器より下に設けると偏 向方向もずれるので、 回転レンズは縮小レンズ 2 3 - 8より上が良い。 なお、 図示の例では、 電子銃 1― 8とマルチ開口板 1 9 一 8との間に 設けた回転レンズ 3 5— 8と、 マルチ開口板 1 9— 8と試料 2 9— 8と の間に設けた回転レンズ 3 9— 8と、 さらに、 マルチ開口板を光軸ま.わ りに回転できる回転機構 3 7— 8とを使用しているが、 マルチ開口板 1 9 - 8に入射するビームのビーム強度の大きい領域 b 1— b 7や、 マル チ開口板の開口 a 1 — a 7位置、 あるいは試料面上のマルチビームの並 び状況等によっては、 これら回転レンズ 3 5— 8, 3 9— 8と回転機構 3 7— 8とを適宜組み合わせて使用すればよい。
以上から、 下記のような作用効果が得られる。
( 1 ) 電子銃が作る強いビームの放射方向の位置とマルチ開口板の 開口の放射方向位置とを合わせることがで、 これによつて強力なビーム を得ることができる。
( 2 ) 電子銃が作る強いビーム領域の光軸まわりの方位角方向を、 マルチ開口板の開口の方位角方向とを合わせることができ、 これによつ て強力なビームを得ることができる。 '
( 3 ) 試料面でのマルチビームの並び方向を試料面の座標軸に正確 に合わせることができ、 これによつて精度高い評価をおこなうことがで きる。 二次電子線画像と基準画像とのずれ
これまで述べた検査装置では、 一次電子線を試料表面の被検査領域に 照射して取得した二次電子線の画像と、 予め用意された基準画像との間 に位置ずれが発生し、 欠陥検出の精度を低下させるという可能性がある, この位置ずれは、 一次電子線の走査領域がウェハに対してずれ、 検査パ ターンの一部が二次電子線の検出画像内から欠落するとき、 特に大きな 問題となり、 単にマッチング領域を検出画像内で最適化する技術だけで は対処できない。 これは、 特に、 高精細パターンの検査では致命的欠点 となり得る。
本発明では、 このような点に鑑み、 被検査画像と基準画像との位置ず れによる欠陥検査精度の低下を防止するようにしている。
以下、 その実施例を説明する。
図 4 2には、 本発明に係る欠陥検査装置の概略構成が示されている。 . すなわち、 この欠陥検査装置では、 一次電子線を放出する電子銃 2— 1 0、 放出された一次電子線を集束、 成形させる静電レンズ 8— 1 0、 マルチ開口 1 2 — 1 0、成形された一次電子線を半導体ウェハ Wに略垂 直に当たるようにビームを直進させる E X B偏向器 2 4— 1 0、 一次電 子線をウェハ W上に結像させる対物レンズ 1 8— 1 0、真空に排気可能 な図示しない試料室内に設けられ、ウェハ Wを載置した状態で水平面内 を移動可能なステージ 6 0— 1 0、一次電子線の照射によりウェハ Wか ら放出された二次電子線を二次電子検出器 3 6 _ 1 0に導く静電レン ズ 2 8— 1 0、 3 0— 1 0、 導かれた二次電子を検出する検出器 3 6 - 1 0、 及び、 装置全体を制御すると共に、 検出器 3 6 - 1 0により検出 された二次電子信 に基づいて検出画像を形成する画像形成回路 4 0 一 6、その画像に基づいてウェハ Wの欠陥を検出する処理を実行する制 御部 4 2— 1 0を含んで構成される。
検出器 3 6— 1 0は、 静電レンズ 2 8— 1 0、 3 0— 1 0によって集 められた二次電子を電気信号に変換する。 例えば、 図 4 7にその詳細を 示すように、 検出器 3 6— 1 0は、 マルチビームの数に等しい検出器を 有し、 検出器数の二次電子信号を並列に画像形成回路 4 0 - 1 0に出力 する。 4 0 _ 1 0で形成された二次源画像は制御部 4 2— 1 0に送られ る。
制御部 4 2— 1 0は、 図 4 2に例示されたように、 汎用的なパーソナ ルコンピュータ等から構成することができる。 このコンピュータは、 所 定のプログラムに従って各種制御、 演算処理を実行する制御部本体 5 2 一 1 0と、 本体 5 2— 1 0の処理結果を表示する C R T 4 8— 1 0と、 オペレータが命令を入力するためのキーポードゃマウス等の入力部 5 0 _ 1 0と、 を備える、 勿論、 欠陥検査装置専用のハードウェア、 或い は、 ワークステーションなどから制御部 1 6— 9を構成してもよい。 制御部本体 5 2— 1 0は、 図示しない C P U、 R A M , R〇M、 ハー ドディスク、 ビデオ基板等の各種制御基板等から構成される。 R A M若 しくはハードディスクなどのメモリ上には、 検出器 3 6— 1 0から受信 した電気信号から形成したウェハ W の二次電子画像のデジタル画像デ 一夕を記憶するための二次電子画像記憶領域 5 4— 6が割り当てられ ている。 また、 ハ一ドディスク上には、 予め欠陥の存在しないウェハの 基準画像デ一夕を記憶しておく基準画像記憶部 5 6— 1 0が存在する。 更に、 ハードディスク上には、 欠陥検査装置全体を制御する制御プログ ラムの他、'記憶領域 5 4— 6から二次電子画像データを読み出し、 該画 像デ一夕に基づき所定のアルゴリズムに従ってウェハ W の欠陥を自動 的に検出する欠陥検出プログラム 5 8— 6が格納されている。 この欠陥 検出プログラム 5 8 _ 6は、 詳細を更に後述するように、 基準画像記憶 部 5 6— 1 0から読み出した基準画像と、 実際に検出された二次電子線 画像とをマッチングして、 欠陥部分を自動的に検出し、 欠陥有りと判定 した場合、 オペレータに警告表示する機能を有する。 このとき、 C R T 4 8 - 1 0の表示部に二次電子画像 4 6 - 1 0を表示するようにして もよい。
次に、 上記欠陥検査装置の作用を図 4 4乃至図 4 6のフローチヤ一卜 を例にして説明する。
先ず、 図 4 4のメインル一チンの流れに示すように、 試料となるゥェ ノ、 Wをステージ 6 0— 1 0の上にセットする (ステップ 3 0 0— 9 )。 これは、図示しないローダ一に多数格納されたウェハ Wを一枚毎に自動 的にステージ 6 0— 1 0にセットする形態であってもよい。
次に、ウェハ W表面の X Y平面上で部分的に重なり合いながら互いか ら変位された複数の被検査領域の画像を各々取得する (ステップ 3 0 4 一 9 )。 これら画像取得すべき複数の被検査領域とは、 図 4 8に示すよ うに、 例えばウェハ検査表面 3 4— 9上に、 参照番号 3 2 a— 9、 3 2 b— 9、. . . 3 2 k - 9 . . . . で示す矩形領域のことであり、 これらは、 ウェハの検査パターン 3 0— 9の回りで、 部分的に重なり合いながら位 置がずらされていることがわかる。 例えば、 図 4 2に示されたように、 1 6個の被検査領域の画像 3 2— 9 (被検査画像) .が取得される。 ここ で、 図 4 2に示す画像は、 矩形の桝目が 1画素 (或いは、 画素より大き いブロック単位でもよい) に相当し、 このうち黒塗りの桝目がウェハ W 上のパターンの画像部分に相当する。 このステツプ 3 0 4— 9の詳細は 図 4 5のフローチャートで後述する。
次に、 ステップ 3 4— 9で取得した複数の被検査領域の画像データを 記憶部 5 4— 6に記憶された基準画像データと、 各々比較照合し (図 3 のステップ 3 0 8 - 9 ) , 上記複数の被検查領域により網羅されるゥェ Λ検査面に欠陥が有るか否かが判定される。 この工程では、 いわゆる画 像データ同士のマッチング処理を実行するが、 その詳細については図 4 6のフローチヤ一卜で後述する。
ステップ 3 0 8— 9の比較結果より、 上記複数の被検査領域により網 羅されるウェハ検査面に欠陥が有ると判定された場合 (ステップ 3 1 2 一 9肯定判定)、 オペレータに欠陥の存在を警告する (ステップ 3 1 8 一 9 )。 警告の方法として、 例えば、 C R T 4 8— 1 0の表示部に欠陥 の存在を知らせるメッセージを表示したり、 これと同時に欠陥の存在す るパターンの拡大画像 4 6 - 1 0を表示してもよい。 このような欠陥ゥ ェハを直ちに試料室 3— 9から取り出し、 欠陥の無いウェハとは別の保 管場所に格納してもよい (ステップ 3 1 9 一 9 )。
ステップ 3 0 8— 9の比較処理の結果、ウェハ Wに欠陥が無いと判定 された場合 (ステップ 3 1 2 一 9否定判定)、 現在試料となっているゥ ェハ Wについて、検査すべき領域が未だ残っているか否かが判定される (ステップ 3 1 4— 9 )。 検査すべき領域が残っている場合 (ステップ 3 1 4 - 9肯定判定)、 ステージ 6 0— 1 0を駆動し、 これから検査す べき他の領域が一次電子線の照射領域内に入るようにウェハ W を移動 させる (ステップ 3 1 6— 9 )。 その後、 ステップ 3 0 2— 9に戻って 当該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合 (ステップ 3 1 - 9否定判定)、 或いは、 欠陥ウェハの抜き取り工程 (ステップ 3 1 9— 9 ) の後、 現在 試料となっているウェハ が、最終のウェハであるか否か、 即ち図示し ないローダーに未検査のウェハが残っていないか否かが判定される (ス テツプ 3 2 0— 9 )。 最終のウェハでない場合 (ステップ 3 2 0— 9否 定判定)、 検査済みウェハを所定の格納箇所に保管し、 その代わりに新 しい未検査のウェハをステージ 6 0— 1 0にセットする (ステップ 3 2
2— 9 )。 その後、 ステップ 3 0 2— 9に戻って当該ウェハに関して同 様の処理を繰り返す。 最終のウェハであった場合 (ステップ 3 2 0— 9 肯定判定)、 検査済みウェハを所定の格納箇所に保管し、 全工程を終了 する。
次に、 ステップ 3 0 4— 9の処理の流れを図 4 5のフローチヤ一トに 従って説明する。
図 4 5では、 先ず、 画像番号 iを初期値 1にセットする (ステップ 3
3 0— 9 )。 この画像番号は、 ^数の被検査領域画像の各々に順次付与 された識別番号である。 次に、 セットされた画像番号 iの被検査領域に ついて画像位置 (Xi, Yi) を決定する (ステップ 3 3 2 — 9 )。 この画 像位置は、 被検査領域を画定させるための該領域内の特定位置、 例えば 該領域内の中心位置として定義される。 現時点では、 i = lであるから 画像位置 (Xい となり、 これは例えば図 7 に示された被検査領域 3 2 a— 9の中心位置に該当する。 全ての被検査画像領域の画像位置は 予め定められており、 例えば制御部 1 6— 9のハードディスク上に記憶 され、 ステップ 3 3 2— 9で読み出される。
次に、 図 4 2の対物レンズ 1 8— 1 0を通過する一次電子線がステツ プ 3 3 2— 9で決定された画像位置 (Xi, Y .) の被検査画像領域に照射 されるように、 偏向制御器 4 2 - 1 0が偏向電極 2 2— 1 0と 2 4— 1 0に電位を加える (図 45のステップ 3 34— 9)。
次に、 電子銃 2— 1 0から一次電子線を放出し、 静電レンズ 4一 6、 1 4— 1 0、 EXB偏向器 24 - 1 0, 対物レンズ 1 8— 1 0及び偏向 電極 2 2 - 1 0と 24— 1 0を通して、セットされたウェハ W表面上に 照射する (ステップ 3 3 6— 9 )。 このとき、 一次電子線は、 偏向電極 2 2— 1 0と 14— 1 0の作り出す電場によって偏向され、 ウェハ検査 表面 34— 9上の画像位置 (X.i, Yi) の被検査画像領域全体に亘つて走 查される。 画像番号 i = 1の場合、 被検査領域は 3 2 a— 9となる。 一次電子線が走査された被検查領域からは二次電子が放出される。 そ こで、 発生した二次電子線を静電レンズ 2 8— 1 0と 3 0— 1 0により 検出器 3 6 - 1 0に結像させる。 検出器 3 6 _ 1 0は、 集収された二次 電子線を検出し、 検出素子毎の電気信号を出力し、 画像形成回路 40— 6でデジタル画像データに変換出力する (ステップ 3 3 8— 9 )。 そし て、 検出した画像番号 iのデジタル画像データを二次電子画像記憶領域 54— 6に転送する (ステップ 340— 9 )。
次に、 画像番号 iを 1だけインクリメントし (ステップ 342— 9)、 インクリメントした画像番号 ( i + 1) が一定値 i MAXを越えているか 否かを判定する (ステップ 344— 9)。 この iMAXは、 取得すべき被検 査画像の数であり、 図 42の上述した例では、 「 1 6」 である。
画像番号 iが一定値 i MAXを越えていない場合 (ステップ 344— 9 否定判定)、 再びステツプ 3 3 2— 9に戻り、 インクリメントした画像 番号 ( i + 1) について画像位置 (Xi+1, Yi+1) を再び決定する。 この 画像位置は、 前のル一チンで決定した画像位置 (Xi, Yi) から X方向及 び Z又は Y方向に所定距離 (ΔΧ Δ Yt) だけ移動させた位置である。 図 7の例では、 被検査領域は、 (XP Yt) から Y方向にのみ移動した位 置 (Χ2, Υ2) となり、 破線で示した矩形領域 32 b— 9となる。 なお、 (Δ ί; Δ ( i = 1 , 2,... i ΜΑΧ) の値は、 ウェハ検查面 34— 9のパターン 3 0 - 9が検出器 3 6— 1 0の視野から実際に経験的に どれだけずれるかというデータと、 被検査領域の数及び面積から適宜定 めておくことができる。
そして、 ステップ 3 3 2— 9乃至 3 4 2— 9の処理を i MAX個の被検 查領域について順次繰り返し実行する。 これらの被検査領域は、 図 7に 示すように、 k回移動した画像位置 (X k, Yk) では被検査画像領域 3 2 k— 9となるように、 ウェハの検査面 3 4 _ 9上で、 部分的に重なり 合いながら位置がずらされていく。 このようにして、 図 4 2に例示した 1 6個の被検査画像データが画像記憶領域 8— 9に取得される。 取得し た複数の被検査領域の画像 3 2 _ 9 (被検査画像) は、 図 4 2に例示さ れたように、 ウェハ検査面 3 4— 9上のパターン 3 0 - 9の画像 3 0 a 一 9を部分的若しくは完全に取り込んでいることがわかる。
インクリメントした画像番号 iが i MAXを越えた場合 (ステップ 3 4 4 - 9肯定判定)、 このサブルーチンをリターンして図 4 4のメインル 一チンの比較工程 (ステップ 3 0 8— 9 ) に移行する。
なお、 ステップ 3 4 0 _ 9でメモリ転送された画像 —夕は、 検出器 3 6 - 1 0により検出された各画素毎の二次電子の強度値 (いわゆるべ タデ一夕) からなるが、 後段の比較工程 (図 4 4のステップ 3 0 8— 9 ) で基準画像とマツチング演算を行うため、 様々な演算処理を施した状態 で記憶領域 5 8 - 6に格納しておくことができる。 このような演算処理 には、 例えば、 画像データのサイズ及び Z又は濃度を基準画像データの サイズ及びノ又は濃度に一致させるための正規化処理や、 所定画素数以 下の孤立した画素群をノイズとして除去する処理などがある。 更には、 単純なベたデータではなく、 高精細パターンの検出精度を低下させない 範囲で検出パターンの特徴を抽出した特徴マトリクスにデ一夕圧縮変 換しておいてもよい。 このような特徴マトリクスとして、 例えば、 M X N画素からなる 2次元の被検査領域を、 m X n (m< M, n < N ) プロ ックに分割し、 各ブロックに含まれる画素の二次電子強度値の総和 (若 しくはこの総和値を被検査領域全体の総画素数で割った正規化値) を、 各マトリックス成分としてなる、 m X n特徴マトリックスなどがある。 この場合、 基準画像データもこれと同じ表現で記憶しておく。 本発明の 実施例でいう画像データとは、 単なるべたデータは勿論のこと、 このよ うに任意のアルゴリズムで特徴抽出された画像デ一夕を包含する。 次に、 ステップ 3 0 8— 9の処理の流れを図 4 6のフローチヤ一トに 従って説明する。
先ず、 制御部 4 2— 1 0の C P Uは、 基準画像記憶部 5 4— 6 (図 4 2 ) から基準画像データを R A M等のワーキングメモリ上に読み出す (ステップ 3 5 0— 9 )。 この基準画像は、 図 4 2では参照番号 4 6— 1 0で表される。 そして、 画像番号 iを 1にリセットし (ステップ 3 5 2— 9 )、 記憶領域 8— 9から画像番号 iの被検査画像データをヮーキ ングメモリ上に読み出す (ステップ 3 5 4 _ 9 )。
次に、 読み出した基準画像データと、 画像 iのデータとをマッチング して、 両者間の距離値 D iを算出する (ステップ 3 5 6— 9 )。 この距離 値 D iは、 基準画像と、 被検査画像 i との間の類似度を表し、 距離値が大 きいほど基準画像と被検査画像との差異が大きいことを表している。 こ の距離値 D iとして類似度を表す量であれば任意のものを採用すること ができる。 例えば、 画像データが M X N画素からなる場合、 各画素の二 次電子強度 (又は特徴量) を M X N次元空間の各位置ベクトル成分とみ なし、 この M X N次元空間上における基準画像べクトル及び画像 iべク トル間のユークリッド距離又は相関係数を演算してもよい。 勿論、 ユー クリツド距離以外の距離、 例えばいわゆる市街地距離等を演算すること もできる。 更には、 画素数が大きい場合、 演算量が膨大になるので、 上 記したように m x n特徴べクトルで表した画像データ同士の距離値を 演算してもよい。
次に、算出した距離値 D;が所定の閾値 T hより小さいか否かを判定す る (ステップ 3 5 8— 9 )。 この閾値 T hは、 基準画像と被検査画像と の間の十分な一致を判定する際の基準として実験的に求められる。
距離値 D iが所定の閾値 T hより小さい場合(ステップ 3 5 8 - 9肯定 判定)、 当該ウェハ Wの当該検査面 3 4 — 9には 「欠陥無し」 と判定し (ステップ 3 6 0— 9 )、 本サブルーチンをリターンする。 即ち、 被検 査画像のうち 1つでも基準画像と略一致したものがあれば、 「欠陥無 し」 と判定する。 このように全ての被検査画像とのマッチングを行う必 要が無いので、 高速判定が可能となる。 図 4 2の例の場合、 3行 3列目 の被検査画像が、 基準画像に対して位置ずれが無く略一致していること がわかる。
距離値 D iが所定の閾値 T h以上の場合 (ステップ 3 5 8— 9否定判 定)、 画像番号 iを 1だけインクリメントし (ステップ 3 6 2— 9 )、 ィ ンクリメントした画像番号 ( i + 1 ) が一定値 i MAXを越えているか否 かを判定する (ステップ 3 6 4— 9 )。
画像番号 iが一定値 i MAXを越えていない塲合 (ステップ 3 6 4— 9 否定判定)、 再びステップ 3 5 4— 9に戻り、 インクリメントした画像 番号 ( i + 1 ) について画像データを読み出し、 同様の処理を繰り返す t 画像番号 iが一定値 i MAXを越えた場合 (ステップ 3 6 4 一 9肯定判 定)、 当該ウェハ Wの当該検査面 3 4 - 9には「欠陥有り」 と判定し (ス テツプ 3 6 6— 9 )、 本サブルーチンをリターンする。 即ち、 被検査画 像の全てが基準画像と略一致していなければ、 「欠陥有り」 と判定する。 上記実施例では、 画像データ同士のマッチングを行う際に、 画素間の マッチング及び特徴べクトル間のマッチングのいずれかとしたが、 両者 を組み合わせることもできる。 例えば、 最初、 演算量の少ない特徴べク トルで高速マッチングを行い、 その結果、 類似度の高い被検査画像につ いては、 より詳細な画素データでマッチングを行うという 2段階の処理 によって、 高速化と精度とを両立させることができる。
また、 本発明では、 被検査画像の位置ずれを一次電子線の照射領域の 位置ずらしのみで対応したが、 マッチング処理の前若しくはその間で画 像データ上で最適マッチング領域を検索する処理 (例えば相関係数の高 い領域同士を検出してマッチングさせる) と本発明とを組み合わせるこ ともできる。 これによれば、 被検查画像の大きな位置ずれを本発明によ る一次電子線の照射領域の位置ずらしで対応すると共に、 比較的小さな 位置ずれを後段のデジタル画像処理で吸収することがまた、 図 4 4のフ ローチャートの流れも、 これに限定されない。 例えば、 ステップ 3 1 2 一 9で欠陥有りと判定された試料について、 他の領域の欠陥検査は行わ ないことにしたが、 全領域を網羅して欠陥を検出するように処理の流れ を変更してもよい。 また、 一次電子線の照射領域を拡大し 1回の照射で 試料のほぼ全検査領域をカバ一できれば、 ステップ 3 1 4— 9及びステ ップ 3 1 6 _ 9を省略することができる。
以上のようにすることにより、 試料上で部分的に重なり合いながら互 いから変位された複数の被検査領域の画像を各々取得し、 これらの被検 査領域の画像と基準画像とを比較することによって、 試料の欠陥を検査 するようにしたので、 被検査画像と基準画像との位置ずれによる欠陥検 查精度の低下を防止できる、 という優れた効果が得られる。 照射ボイントの設計ボイン卜からのずれの修正
上述した図 4 2の検查装置においては、 また、 次のような点の改良も 行われるようにしている。 すなわち、 ステージ上に向けて照射される前 記複数の 1次電子ビームの位置、 姿勢 (回転状態)、 又は 1次電子ビー ム間距離に関して、 設計値との間にずれが生じている場合に、 適切な検 查ができなくなるので、 そのような設計値との間にずれが生じている場 合に、 当該ずれを較正ないしは修正できるようにするということである 説明の都合上、 重複するが、 図 4 2に示す装置の概略を先ず説明する 電子銃 2― 1 0から放出された電子線は、 コンデンサ · レンズ 4一 1 0 によって集束されて点 6— 1 0においてクロスォ一パを形成する。 コンデンサ · レンズ 4一 1 0の下方には、 複数の開口を有する第 1の マルチ開口板 8 - 1 0が配置され、 これによつて光軸 1 0— 1 0を有す る複数の 1次電子ビーム 1 2— 1 0が形成される。
第 1のマルチ開口板 8 _ 1 0によって形成された複数の 1次電子ビ —ム 1 2— 1 0のそれぞれは、 縮小レンズ 1 4一 1 0によって縮小され て点 1 6— 1 0に投影される。 点 1 6— 1 0で合焦した後、 対物レンズ 1 8 - 1 0によって試料 2 0 - 1 0に合焦される。 第 1のマルチ開口板 8 - 1 0から出た複数の 1次電子ビーム 1 2— 1 0は、 縮小レンズ 14 — 1 0と対物レンズ 1 8 _ 1 0との間に配置された走査装置としての 偏向器 2 2 - 1 0により、 同時に試料 2 0 - 1 0の面上を走査するよう 偏向される。 なお、 複数の 1次電子ビーム 1 2— 1 0の走査は、 偏向器 2 2 - 1 0だけで行ってもよいが、 偏向器 2 2— 1 0と後述する E XB 分離器とを用いて行っても良い。
縮小レンズ 1 4— 1 0及び対物レンズ 1 8— 1 0の像面湾曲収差の 影響を無くすため、 図 49に示すように、 マルチ開口板 8— 1 0には、 9つの小開口 8 a— 1 0ないし 8 i _ 1 0が円周方向に沿って配置さ れており、 その X方向への投影したものは等間隔となる構造となってい る。 マルチ開口板 8 - 1 0の小開口 8 a— 1 0ないし 8 i— 1 0を通過 した 1次電子ビ一ム 1 2 _ 1 0は、 小開口 8 a— 1 0ないし 8 i— 1 0 の配置構造にしたがって、 円周方向に沿った 9つのビーム 1 2 a— 1 0 ないし 1 2 i — 1 0となる (図 5 0参照)。 本実施態様においては、 小 開口を円周方向に沿って配置したがこのように円周方向に配置する必 要はかならずしもなく、 直線方向に沿って配置してもよい。 また、 小開 口の数を 9つとしたが、 少なくとも 2つあればよい。
合焦された複数の 1次電子ビーム 1 2— 1 0によって、 試料 2 0 - 1 0上では複数の点が照射される。 照射されたこれらの複数の点から放出 される 2次電子ビームは、 対物レンズ 1 8 _ 1 0の電界に引かれて細く 集束され、 分離装置としての EXB分離器 24— 1 0で偏向され、 これ によって、 1次電子ビームを試料 2 0 - 1 0に照射するための 1次光学 系から離れて、 2次光学系に投入される。
なお、 図 42において、 1 7— 1 0は軸合せ偏向器を示しており、 1 9 - 1 0は軸対称電極を示している。 また、 複数の 1次電子ビーム 1 2 一 1 0を回転させることができる回転レンズ 2 3— 1 0が、 電子ビーム 形成装置の一部を構成するマルチ開口板 8— 1 0と分離装置としての EXB分離器 24 - 1 0との間に設けられている。 より具体的に説明す れば、 回転レンズ 2 3— 1 0は、 本実施態様においては点 6 _ 1 0の近 傍に設けられている。 回転レンズ 2 3— 1 0は、 当該回転レンズ 2 3— 1 0のコイルに流す励磁電流の強さに応じて複数の 1次電子ビーム 1 2 - 1 0を光軸の回りに回転させることができる。
2次光学系は拡大レンズ 2 8— 1 0、 3 0— 1 0を有しており、 これ らの拡大レンズ 2 8— 1 0、 3 0 _ 1 0を通過した 2次電子ビームは、 第 2マルチ開口板 3 4 - 1 0の複数の開口 3 4 a— 1 0ないし 34 i ― 1 0に結像する。 そして、 マルチ開口を通って複数の検出器 3 6 a— 1 0ないし 3 6 i— 1 0で検出される。 第 2のマルチ開口板 34— 1 0 は検出器 3 6 &— 1 0なぃし 3 6 1 _ 1 0の前に配置されている。 開口 34 a— 1 0ないし 34 i— 1 0は、 第 2のマルチ開口板 34— 1 0の 円周方向に沿って形成されており、 第 1のマルチ開口板 8 - 1 0に形成 された複数の開口 8 a— 1 0ないし 8 i — 1 0と一対一に対応してい る。 この関係を示すために、 図 49では、 第 2マルチ開口板 34— 1 0 の開口 34 a _ 1 0ないし 34 i— 1 0が点線で示されている。 検出器 3 6 a - 1 0ないし 3 6 i— 1 0は、 第 2マルチ開口板 34— 1 0の開 口 34 a— 1 0ないし 34 i— 1 0に対向して配置されており、 したが つて、 第 2マルチ開口板 34 - 1 0の開口 34 a— 1 0ないし 34 i - 1 0と同様に円周方向に沿って設けられている (図 42では、 図示の便 宜上、 検出器 3 6 a— 1 0ないし .3 6 i — 1 0は概略的に示されてい る)。
各検出器 3 6 a— 1 0ないし 3 6 i— 1 0は、 検出した 2次電子ビー ムを、 その強度を表す電気信号へ変換する。 こうした各検出器から出力 された電気信号は増幅器 3 8 - 1 0によってそれぞれ増幅された後、 画 像処理部 40— 1 0によって受信され、 画像データへ変換される。 画像 処理部 40 - 1 0には、 偏向器 22 - 1 0に与えられた 1次電子ビーム を偏向させるための走查信号と同じ信号が制御装置 4 2— 1 0により 供給される。 画像処理部 40— 1 0は、 走査信号の位置データと 2次電 子信号の濃淡信号とから前記画像データを合成して、 試料 2 0— 1 0の 被走査面を表す画像を構成ないしは表示することができる。 画像処理部 4 0— 1 0は、 制御装置 4 2 - 1 0とデータ通信可能に接 続される。 制御装置 4 2— 1 0は、 図 4 2に示されたように、 一例とし て汎用的なパーソナルコンピュータ等から構成することができる。 この コンピュータは、 所定のプログラムに従って各種制御、 演算処理を実行 する制御部本体 4 4— 1 0と、 この処理結果や 2次電子画像 4 6— 1 0 等を表示する C R T 4 8— 1 0と、 オペレータが命令を入力するための キーボードやマウス等の入力部 5 0— 1 0と、 を備えている。 勿論、 欠 陥検査装置専用のハードウェア、 或いは、 ワークステーションなどから 制御装置 4 2 - 1 0を構成してもよい。
制御部本体 4 4— 1 0は、 図示しない C P U、 R A M , R O M、 ビデ ォ基板等の各種制御基板等から構成されている。 制御部本体 4 4— 1 0 には、 記憶装置 5 2— 1 0が接続されている。 記憶装置 5 2— 1 0は、 例えば、 ハードディスクから構成することができる。 記憶装置 5 2— 1 0上には、 画像処理部 4 0 - 1 0から受信した試料 2 0— 1 0の 2次電 子画像データを記憶するための 2次電子画像記憶領域 5 4— 1 0、 予め 欠陥の存在しない試料の基準画像データを記憶しておく基準画像記憶 部 5 6— 1 0が割り当てられている。 更に、 記憶装置 5 2 - 1 0上には, 電子線装置全体を制御する制御プログラム、 試料の評価プログラム、 試 料に向けて照射される複数の 1次電子ビームの位置、 姿勢 (回転状態)、 又は電子ビーム間距離に関して、 設計値との間にずれが生じている場合 に、 当該ずれを較正ないしは修正するための制御プログラム 5 8— 6が 格納されている。 この 1次電子ビームのずれを較正する制御方法に関し ては詳細を後述するが、 当該較正は試料の評価を行う前に実行され、 こ れによって、 1次電子ビームの初期設定がなされる。
2次電子画像記憶領域 5 4— 1 0に記憶された試料 2 0— 1 0の被 走査面を表す画像デ一夕は、 基準画像記憶部 5 6— 1 0に記憶された予 め欠陥の存在しない試料の基準画像データと比較され、 これにより、 試 料 2 0— 1 0の欠陥を検出するようになっている。 また、 後述する較正 (すなわち、 レジストレーシヨン) により試料 2 0— 1 0の被評価パ夕 ーンを 1次光学系の光軸 1 0— 1 0の近くへ移動させ、 ラインスキャン することによって線幅評価信号を取り出し、 これを適宜に較正すること により、 試料 2 0 - 1 0上のパターンの線幅を測定することもできる。 ステージ 6 0— 1 0の X方向端部にはレーザミラーすなわちレーザ 反射鏡 6 2— 1 0が設けられており、 移動鏡を構成する。 対物レンズに は固定鏡が配置されている。 レーザ発振器 64— 1 0からのレーザ一ビ ームを固定鏡で反射させたビームと移動鏡と固定鏡で反射させたビ一 ムとを干渉させることにより、 固定鏡と移動鏡の距離を常時測定し、 測 定信号を制御装置 42 - 1 0に送信することによって、 ステージ 6 0— 1 0の X方向の位置を測定することができるようになつている。 また、 ステージ 6 0 - 1 0.の Y方向端部にも図示しないレーザ移動鏡と対物 レンズに Y方向測定用の固定鏡が設けられており、 同様に、 ステージ 6 0— 1 0の外側に位置決めして設けられたレーザ発振器 (図示せず) か らのレーザービームを 2つの反射鏡で反射させた時の干渉により、 ステ —ジ 6 0— 1 0の Y方向の位置を測定することができるようになって いる。
ステージ 6 0— 1 0の一端側には、 マーカ台 6 6 - 1 0が設けられて おり、 マーカ台 6 6 - 1 0の表面は、 XY座標面 (図 5 0参照) を構成 している。 図 5 0に示されているように、 マーカ台 6 6— 1 0には、 ビ —ム位置測定用のマーカ 6 6 a— 1 0、 6 6 e— 1 0が設けられている, マーカ 66 a— 1 0、 66 e - 1 0は X軸方向に沿ってほぼ平行に設け られており、 X軸との平行度はあらかじめ測定され装置定数として制御 装置 42 - 1 0の記憶装置 5 2— 1 0に記憶されている。 なお、 図 5 0 では、 マ一力 6 6 a— 1 0、 66 e - 1 0間の中心が点〇として表され ている。
上述したステージ駆動装置を用いてステージ 6 0— 1 0を X及び Y 方向に移動し、 1次電子ビーム 1 2— 1 0がマーカ台 6 6— 1 0の表面 を照射することができる位置までステージ 6 0 - 1 0を移動すること ができる。 このとき、 1次電子ビーム 1 2— 1 0によりマ一力台 6 6— 1 0の表面上に周方向に沿った複数の照射ボイント 1 2 a— 1 0ない し 1 2 i— 1 0が形成される (すなわち、 マーカ台 6 6— 1 0の表面上 には、 図 5 0に示されるように、 1次電子ビーム 1 2 a— 1 0ないし 1 2 i - 1 0が形成される)。 マーカ 6 6 a— 1 0、 6 6 e - 1 0間の距 離は、 マーカ検出時の走査幅より小さくなるように形成されている。 こ れにより、 1つの 1次電子ビームが 2つのマーカを同一走査で照射する 事がない。 また、 一次ビームと検出器はきちんと対応が取れているので、 他の 1次電子ビームがマーカを走査した時に発生する信号と間違える 事はない。
次に 1次電子ビームの較正方法について図 5 1ないし図 5 3 (c) に 基づいて説明する。
まず、 ステージ駆動装置を用いてステージ 6 0— 1 0を駆動してマ一 力台 66— 1 0を光軸の下に移動し、 光軸 1 0— 1 0とマ一力 66 a— 1 0, 6 6 e— 1 0間の中心点 Oとの位置合わせ行う (図 5 1のステツ プ 6 8— 1 0)。 この位置合わせは所定の誤差の範囲に収まるようにす ればよい。 例えば、 1次電子ビームを X及び Y方向に所定範囲だけ走査 し、 照射ボイント 1 2 a _ 1 0及び 1 2 e— 1 0を形成する X軸上にあ る 1次電子ビーム 1 2 a— 1 0、 1 2 e— 1 0により、 マ一力 66 a— 1 0、 6 6 e— 1 0が検出されるのを確認することによって行うことが できる。 このようにして、 光軸 1 0— 1 0とマ一力台 6 6 - 1 0との間 の位置合わせが行われたときに、 例えば、 図 5 2 (a) に示されている ように、 1次電子ビーム 1 2 a— 1 0、 1 2 e— 1 0はマーカ 66 a— 1 0、 66 e— 1 0の近傍に照射される。 これによつて、 1次電子ビー ム 1 2 a— 1 0、 1 2 e - 1 0の所定範囲の X及び Y方向の走査により、 1次電子ビーム 1 2 a— 1 0、 1 2 e - 1 0はマーカ 6 6 a _ 1 0— 1 , 6 6 e - 1 0を横切ることが可能となる。 図 5 2 (a) に示されている 例では、 マーカ 6 6 a— 1 0から 1次電子ビーム 1 2 a— 1 0の照射ポ イン卜までの距離は、 X方向及び Y方向の両方向において、 マーカ 66 e— 1 0から 1次電子ビーム 1 2 e— 1 0の照射ボイントまでの距離 よりも長くなつている。 すなわち、 これらの例においては、 マーカ 6 6 a— 1 0、 6 6 e— 1 0力 ら 1次電子ビーム 1 2 a— 1 0、 1 2 e - 1 0の照射ボイントまでの距離が、 予測値に対する複数の 1次電子ビーム のずれ (すなわち、 マルチビームのずれ) を表している。
また、 上記のように、 光軸 1 0— 1 0とマーカ台 6 6 - 1 0との間の 位置合わせが行われたとき、 レーザ反射鏡 62一 1 0及びレ一ザ測長器 64 - 1 0を用いてステージ 6 0— 1 0の位置を算出し、 この位置情報 をマ一力位置の装置定数として記憶装置 5 2 - 1 0に記憶させる。 通常、 上記のような光軸 1 0— 1 0とマ一力台 6 6— 1 0との間の位置合わ せは、 電子線装置の初期設定として一度行うだけでよい。 また、 上記の ような位置合わせに基づいて測定されたマーカ位置の装置定数は、 後述 する較正処理に基づいて更新される。
次に、 1次電子ビーム相互の位置の測定を行う。 なお、 本実施態様に おいては、 マーカ台にマーカを 2つ設け、 2つのマーカ 6 6 a— 1 0、 6 6 e - 1 0の付近に照射ボイントを形成する 2つの電子ビーム 1 2 a _ 1 0、 1 2 e - 1 0を前記マーカを横切るように走査することによ つて、 1次電子ビーム相互の位置の測定を行っている。 このような本実 施態様の内容を明瞭にするために、 図 52ないし図 5 3 (c) において は他の電子ビーム b— d、 f 一 iが省略されている。
まず、 記憶装置に記憶させられた制御プログラム 5 8— 1 0の指示に したがって、 制御装置 42— 1 0が偏向器 22 _ 1 0·を制御して、 図 5 2 (a) に示されているように電子ビーム 1 2 a _ 1 0、 1 2 e - 1 0 をマーカ 6 6 a— 1 0、 6 6 e— 1 0に向けて X方向に走査する (図 5 1のステップ 7 0— 1 0 )。 このとき、 1次電子ビーム 1 2 e— 1 0が 先にマーカ 66 e— 1 0を横切り、 次いで、 1次電子ビーム 1 2 a— 1 0がマーカ 66 a— 1 0を横切るので、 図 5 3 (a) に示されているよ うに、 1次電子ビーム 1 2 e _ 1 0と関係する検出器 3 6 e— 1 0から まず信号 9 0— 1 0が出力され、 次に、 1次電子ビーム 1 2 a— 1 0と 関係する検出器 3 6 a - 1 0から信号 9 2 - 1 0が出力される。 図 5 3 (a) の横軸は時間を表しており、 縦軸は信号強度を表している。 1次 電子ビームの X方向の走査速度 ( mZ s e c) はあらかじめわかつ ているので、 2つの信号 9 0— 1 0、 9 2— 1 0が出力される時間から、 X方向におけるマーカ 6 6 a— 1 0と 1次電子ビーム 1 2 a— 1 0 (す なわち、 照射ポイント 1 2 a— 1 0) との間の距離と、 X方向における マーカ 6 6 e— 1 0と 1次電子ビーム 1 2 e— 1 0 (すなわち、 照射ポ イント 1 2 e— 1 0) との間の距離を算出することができる。 もちろん、 2つの信号 9 0— 1 0、 92— 1 0の間の時間から、 1次電子ビーム 1 2 a - 1 0 (すなわち、 照射ボイント 1 2 a— 1 0) と 1次電子ビーム 1 2 e - 1 0 (すなわち、 照射ボイント 1 2 e _ 1 0) との間の距離を 算出することもできる。
次に、 2つの信号 9 0— 1 0、 9 2— 1 0の間の時間差を算出し、 こ の時間差が設計値の許容誤差の範囲内にあるか否か判断する (図 5 1の ステップ 7 2— 1 0 : 当該ステップ 7 2— 1 0が測定装置を構成してい る)。 許容誤差の範囲内にない場合、 制御装置 42— 1 0の指示により、 図 42に示した中間レンズ 1 4— 1 0と対物レンズ 1 8— 1 0をズー ム動作、 即ち物点位置 8— 1 0と像点位置 2 0 - 1 0を変えないで縮小 率を変化させる (図 5 1のステップ 74— 1 0 : 当該ステップ 74— 1 0が 2段レンズ制御装置を構成している)。 これによつて、 マーカ 6 6 a— 1 0に対する 1次電子ビーム 1 2 a— 1 0 (すなわち、 照射ポイン ト 1 2 a— 1 0 ) の X方向の位置と、 マーカ 6 6 e— 1 0に対する 1次 電子ビ一ム 1 2 e— 1 0 (すなわち、 照射ポィント 1 2 e— 1 0) の X 方向の位置とを変えることができる。 このようにして、 ステップ 7 0— 1 0、 7 2 - 1 0及び 74 - 1 0を繰り返し、 信号 9 0— 1 0の波形と 信号 9 2— 1 0·の波形がほぼ同じ時間に現れる様に調節される。 これに よって、 マーカ台 6 6— 1 0上での、 X方向におけるマーカ 66 a— 1 0から 1次電子ビーム 1 2 a— 1 0 (すなわち、 照射ポイント 1 2 a— 1 0) までの距離と、 X方向におけるマーカ 6 6 e— 1 0から 1次電子 ビーム 1 2 e— 1 0 (すなわち、 照射ボイント 1 2 e— 1 0) までの距 離とをほぼ等しくできる (図 5 2 (b) 参照)。 そして、 2つの信号 9 0— 1 0、 9 2— 1 0の間の時間差が許容誤差の範囲内にあると判断さ れた場合、 このときの中間レンズ 14— 1 0と対物レンズ 1 8— 1 0の 励起電圧が記憶装置 5 2— 1 0に記憶される (ステップ 7 3— 1 0 )。 次に、 記憶装置 5 2— 1 0に記憶させられた制御プログラム 5 8— 1 0の指示にしたがって、 制御装置 42 - 1 0が偏向器 2 2— 1 0を制御 して、 図 52 (b) に示されているように電子ビーム 1 2 a— 1 0、 1 2 e— 1 0をマ一力 6 6 a— 1 0、 6 6 e - 1 0に向けて Y方向に走査 する (図 5 1のステップ 7 6— 1 0 )。 このとき、 1次電子ビ一ム 1 2 e— 1 0が先にマーカ 6 6 e— 1 0を横切り、 次いで、 1次電子ビーム 1 2 a _ 1 0がマーカ 6 6 a— 1 0を横切るので、 図 5 3 (b) に示さ れているように、 1次電子ビ一ム 1 2 e _ 1 0に対応する検出器 3 6 e - 1 0からまず信号 94 _ 1 0が出力され、 次に、 1次電子ビーム 1 2 a - 1 0に対応する検出器 3 6 a— 1 0から信号 9 6— 1 0が出力さ れる。 図 5 3 (b) の横軸は時間を表しており、 縦軸は信号強度を表し ている。 1次電子ビームの Y方向の走査速度 m/ β s e c) もあら かじめわかっているので、 2つの信号 9 0— 1 0、 9 2— 1 0が出力さ れる時間から、 Y方向におけるマーカ 6 6 a— 1 0と 1次電子ビーム 1 2 a - 1 0 (すなわち、 照射ボイント 1 2 a— 1 0) との間の距離と、 Y方向におけるマーカ 6 6 e— 1 0と 1次電子ビーム 1 2 e— 1 0 (す なわち、 照射ポイント 1 2 e— 1 0) との間の距離を算出することがで きる。
次に、 2つの信号 94一 1 0、 9 6— 1 0の間の時間差を算出し、 こ の時間差が設計値の許容誤差の範囲内にあるか否か判断する (図 5 1の ステップ 7 8— 1 0)。 許容誤差の範囲内に.なく、 図 5 3 (b) の様に 信号 94一 1 0が先に出現する場合、 図 52 (b) の矢印で示されてい るように、 1次電子ビームは、 中心点〇を中心として反時計方向に回転 している。 このようなずれ場合、 制御装置 42— 1 0が図 42に示した 回転レンズ 2 3 - 1 0に供給される励磁電流の強さを調整して (図 5 1 のステップ 8 0— 1 0)、 1次電子ビームを時計方向に回転させ、 ステ ップ 7 6— 1 0、 7 8 - 1 0及び 8 0 - 1 0を繰り返して、 信号 94一 1 0と信号 9 6一 1 0が許容値以内に同時に出現するようにする。 これ によって、 マーカ台 6 6— 1 0上での、 Y線方向におけるマーカ 6 6 a 一 1 0から 1次電子ビーム 1 2 a— 1 0 (すなわち、 照射ボイン卜 1 2 a— 1 0 ) までの距離と、 Y線方向におけるマーカ 6 6 e _ 1 0から 1 次電子ビーム 1 2 e— 1 0 (すなわち、 照射ボイント 1 2 e— 1 0) ま での距離とをほぼ等しくできる。 換言すれば、 マーカ 6 6 a— 1 0とマ 一力 6 6 e— 1 0との間に引かれるラインと、 1次電子ビーム 1 2 a— 1 0 (すなわち、 照射ボイント 1 2 a _ 1 0) と 1次電子ビーム 1 2 e - 1 0 (すなわち、 照射ボイント 1 2 e _ 1 0) との間に引かれるライ ンとが、 ほぼ平行となる (すなわち、 回転誤差が生じない状態となる)。 そし.て、 2つの信号 94一 1 0、 9 6— 1 0の間の時間差が許容誤差の 範囲内にあると判断された場合、 このときの回転レンズ 2 3— 1 0の電 流量が記憶装置 52 _ 1 0に記憶される (ステップ 7 9— 1 0)。
以上のようにステップ 6 8 - 1 0から 8 0— 1 0までの較正処理を 行うことによって、 図 52 ( c ) に示されているように、 マーカ台 66 - 1 0上での、 X方向におけるマーカ 66 a— 1 0から 1次電子ビーム 1 2 a - 1 0 (すなわち、 照射ボイント 1 2 a— 1 0 ) までの距離 x a と、 X方向におけるマーカ 6 6 e— 1 0から 1次電子ビーム 1 2 e _ 1 0 (すなわち、 照射ボイント 1 2 e— 1 0) までの距離 X eとがほぼ等 しくなると共に、 マ一力台 6 6— 1 0上での、 Y線方向におけるマーカ 6 6 a— 1 0から 1次電子ビーム 1 2 a— 1 0 (すなわち、 照射ポィン ト 1 2 a _ 1 0) までの距離 y aと、 Y線方向におけるマ一力 6 6 e— 1 0から 1次電子ビーム 1 2 e— 1 0 (すなわち、 照射ポイント 1 2 e — 1 0) までの距離 y eとがほぼ等しくなる。
最後に光軸の位置とマーク位置の位置合わせ量を以下に示すように 算出あるいは測定する。
上述した較正処理から、 X方向へ走査した時に、 信号 9 0— 1 0と信 号 9 2— 1 0はほぼ同時に出力されると共に、 Y方向へ走査した時に、 信号 94一 1 0と信号 9 6— 1 0もほぼ同時に出力されるようになる。 したがって、 このことは、 図 5 3 ( c ) に示されるように、 信号 9 0— 1 0が出力されるときの偏向器 2 2一 1 0の偏向電圧と信号 9 2 _ 1 0が出力されるときの偏向器 2 2— 1 0の偏向電圧とが等しく、 また、 信号 9 4一 1 0が出力されるときの偏向器 2 2— 1 0の偏向電圧と信 号 9 6— 1 0が出力されるときの偏向器 2 2— 1 0の偏向電圧も等し いということを意味している。 一方、 前述したように、 信号 9 0 _ 1 0 と信号 9 2— 1 0がほぼ同時に出力されるときのレンズ 1 4一 1 0と 1 8 - 1 0の励起電圧と信号 94一 1 0と信号 9 6— 1 0がほぼ同時 に出力されるときの回転レンズ 2 3— 1 0の励起電流が記憶されてい る (図 5 1のステップ 7 3— 1 0、 7 9— 1 0)。 また、 偏向器 2 2— 1 0の偏向感度 (^mZmV) が記憶装置 5 2— 1 0に記憶されている, よって、 この既知の偏向感度 ( mZmV) から、 X方向へ走査した時 の前記偏向電圧に基づいて、 1次電子ビームとマーカの位置が X方向に 何 mずれているか (すなわち、 及び 6の距離) を算出し、 また, Y方向に走査した時の前記偏向電圧に基づいてビームとマーカの位置 が Y方向に何 mずれているか (すなわち、 y a及び y eの距離) を算 出する (図 5 1のステップ 84— 1 0 )。 これらのずれ値を、 レーザ干 渉計により測定され且つ記憶装置に記憶されているステージ位置デー 夕に加算することによって、 新しいマーカ位置の装置定数を更新する (図 5 1のステップ 8 6— 1 0)。
図 5 2 (a) (b) 及び図 5 3 (a) (b) に示した測定ではステージ が振動していても測定誤差を与えない。 図 5 2 (c) 及び図 5 3 ( c ) に示した測定でも、 測定を行った時刻でのレーザ測長器の読みに偏向感 度から算出した寸法を加算するのであるからステージの振動は誤差に ならない。 このことから図 52 ( c ) 及び図 5 3 ( c ) に示した測定は ステージの走行中に測定を行つてもよい。
上記実施態様によれば、 最小線幅 0. 1 以下のパ夕一ンを有する 半導体ウェハを、 高スループット且つ高信頼性で評価を行うことが可能 になる。 また、 複数の 1次電子ビーム (マルチビ一ム) のビーム間隔、 回転、 ビームとマーカ間の位置を、 ステージの振動の影響を受けること なく測定ができる。 さらに、 1次電子ビームをマ一力台の X Y座標に正 確に合わせられるので、 画像形成時に複雑な演算を行わなくてよい。 上記較正方法は、 記憶装置に記憶されたプログラムにしたがって制御 装置を制御することによって行われるが手動により画像情報を目視し ながら行ってもよい。
なお、 本実施態様においては、 1次電子ビームの数を 9つとして、 そ のうち 2つのビームを利用して較正処理する方法を説明したが、 較正処 理するためには 1次電子ビームの数は少なくとも 2つあればよい。 以上のように本願発明によれば、 位置測定用マーカに対する照射ボイ ,ントの位置ずれを測定し、 位置ずれを測定した場合に当該位置ずれに基 づいて、 複数の 1次電子ビームの照射ボイントを較正するようにしたの で、 ステージ上に向けて照射される複数の電子ビームの位置、 姿勢.(回 転状態)、 又は電子ビーム間距離に関して、 設計値との間にずれが生じ ている場合でも、 高分解能、 高スループットで試料の欠陥を検出するこ とができる。 収差の補正 (クロスォ一バ位置の調整)
前述の如き電子線検査装置においては、 対物レンズの近傍に形成され るクロスオーバ位置を調整するのに、 従来は、 アパーチャを配置し、 該 アパーチャを光軸方向に移動させながらビーム径を測定し、 測定された ビーム径が最小になる位置をクロスオーバ位置として行っている。 しかしながら、 この調整方法は、 アパーチャが、 それに隣接するレン ズの特性に影響を与え、 その特性が大幅に異なってしまい、 設計通りの レンズ特性を得ることができなくなってしまうという問題がある。 一方、 複数の電子線の全てあるいはその一部は、 光軸から離れた位置 を通るため、 クロスオーバ位置は、 像歪み、 倍率色収差、 回転色収差、 像面湾曲収差、 視野非点等の収差に影響を与え、 特に、 倍率色収差、 回 転色収差への影響は大きい。
本発明は、 上述のような点に鑑み、 レンズ特性に影響を及ぼさないよ うに、 対物レンズの近傍に形成されるクロスオーバ位置の調整を行うこ とができ、 それにより収差の補正を行うことができるようにすることも 目的としている。
以下、 本発明におけるクロスオーバ位置の調整につき説明する。 図 5 4は、 この調整の説明のための電子光学系の概略図であり、 実質 的には、 これまで説明してきた電子光学系と同じものである。
この電子光学系において、 第 1のマルチ開口板 3 - 1 1によって形成 される複数の一次電子線 2 0— 1 1のそれぞれは、 縮小レンズ 5— 1 1 によって縮小されて点 1 5— 1 1に投影される。 点 1 5— 1 1で合焦し た後、 対物レンズ 7— 1 1によって試料 Wに合焦される。 また、 複数の 一次電子線 2 0 _ 1 1は、 縮小レンズ 5— 1 1によって集束されて点 2 4一 1 1においてクロスオーバを形成する。 このクロスオーバ位置 2 4 _ 1 1は、 対物レンズ 7 — 1 1の近傍にあり、 より具体的には、 後述す る E X B分離器 6― 1 1と対物レンズ 7 - 1 1との間に位置する。 この Z方向の位置を調整することにより倍率と回転の色収差、 歪み、 ランデ イング角、 コマ収差のうち一つをほとんど 0にできる。
図 5 4において、 符号 1 7— 1 1は軸合わせ偏向器を示しており、 符 号 1 8— 1 1は軸対称電極を示している。 また、 複数の一次電子線 2 0 - 1 1を回転させることができる回転レンズ 2 2 - 1 1が、 電子線形成 装置の一部を構成するマルチ開口板 3— 1 1と分離装置としての E X B分離器 6— 1 1との間に設けられている。 より具体的に説明すると、 回転レンズ 2 2 _ 1 1は、 点 4一 1 1の近傍に設けられている。 回転レ ンズ 2 2— 1 1は、 回転レンズ 2 2— 1 1のコイルに流す励磁電流の強 さに応じて複数の一次電子線 2 0— 1 1を光軸の回りに回転させるこ とができる。
試料 Wは、 ステージ 6 0— 1 1に載置されている。ステージ 6 0— 1 1は、 図示しないステージ駆動装置により X方向 (図 54において左右 方向)、 Y方向(図 54の面に対して直交する方向)、及び Z軸線方向(図 54において上下方向) に移動できるようになっている。
参照番号 3 1— 1 1は、 レーザ発振器を示しており、 レーザ発振器 3 1一 1 1は、 レーザ光を発振する。 発振されたレーザ光は、 ハーフミラ 一 6 1 - 1 1により 2ビームに分割される。 ハーフミラ一 6 1— 1 1を 透過した一方のビームは、 ステージ 6 0— 1 1の X方向端部に設けられ た移動鏡 40— 1 1に到達し、 他方のビームは、 全反射ミラ一 6 2 - 1 1で反射されて、 対物レンズ 7 - 1 1に設けられた固定鏡 3 9 _ 1 1に 到達し、 各々反射される。 移動鏡 40— 1 1で反射されたビームは、 ハ 一フミラー 6 1 - 1 1を透過してレシーバー 6 3— 1 1に導かれ、 固定 鏡 3 9 _ 1 1で反射されたビームは、 全反射ミラ一 6 2 _ 1 1及びハー フミラ一 6 1— 1 1で再び反射されてレシーバ一 6 3— 1 1に導かれ る。 レシ一バ 6 3— 1 1には、 移動鏡 40 - 1 1及び固定鏡 39 - 1 1 からの反射ビームの干渉光が検出される。 検出信号は、 C PU3 2— 1 1に送信され、 C PU 3 2— 1 1によって、 移動鏡 40— 1 1及び固定 鏡 3 9— 1 1間の X方向及び Y方向の距離、 すなわち、 ステージ 6 0— 1 1の XY座標位置が検出される。 また、 ステージ 60— 1 1上には、 図示しないマーカが設けられており、 上記ステージ駆動装置によりステ ージ 6 0— 1 1を X方向及び Y方向に移動させ、 一次電子線 20 - 1 1 がマーカの表面を走査することができる位置までステージ 60— 1 1 を移動することができる。
'従って、 一次電子線 20一 1 1がマ一力上を走查することによって、 ビーム位置を検出することができる。 CPU 32— 1 1は、 電子銃 1― 1 1の力ソード 3 0— 1 1のカソード電源 2 5— 1 1と接続されてお り、 C PU 3 2— 1 1の制御によって、 カゾード 3 0— 1 1に印可する 電圧を、 数 1 0〜数 1 0 0 Vで周期的に変化させて、 この変化させたと きのビ一ム位置を CPU 32 - 1 1によって検出することにより、 ビー ムの移動を測定することができる。 すなわち、 力ソード 3 0— 1 1に印 可する電圧を、数 1 0〜数 1 0 0 Vで周期的に変化させて、試料 W上に おける複数の一次電子線 2 0— 1 1の放射方向又は光軸を中心とする 回転方向への移動を測定することができる。 この電圧を変えることはビ ームエネルギーを変化させることに対応する。 放射方向又は回転方向へ の移動が最小になることは、 倍率の色収差又は回転の色収差が最小にな ることを意味する。
そして、 この一次電子線 2 0 _ 1 1の移動が最小になるように、 C P U 3 2 - 1 1によって上記調整を行うことにより、 対物レンズ 7— 1 1 の近傍において複数の一次電子線 2 0— 1 1が形成するクロスオーバ 位置 2 4— 1 1の光軸方向の調整を行うことができる。 この結果、 倍率 の色収差又は回転の色収差を最小にするクロスオーバ 2 4 — 1 1の Z 方向位置が測定されたことになる。 この調整は、 対物レンズ 7 _ 1 1の 軸合わせを行った後に行うことが好ましい。 対物レンズ 7— 1 1の軸合 わせは、 偏向器 2 1 - 1 1に軸合わせ電源電圧を重畳させて行うことが できる。
前述したように、 従来においては、 アパーチャを用いてクロスオーバ 位置の調整を行っていたため、 電子光学レンズ特性に影響を与え、 その 特性が大幅に異なってしまうとい問題があつたが、 上記実施の形態によ れば、 力ソード 3 0— 1 1に印可する電圧を変化させて、試料 W上にお ける複数の一次電子線 2 0 - 1 1の上記移動が最小になるように調整 することにより、 対物レンズ 7 - 1 1の近傍において複数の一次電子線 2 0 - 1 1が形成するクロスオーバ位置 2 4 — 1 1の光軸方向の調整 を行っているため、 レンズ特性に影響を及ぼさないように上記調整を行 うことができる。
また、 上述のようにして、 対物レンズ 7— 1 1の近傍において複数の 一次電子線 2 0— 1 1が形成するクロスオーバ位置 2 4— 1 1の光軸 方向の調整を行うことにより、 像歪み、 倍率色収差、 回転色収差、 コマ 収差、 視野非点等の収差のうちのいずれか一つを補正することができる と共に、 ランディング角を補正することができる。 また、 前述の如く、 図 8等に示すような開口板を用いた場合には、 像歪み及び像面湾曲収差 は問題なく、 視野非点やランディング角も影響はないので、 倍率色収差 及び回転色収差を補正すればよい。 また、 この場合において、 対物レン ズ 7— 1 1として静電レンズを用いた場合には、 回転色収差は関係ない ため、 倍率色収差のみを補正すればよい。 また、 倍率色収差を補正する ことにより、 一次電子線 (マルチビーム) の数を多くすることができ、 ウェハやマスクなどの試料の評価を高スループットで行うことができ る。 ノイズの低減
本発明に係る電子線検査装置においては、 高い信頼性で検査を行うに はピクセル当たりの二次電子検出量を 4 0 0 0個 ピクセル程度とす ることが必要である。 従って、 電子ビームの強度を大きくする必要があ る。
電子銃は、 それが温度制限領域で動作している場合は電子線のショッ ト雑音が大きいが、 空間電荷制限領域で動作している場合は電子線のシ ョッ ト雑音が前者の場合の約 1 3 %程度に小さくなることが知られて いる。 従って、 信号の S Z N比を効率よく大きくするためには、 電子銃 を空間電荷制限領域で動作させることが好ましい。 その結果、 同じ Sノ N比を得るのに (0 . 1 3 ) 2 = 0 . 0 1 7位のピクセル当りの二次電 子検出数でよい事になる。
しかしながら、 電子銃を温度制限領域で動作させる場合には、 ゥエー ネルト電圧あるいは制御アノード電圧を変えることにより、 輝度やエミ ッション電流を変えることなく電子線のクロスオーバ一位置を任意の 位置に変更するように制御できる。 従って、 電子銃からの電子線の強度 が大きい放射方向を、 開口板の複数の孔の領域に容易に合わせることが 可能である。
一方、 電子銃を空間電荷制限領域で動作させる場合には、 ゥエーネル ト電圧あるいは制御ァノード電圧を変えると、 輝度やエミッション電流 が大きく変動するため、 クロスオーバーの垂直方向位置を制御すること が困難になる。 従って、 電子銃からの電子線の強度が大きい放射方向を, 開口板の小孔の領域に合わせることが困難となるという問題がある。 本発明では、 電子銃を空間電荷制限領域で動作させ、 電子線のショッ ト雑音.を小さくすることのできる電子線検査装置を提供する。
以下、 そのような電子線検査装置の実施例につき説明する。
図 5 5において、 電子線検査装置 1一 1 2が模式的に示されており、 この電子線検査装置 1一 1 2は、 前述の電子線検査装置とほぼ同一の構 成を有しており、 第一次光学系 1 0— 1 2と、 第二次光学系 30— 1 2 と、 検出装置 40— 1 2と、 制御装置 5 0— 1 2とを備えている。 第一 次光学系 1 0— 1 2は、 電子銃 1 1— 1 2と、 電子線を集束するコンデ ンサレンズ 1 2— 1 2、 1 3— 1 2と、 開口板 14一 1 2と、 軸合わせ 用の静電偏向器 1 5— 1 2 , 1 6— 1 2と、 ブランキング用のナイフエ ッジ 1 7— 1 2と、 開口板 14一 1 2を通過した電子ビームを縮小する 縮小レンズ 1 8— 1 2と、 静電偏向器 1 9一 1 2と、 EXB分離器 2 0 _ 1 2と、 対物レンズ 2 1 - 1 2とを備え、 それらは電子銃 1 1— 1 2 を最上部にして、 かつ電子銃から放出される電子線の光軸 Aが試料の表 面 Sに垂直になるように配置されている。 また、 電子銃の後方に軸合わ せ用の静電偏向器 2 3 - 1 2が及びコンデンサレンズ 1 2— 1 2と 1 3— 1 2との間に軸合わせ用の静電偏向器 24— 1 2、 2 5— 1 2が、 それぞれ配置されている。 電子銃 1 1— 1 2の力ソード 1 1 1一 1 2は、 複数の小突起が電子線の数だけ光軸 Aに対して同心状に配置された構造 である。 また、 電子銃 1 1— 1 2もウェーネルト電極 1 1 2— 1 2及び アノード 1 1 3— 1 2を備えており、 ウェーネルト電極 1 1 2— 1 2の バイアスをある程度深くすることにより、 電子銃を空間電荷制限領域内 で制御することができる。
第二次光学系 3 0— 1 2は、 EXB分離器 2 0 - 1 2の近くで光軸 A に対して傾斜している光軸 Bに沿って配置された 2つの静電拡大レンズ 3 1— 1 2、 3 2— 1 2と、 二次元的に配列された複数の小孔が形成さ れた開口板 3 3 - 1 2とを備えている。
検出装置 40— 1 2は、 検出器 4 1一 1 2と、 増幅器 42— 1 2と、 画像処理部 43 - 1 2とを備えている。 制御装置 50— 1 2は、 偏向器 制御部 5 1 _ 1 2と、 画像処理部 43― 1 2及び偏向器制御部 5 1一 1 2を制御するコンピュータ 5 2 _ 1 2とを備えている。
この電子線検査装置における動作は、 これまでの述べた装置と同じで ある。 電子銃 1 1— 1 2のゥエーネルト電極 1 1 2— 1 2のバイアスを ある程度深くすることにより、 電子銃 1 1一 1 2を空間電荷制限領域内 で制御することができる。
図 5 6には、 他の電子線検査装置 1 ' — 1 2が示されている。 図 5 6 において、 図 5 5に示された装置と同じ構成要素には同じ符号を付し、 対応はするが異なる構成を有するものについては同じ符号の上に 「'」 の記号を付して表す。
この実施例による電子線検査装置 1 ' 一 1 2も第一の実施例と同様に、 第一次光学系 1 0 ' — 1 2と、 第二次光学系 3 0— 1 2と、 検出装置 4 0— 1 2と、 制御装置 5 0— 1 2とを備えている。
第一次光学系 1 0 ' — 1 2は、 電子銃 1 1 ' 一 1 2と、 電子線を集束 するコンデンサレンズ 1 2— 1 2、 1 3— 1 2と、 複数の小孔 1 4 1一 1 2が形成された開口板 14 ' _ 1 2と、 軸合わせ用の静電偏向器 1 5 — 1 2, 1 6— 1 2と、 ブランキング用のナイフエッジ 1 7— 1 2と、 開口板 14 ' 一 1 2を通過した電子ビームを縮小する縮小レンズ 1 8— 1 2と、 静電偏向器 1 9— 1 2と、 E XB分離器 2 0— 1 2と、 対物レ ンズ 2 1— 1 2とを備え、 それらは電子銃 1 1一 1 2を最上部にして、 かつ電子銃から放出される電子線の光軸 Aが試料の表面 Sに垂直にな るように配置されている。 また、 第一の実施例と同様に、 第一次光学系 1 0 - 1 2には、 電子銃の後方に軸合わせ用の静電偏向器 23— 1 2が 及びコンデンサレンズ 1 2— 1 2と 1 3— 1 2との間に軸合わせ用の 静電偏向器 24— 1 2、 2 5— 1 2が、 それぞれ配置されている。
電子銃 1 1 ' — 1 2の力ソード 1 1 1 ' _ 1 2は、 複数の小突起が電 子線の数だけ光軸 Aに対して同心状に配置された構造である。 また、 電 子銃 1 1 ' 一 1 2もウェーネルト電極 1 1 2— 1 2及びアノード 1 1 3 - 1 2を備えており、 ウェーネルト電極 1 1 2— 1 2のバイアスをある 程度深くすることにより、 電子銃を空間電荷制限領域内で制御すること ができる。
本実施例においては、 開口板 14 ' — 1 2は、 コンデンサレンズ 1 2 - 1 2の後方であって、 コンデンサレンズ 1 2— 1 2により形成された クロスオーバ一 C 1の後方に配置されており、 開口板 1 4 ' — 1 2の後 方にコンデンサレンズ 1 3— 1 2が配置されている。
第二次光学系 3 0— 1 2、 検出装置 40 - 1 2及び制御装置 5 0— 1 2の構成及びそれらの配置は第一の実施例の場合と全く同様である。 上記構成において、 電子銃 1 1 ' 一 1 2から放出された複数の電子線 Cは、 アノード 1 1 2 _ 1 2の近傍にクロスオーバ一 C 1を形成し、 ク ロスオーバー C 1からあまり大きくない拡がり角度で発散する。 発散し た電子線は焦点距離の短いコンデンサレンズ 1 2— 1 2で集束され、 コ ンデンサレンズ 1 2— 1 2の近傍にクロスオーバー C 2を形成する。 開 口板 14' - 1 2はクロスオーバー C 2から十分離れた位置に配置され ている。 クロスオーバー C 2から発散した電子線 Cは、 開口板 14, ― 1 2を照射し、 開口板 14 ' — 1 2に形成された複数の小孔 14 1一 1 2を通過してマルチビームにされる。 これらマルチビームはコンデンサ レンズ 1 3— 1 2で集束されてクロスォ一バ一 C 3に結像する。 この位 置にはブランキング用のナイフエッジ 1 7— 1 2が配置されている。 複 数の小孔 1 4 1一 1 2を通過した電子ビームは縮小レンズ 1 8— 1 2 により縮小されて C 4に投影される。 C 4で合焦した後、 電子ビームは 試料 Sに向かって進み、 対物レンズ 2 1 - 1 2により試料 Sに結像する c 開口板 14 ' — 1 2により形成されたマルチビームは、 コンピュータ及 び偏向器制御部からの指令により走査用の静電偏向器 1 9一 1 2を介 して、 同時に試料 Sの面上を走查するように制御される。
それ以後の動作は図 5 5の装置の場合と同様である。 上記第一の実施例及び第二の実施例の双方において、 コンデンサレン ズ 1 2— 1 2の励起を変えて、 クロスオーバー C 2の垂直方向位置を図 5 6の点 C 5に示すような電子銃側の位置に移動させれば、 電子銃 1 1 一 1 2, 1 1 ' — 1 2からの電子線のうち強度が強い部分の放射方向位 置を、 開口板 1 4一 1 2, 1 4 ' _ 1 2の位置において外側 (矢印 D) へ拡げるように方向付けすることができる。 逆に、 クロスォ一パ一 C 2 の垂直方向位置をコンデンサレンズ 1 3— 1 2側の位置に移動させれ ば、 電子銃 1 1— 1 2, 1 1 ' — 1 2からの電子線のうち強度が強い部 分の放射方向位置を、 開口板 14— 1 2, 1 4 ' 一 1 2の位置において 内側へ狭めるように方向付けすることができる。 このように電子線の強 度が強い部分の放射方向位置を、 開口板 14— 1 2, 1 4 ' 一 1 2の小 孔の領域に合わせるように調整できる。 これらの調整は電子銃 1 1 ' 一 1 2の条件を変更することなく、 コンデンサレンズ 1 2— 1 2の励起の みを変えることにより容易に行われる。 従って、 電子銃を空間電荷制限 領域で任意の条件で動作させることができ、 電子線が発生させるショッ ト雑音を、 温度制限領域で動作させた場合と比較して 1. 8 %程度に大 幅に小さくすることができる。
上記の通り、 図 5 5及び図 56に示した電子線検査装置においては、 (1) 電子銃を空間電荷制限領域で動作することができるので、 電子線 のショット雑音を大幅に小さくすることができ、 それにより、 二次電子 の雑音も小さくすることができる。 異常ド一ス
上述のマルチビーム検査装置では、 スループット向上のために単にス テ一ジの移動速度を速くすると、 試料に照射される電子ビームの総電流 量 (以下 「ドーズ」 と呼ぶ) が低下し、 試料画像が劣化するため、 ステ ージの移動速度を速くした分だけ、 電子銃から出射される電子ビームの 電流量を増加させる必要がある。
従って、 従来のマルチビーム検査装置における高速検査は、 ステージ を連続的に高速移動させながら、 かつ大電流ビームを試料面上に連続照 射させることにより行われている。
しかしながら、 上記のような態様で高速検査を行っても、 検査途中で 何らかの原因でステージが止まったり移動速度が遅くなつたりするこ とはあり得る。
このようなステージの停止や低速化の事態が高速検査中に発生する と、 大電流ビームが試料面の同一箇所又はその近傍に当たり続け、 ドー ズが急激に増大する。 試料にとって許容できるドーズには限界があり、 このドーズの許容範囲を越えるまで電子ビームの照射が続くと、 試料に コン夕ミネ一シヨンやチャージアップが生じたり、 最悪の場合には試料 が破壊される場合もある。
本発明では、 このような点に鑑み、 試料と電子ビームとを相対移動さ せながら、 試料に対して電子ビームを照射するに当たり、 上記相対移動 の停止や低速化によるドーズの急激な増大を防止し、 試料を保護できる マルチビーム検査装置を提供する。
以下図面を参照して本発明の実施例を説明する。
図 5 7は、 マルチビーム検査装置にドーズ制御機能を組み込んだ場合 を例示的に示している。
このマルチビ一ム検査装置 1 _ 1 3は、 実質的には前述の電子線検査 装置と同じ構成とされているが、 ステージを停止させた状態で試料画像 を取得する動作モード (以下 「観察モード」 と呼ぶ) と、 ステ一ジを移 動させながら高速で試料画像を取得する動作モ一ド(以下「検査モード J と呼ぶ) とを切り替え可能に構成されている。 このマルチビーム検査装 '置 1一 1 3は、 上記検査モードにおける動作が何らかの原因で異常状態 となったときに、 ステージ上の試料を保護する機構 (以下 「試料保護機 構」 と呼ぶ) が設けられていることを特徴とする。
'このマルチビーム検査装置 1一 1 3は、 前述のマルチビーム検査装置 と同様に一次光学系 1 0— 1 3と、 二次光学系 3 0 _ 1 3と、 検出装置 4 0— 1 3と、 チャンバ一 (図示されていない) とを備えている。 一次光学系 1 0— 1 3は、 最上部に電子銃 1 1一 1 3が配置されてい る。 電子銃 1 1— 1 3は、 陰極から放出された熱電子を加速すると共に 集束し、 電子線として出射するものである。 この電子銃 1 1一 1 3の陰 極には複数の電子線を取り出すことができるランタンへキサポライ 卜 (L a B6) が 1 1 a— 1 3で示されているように加工されている。 また、 電子銃 1 1— 1 3には電子銃 1 1一 1 3の加速電圧 V a cを制 御すると共に、 電子銃 1 1一 1 3の電源をオン ·オフ制御する電子銃制 御ュニット 2 0 _ 1 3が接続されている。 さらに、 電子銃 1 1一 1 3の 位置調整などを行うガンァライメン卜機構 (図示されていない) やガン ァライナ (図示されていない) も設けられている。
また、 電子銃 1 1一 1 3から出射される電子線の光軸 A上には、 2段 構成の静電レンズ 1 2— 1 3, 1 5— 1 3と、 マルチ開口板 1 3— 1 3 と、 一次偏向器 1 6 _ 1 3とが配置されている。 マルチ開口板 1 3— 1 3には、 直線状に並べられた複数の小孔が形成されており、 電子銃 1 1 一 1 3から出射された電子線を複数の電子ビーム (以下 「一次ビーム」 と呼ぶ) に形成する。
第一次光学系 1 0— 1 3の各静電レンズ 1 2— 1 3, 1 5— 1 3は、 回転軸対照の 3極又は 2極の静電レンズ (又は電磁レンズ) である。 各 静電レンズ 1 2— 1 3, 1 5— 1 3のレンズ電圧を最適化することによ つて、 出射電子を損失することなく一次ビームの寸法を任意の寸法に整 形することができる。 各静電レンズのレンズ電圧は、 第一次光学系 1 0 一 1 3に接続された第一次光学系制御ュニット 2 1— 1 3によって制 御される。
また、 一次偏向器 1 6— 1 3は静電偏向器または電磁偏向器で構成さ れる。 例えば、 一次偏向器 1 6 - 1 3が 8つの電極からなる静電偏向器 の場合、 X軸に沿って対向する電極への印加電圧を変化させることで、 一次ビームの軌道を X方向に偏向することができる。 また、 Y軸に沿つ て対向する電極への印加電圧を変化させることで、 一次ビームの軌道を
Y方向に偏向することができる。 一次偏向器 1 6— 1 3の各電極への印加電圧は、 一次偏向器 1 6— 1 3に接続された一次偏向器制御ュニッ ト 2 2— 1 3によって制御され る。
なお、 電子銃制御ュニット 20— 1 3, 第一次光学系制御ュニット 2 1— 1 3、 及び一次偏向器制御ュニット 2 2— 1 3は、 ホストコンピュ 一夕 2 3— 1 3に接続されている。
試料 Lを載置すると共に XY方向に移動可能なステージ 8 0— 1 3が 設置されている。 このステージ 80 _ 1 3には、 後述する所定のリタ一 ディング電圧 Vrが印加されている。
また、 ステージ 8 0— 1 3にはステ一ジ制御ュニット 24— 1 3が接 続されている。 このステージ制御ユニット 24 _ 1 3は、 ステージ 8 0 - 1 3を XY方向に駆動すると共に、 レーザ干渉計(図示されていない) を用いてステージ 8 0— 1 3の XY位置を読み取り (データレートは例 えば 1 0 H z )、 XY位置信号をホストコンピュータ 2 3— 1 3に出力 する。 また、 ステージ制御ユニット 24— 1 3は読み取った XY位置に 基づいてステージ 8 0 - 1 3の移動速度を検出し、 速度信号をホストコ ンピュー夕 2 3— 1 3に出力する。
第二次光学系 3 0— 1 3の内部には、 第二次光学系 3 0— 1 3の光軸 Bに沿って静電対物レンズ 3 1— 1 3、 E XB分離器 32— 13、 第 2 の静電レンズ 3 3— 1 3、 及び第 3の静電レンズ 34 - 1 3が配置され ている。
静電対物レンズ 3 1— 1 3は、 例えば 3枚の電極で構成されていて、 静電対物レンズ 3 1— 1 3の下 (即ち、 試料 L側) から 1番目の電極と 2番目の電極 (いずれも図示されていない) とに所定の電圧が印加され、 3番目の電極 (図示されていない) はゼロ電位に設定される。 このよう な静電対物レンズの構成は当業者には公知のものである。
E XB分離器 3 2— 1 3は、 電磁プリズムとして作用する偏向器であ り、 ウィーン条件 (E = v B、 ここで、 Vは荷電粒子の速度、 Eは電界, Bは磁界を表し、 E丄 Bである) を満たす荷電粒子 (例えば一次ビーム) のみを直進させ、 それ以外の荷電粒子 (例えば二次電子) の軌道を曲げ ることができる。
第 2の静電レンズ 3 3— 1 3及び第 3の静電レンズ 3 4— 1 3は全 てュニポテンシャルレンズまたはァインツェルレンズと呼ばれる回転 軸対称型のレンズであり、 それぞれ 3枚の電極で構成されている。 各静 電レンズは通常、 外側の 2つの電極をゼロ電位とし、 中央の電極に印加 する電圧を変えることでレンズ作用が制御される。
上記の静電対物レンズ 3 1— 1 3、 第 2の静電レンズ 3 3 - 1 3, 第 3の静電レンズ 34- 1 3の各レンズ電圧、 及び EXB分離器 3 2一 1 3に印加する電磁界は、 第二次光学系 30— 1 3に接続された第二次光 学系制御ュニット 2 5— 1 3によって制御される。
検出装置 40— 1 3はマルチ開口板 4 1一 1 3及び検出器 42 - 1 3を備えている。 マルチ開口板 4 1 - 1 3は第 3め静電レンズ 34— 1 3の像面に配置され、 隣接する一次ビームからの二次電子が混入しない ように制限する。 また、 マルチ開口板 41一 1 3には、 マルチ開口板 1 3 3の小孔の数及び配列に合わせた状態で直線状に並べられた、 複数の 小孔が形成されている。
検出器 42— 1 3は、 電子を光に変換する蛍光体と、 光を電気信号に 変換する PMT (ホトマルチプライヤチューブ) とを備えている。 マル チ開口板 41 - 1 3と検出器 42 - 1 3の間には、 高電界が印加されて いるので、 マルチ開口板 4 1 - 1 3の小孔の近傍には凸レンズ作用があ り、 小孔に近づいた二次電子は全てこれらの小孔を通過する。
各検出器 42 - 1 3は画像処理ュニット 43— 1 3に接続されている。 なお、 第二次光学系制御ュニット 2 5— 1 3及び画像処理ュニット 4 3— 1 3は、 ホストコンピュータ 2 3— 1 3に接続されている。 また、 ホストコンピュータ 2 3 - 1 3には CRT 2 6 - 1 3が接続されてい る。
次に上記構成のマルチビーム検査装置 1— 1 3における一次ビーム 及び二次電子の軌道等について順に説明する。 [一次ビーム]
一次ビームは、 電子銃 1 1 一 1 3の加速電圧 V a cに応じた電流量で 出射される。 以下、 電子銃 1 1 一 1 3から出射される一次ビームの電流 量を 「電子銃電流量 I a」 と呼ぶ。 電子銃 1 1 一 1 3からの一次ビーム は第一次光学系 1 0— 1 3のレンズ作用を受けながら通過して一次偏 向器 1 6— 1 3に達する。 一次偏向器 1 6— 1 3の電極に電圧が印加さ れないとき、 一次偏向器 1 6— 1 3の偏向作用は一次ビームに及ばない ので、 一次ビームは一次偏向器 1 6— 1 3を通過し、 E X B分離器 3 2 一 1 3の中心部に入射する。 次に、 一次ビームは静電対物レンズ 3 1 _ 1 3を介してマルチビーム状態で試料 Lに照射される。
ところで、 試料 Lに照射される一次ビームの電流量 (以下 「照射電流 量 I b」 と呼ぶ) は、 上記電子銃電流量 I aに比べてはるかに少なくな つている。 しかし、 照射電流量 I bと電子銃電流量 I aとの対応関係は 既知であり、 また、 電子銃電流量 I aと電子銃 1 1— 1 3の加速電圧 V a cとの対応関係も既知であるため、 照射電流量 I bと加速電圧 V a c との関係も既知となる。
従って, 電子銃制御ュニット 2 0— 1 3では、 電子銃 1 1一 1 3の加 速電圧 V a cを制御することにより、 一次ビームの照射電流量 I bを所 望の値に設定することができる。 照射電流量 I bの設定値に関する情報 は、 電子銃制御ュニット 2 0— 1 3からホストコンピュータ 2 3— 1 3 に対して出力される。
因みに、 照射電流量 l bは、 表 1に示されるように、 後述する観察モ ―ド時と検査モード時とで異なる値に設定される。
【表 1】
検査モード 一次ビームの照射電流量 I b (nA) 6 2 . 5 2 5 0 一方、 試料 Lにおける一次ビームの照射領域の形状は、 第一次光学系 1 0— 1 3のレンズ電圧を制御することにより、 任意の寸法に調整され る。 試料 Lに対して照射電流量 I bにより各一次ビーム間で均一に照射 することができる。
ここで、 ステージ 8 0— 1 3を停止させている場合 (例えば、 観察モ ード) のドーズ D oは、 各一次ビームの合計面積 S、 一次ビームの照射 時間 Tとすると、 次式 ( 1) で表される。 つまり ドーズ D oは、 照射電 流量 I bと照射時間 Tとに比例して増大する。
D o oc l b XT/S し)' ( 1 )
また、ステージ 8。0 - 1 3を移動させている場合(例えば検査モード) のドーズ Dvは、 ステージ 8 0— 1 3の移動速度 (V≠ 0 ) を用いると、 次式 (2) で表される。 つまり、 ドーズ Dvは、 照射電流量 l bに比例 し、 ステージの移動速度 Vに逆比例して増大する。
D V oc I b/V/S ( 2 )
しかし、 試料 Lにとつて許容できるドーズには限界があり、 このドー ズの許容範囲を越えるまで一次ビームが照射されると、 試料 Lにコンタ ミネ一ションゃチャージアップが生じたり、 最悪の場合には試料 Lが破 壊することもある。 このため、 試料 Lのドーズの許容範囲に関するデー 夕.が、 試料 Lの種類ごとに予め定められ、 ホス卜コンピュータ 2 3— 1 3の記憶部に格納されている。 このドーズの許容範囲に関するデ一夕は、 後述する試料保護機構で利用される。
なお、 一次ビームの照射領域の XY位置は、 一次偏向器 1 6— 1 3の 印加電圧を制御して一次ビームの軌道を偏向させることにより、 試料 上を移動させることができる。
[二次ビーム]
試料 Lに一次ビームが照射されると、 その照射領域内の試料 Lから二 次電子、 反射電子、 又は後方散乱電子のうち、 少なくとも 1種からなる 電子ビーム (以下 「二次ビーム」 ど呼ぶ) が発生する。 この二次ビーム は、 照射領域の二次元画像情報を有する。 なお、 上記のように一次ビー ムが試料面に対して垂直に照射されるので、'二次電子は影のない鮮明な 像を有することになる。
ここで、 試料 Lを載置するステージ 8 0— 1 3にはリタ一ディング電 圧 V rが印加されているため、 試料 Lと静電対物レンズ 3 1— 1 3の電 極との間には、 二次ビ一ムに対して加速電界が形成される。 従って、 試 料 Lから発生した二次ビームは静電対物レンズ 3 1 - 1 3に向けて加 速される。
そして、 二次ビームは静電対物レンズ 3 1 - 1 3によって集束作用を 受け、 EXB分離器 3 2— 1 3の偏向作用を受けて偏向され、 第 2の静 電レンズ 3 3— 1 3を介してマルチ開口板 4 1— 1 3の各小孔に結像 する。 この場合、 一次ビームにより試料面で放出された二次ビームはマ ルチ開口板 1 3— 1 3の各小孔に対応するマルチ開口板 4一 1 3の各 小孔に結像する。
試料 Lから発生した二次ビームの結像を、 静電対物レンズ 3 1 - 1 3 と第 2の静電レンズ 3 3— 1 3とで協同して行うことにより、 レンズ収 差の発生を抑制することができる。
マルチ開口板 4 1 - 1 3の小孔に結像したマルチビームの二次元像 は、 検出器 42 - 1 3の蛍光板で光に変換されたのち、 PMTで電気信 号に変換される。
ここで、 本実施例と請求項との対応関係を示しておく。 請求項に記載 の 「移動装置」 はステージ 8 0— 1 3, ステージ制御ュニット 24— 1 3に対応し、 「測定装置」 は電子銃制御ユニット 2 0— 1 3, ステージ 制御ュニッ ト 24— 1 3, ホストコンピュータ 2 3— 1 3に対応し、 「判断装置」 はホストコンピュータ 2 3— 1 3に対応し、 「制御装置」 は一次偏向器 1 6— 1 3, 次偏向器制御ュニット 2 2— 1 3, ホスト コンピュータ 23— 1 3に対応に対応する。
次に、 上記のように構成されたマルチビーム検査装置 1一 1 3の動作 について説明する。 マルチビ一ム検査装置 1一 1 3の動作には、 ステー ジ 8 0— 1 3を停止された状態で試料 Lの画像を取得する観察モード と、 ステージ 80 - 1 3を移動させながら高速に試料 Lの画像を取得す る検査モードとがある。 いずれのモードにおいても、 マルチビ一ム検査 装置 1では試料 Lにおけるサイズが各マルチビームで 0. 1ミクロンと なるように調整されている。
まず、 観察モードについて説明する。
観察モードにおいて、 ステージ制御ユニット 24— 1 3は、 ステージ
8 0— 1 3を XY方向に駆動し、 試料 Lの中の観察したい領域 (例えば 欠陥個所を含む領域) を一次ビームの照射領域内に位置決めする。 位置 決め後、 ステージ 8 0— 1 3は停止される。
また、 電子銃制御ュニット 2 0 _ 1 3は、 電子銃 1 1— 1 3の加速電 圧 V a cを制御し、 一次ビームの照射電流量 I bを 6 2. 5 n A (表 1 参照) に設定する。
さらに、 画像処理ュニット 43— 1 3からの信号は、 ホストコンピュ
—タ 2 3— 1 3からの観察用夕イミング信号に基づいて、 順次転送され る。
この観察モードによれば、 試料 Lの中の観察したい領域 (例えば欠陥 箇所を含む領域) の画像を常時 CRT 2 6 - 1 3に表示させることがで さる。
また、 この観察モードによれば、 所定のテストパターンを撮像するこ とで、 第一次光学系 1 0— 1 3や第二次光学系 3 0— 1 3のフォーカス 調整、 収差調整、 検出器 42 - 1 3における輝度調整等の装置調整を行 うこともできる。
次に、 検査モードにおける試料画像の取得動作について説明する。 検査モードにおいて、 電子銃制御ュニット 2 0— 1 3は電子銃 1 1一 1 3の加速電圧 V a cを制御し、 一次ビームの照射電流量 I bを 62. 5 n A (表 1参照) に設定する。
また、 画像処理ュニット 4 3— 1 3は、 ホストコンビユタ 23— 1 3 からの観察用タイミング信号に基づいて駆動パルスを供給する。 その結 果一次偏向器 1 6— 1 3走査信号と検出器 42 - 1 3の強度信号から S EM画像が形成される。
この検査モードによれば、 ステージ 8 0— 1 3を高速移動させながら 試料画像の撮像動作を実行するので、 試料 Lの比較的広い領域又は全体 から連続的にかつ短時間で試料画像を取り込むことができる。
なお、 検査モードでの試料画像の取得が完了すると、 ホストコンピュ 一夕 2 3— 1 3は、 画像情報に対してテンプレートマッチング等を実行 することで、 試料 Lの欠陥箇所を特定することができる。
このような検査モードでは、 検査速度を速くするため、 ステージ 8 0 一 1 3の移動速度を速くすると共に、 一次偏向器 1 6— 1 3への走査信 号も速く設定しており、 移動速度及び転送レートを速くした分だけ、 一 次ビームの照射電流量 I bも強く設定している。 すなわち、 上記検査モ ードは、 ステージ 8 0 _ 1 3を連続的に高速移動させながら、 かつ大電 流ビ一ムを試料に連続照射させることにより、 高速検査を行うものであ る。
従って、 上記高速検査中に、 何らかの原因でステージが停止したり、 移動速度が遅くなつたりすると、 大電流ビームが試料の同一箇所又はそ の近傍に当たり続け、 ドーズが急激に増大する (前述の数式 ( 1) 及び (2) 参照)。
しかし、 試料 Lにとつて許容できるドーズには限界があり、 このドー ズの許容範囲を超えるまで一次ビームが照射されると、 試料 Lにコンタ ミネーシヨンやチャージアップが生じたり、 最悪の場合には、 試料しが 破壊する可能性もある。
そこで、 本実施例のマルチビーム検査装置には、 以下で説明するよう な、 試料 Lを保護するための試料保護機構が組み込まれている。
図 5 8 (a), 図 5 8 (b) には、 上記試料保護機構の一つの実施例 におけるフローチャートが示されている。 図 5 8 (a), 図 5 8 (b) において、 ホストコンピュータ 2 3— 1 3は、 外部から入力される検査 モードの指令を受け取ると (S 1 0)、 試料の試料 Lに関するドーズの 許容範囲データを記憶部から取得する (S l l)。 次いでホストコンピュータ 2 3— 1 3は、 ステージ制御ュニット 2 4 一 1 3から入力されるステージ 8 0— 1 3の移動速度 Vに関する信号 を取得し、 この移動速度 Vと一次ビームの照射電流量 I bと照射領域の 面積 Sとに基づいて (式 (2 ) 参照)、 試料 Lへの実際のドーズ D Vを 算出する (S 1 2 )。
そして、 ホストコンピュータ 2 3— 1 3は、 S 1 1で取得したドーズ の許容データと、 S 1 2で算出した実際のドーズ D vとを比較し (S 1 3 )、 実際のドーズ D Vの方が小さければ、 S 1 2に戻って処理を繰り 返す。 従って、 実際のドーズ D Vが許容データよりも小さい限り、 上述 の検査モードにおける試料画像の取得動作が連続して行われる。
一方、 ホストコンピュータ 2 3— 1 3は、 S 1 2で算出した実際のド —ズ D Vが許容範囲データよりも大きくなると、 現在行われている検査 モ一ドが異常であると判断し、 一次偏向器制御ュニット 2 2— 1 3に対 して異常通知を出力する (S 1 4 )。
一次偏向器制御ユニット 2 2— 1 3は、 ホストコンピュータ 2 3— 1 3からの異常通知を受け取ると (S 2 1 )、 一次偏向器 1 6 _ 1 3に対 してブランキング電圧を印加し、 一次ビームの軌道を大きく偏向させる ( S 2 2 )、 即ち、 ブランキングする。 その結果、 試料 Lに大電流の一 次ビームが照射されない状態となる。 従って、 試料 Lにコン夕ミネーシ ヨンやチャージアップが生じたり、 試料が破壊するといつた最悪の事態 を回避できる。
なお、 上記の実施例では、 ホストコンピュ一夕 2 3— 1 3からの異常 通知を一次偏向器制御ュニット 2 2— 1 3に出力し、 一次偏向器 1 6— 1 3により一次ビームをブランキングする例を説明したが、 本発明はこ の構成に限定されるものではない。
例えば、 ホストコンピュータ 2 3— 1 3からの異常通知を電子銃制御 ユニット 2 0— 1 3に出力し、 電子銃 1 1の電源をオフにすることによ つて電子銃 1 1一 1 3の電子放出を停止させても良い。
また、 一次ビームの軌道上に、 一次偏向器 1 6— 1 3以外の偏向器が 配置されている場合には、 これら他の偏向器を用いて上記と同様のブラ ンキング制御を行っても良い。
更に、 上記の試料保護機構の実施例では、 ホストコンピュータ 2 3— 1 3からの異常通知によって一次ビームを完全に遮断し、 一次ビームが 試料 Lに照射されない状態とする例を示したが、 一次ビームの照射を制 御し、一次ビームの電流密度(=照射電流量 I b Z (照射領域の面積 S ) ) を下げることでも、 ドーズの急激な増大を防止できる。
具体的には、 一次偏向器 1 6— 1 3を用いて一次ビームの軌道を高速 かつ広範囲で偏向させ、 試料上での照射領域が同じ箇所に留まらないよ うにする方法がある。
また、 第一次光学系 1 0— 1 3を用いて一次ビームの断面を拡大する ことにより、 照射領域の面積 Sを広げる方法でも、 一次ビームの電流密 度を下げ、 ドーズの急激な増大を防止できる。
更に、 電子銃 1 1— 1 3の加速電圧 V a cを制御して一次ビームの出 射電流量 I aを下げる方法でも、 同様に、 ドーズの増大を防止できる。
また、 上述の実施例では、 ステージ制御ユニット 2 4— 1 3によって 検出されたステージ 8 0 - 1 3の移動速度に基づいて、 実際のドーズ D Vを算出する例を説明したが、 本発明はこの構成に限定されない。
例えば、 試料 Lのドーズが増大すると試料から発生する二次ビームの 量も増大するので、 二次ビームの発生量を検出すると共に、 二次ビーム の発生量と試料 Lのドーズとの対応関係を利用することによって、 実際 のド一ズ D Vを検知することができる。
ちなみに、 二次ビームの発生量の測定は、 検出器 4 2 - 1 3の出力を 時々モニタ一する事によって測定することもできる。
更に、 試料 Lのドーズが増大すると試料画像が明るくなりコントラス ト比が低下するため、 試料画像のコントラスト比を検出すると共に、 こ のコントラスト比と試料 のドーズとの対応関係を利用することによ つて、 実際のドーズ D Vを検知することもできる。
ちなみに、 試料画像のコントラスト比の検出は、 画像処理ユニット 4 3 - 1 3内のメモリに格納された各受光画素の濃度値の平均値と、 予め 定められた濃度値のしきい値との比較により可能となる。
また、 上述の実施例では、 ホストコンピュータ 2 3— 1 3の記憶部に、 試料 Lのドーズの許容範囲データを格納しておき、 この許容範囲データ と実際のドーズ D vとを比較することにより検査モードが異常か否か を判断する例を説明したが、 本発明はこの構成に限定されない。
例えば、 試料のドーズの許容範囲データに基づいて、 予め、 ステージ
8 0 - 1 3の移動速度の許容範囲データを算出しておき、 この移動速度 の許容範囲デ一夕をホストコンピュータ 2 3— 1 3の記憶部に格納し てもよい。 この場合、 ステージ 8 0 _ 1 3の移動速度の許容範囲データ と、 実際のステージ 8 0— 1 3の移動速度とを比較することで、 検查モ
—ドの異常を判断することができる。
同様に、 試料 Lのドーズの許容データに基づいて、 予め、 二次ビーム の発生量の許容範囲データを算出しておき、 この二次ビームの発生量の 許容範囲データをホストコンピュータ 2 3— 1 3の記憶部に格納して もよい。 この場合、 二次ビームの発生量の許容範囲データと、 実際の二 次ビームの発生量とを比較することで、 検査モードの異常を判断するこ とができる。
更に、 試料 Lのドーズの許容範囲に基づいて、 予め、 画像情報のコン トラスト比の許容範囲データを算出しておき、 このコントラスト比の許 容範囲データをホストコンピュータ 2 3— 1 3の記憶部に格納しても よい。 この場合、 画像情報のコントラスト比の許容範囲データと、 実際 の画像情報のコントラスト比とを比較することで、 検査モードの異常を 判断することができる。
また、 上述の実施例では、 検査モードにおける試料画像の取得中に一 次ビームを偏向させない (照射領域を移動させない) 例を説明したが、 一次ビームを偏向させながら (照射領域を移動させながら) 試料画像を 取得する場合にも、 本発明は適用可能である。
以上のように、 本発明は、 単一ビームの S E Mを含め、 一次ビームと 試料とを相対移動させながら試料画像を取得する構成のものであれば、 いずれにも適用できる。
以上から分かるように、 上記の電子線検査装置によれば、 試料と電子 ビームとを相対移動させながら、 試料に対して電子ビームを照射するに 当たり、 上記相対移動の停止や低速化によるドーズの急激な増大を防止 し、 試料を保護することができるので、 大電流ビームを用いた高速処理 (特に高速検査) に対する信頼性を向上させることができる。 偏向器、 ウイーンフィルタ等の制御素子
前述のごとく電子線検査装置においては、 その電子光学系を形成する エレメントとして、 静電偏向器、 静電レンズ、 ウィーンフィル夕などが ある。
図 6 1は、 従来の静電偏向器 1 0 0— 14の平面図であり、 図 6 2の (a)、 (b) はそれぞれ、 図 6 1の A— A断面及び B— B断面を示して いる。 静電偏向器 1 0 0— 14は 8極の金属電極 1 0 1— 14が各々、 絶縁外筒 1 0 2— 14の内側に固定用ねじ 1 0 3— 14、 1 04— 14 で取り付けられたものである (図 6 1、 図 6 2 (a))。 また、 各金属電 極 1 0 1 _ 1 4への電圧印加用配線 1 0 5— 1 4は配線用ねじ 1 0 6 一 1 4 (図 6 2 (b)) を用いて金属電極 1 0 1 _ 1 4に直接固定され る。
この静電偏向器 1 0 0— 14において、 各金属電極 1 0 1— 1 4の内 側面 1 0 1 a— 1 4に囲まれた空間 1 07— 14には、 各金属電極 1 0 1一 14への印加電圧に応じた静電界が形成される。 したがって、 空間 1 0 7 - 14を中心軸 Zに沿って通過する荷電粒子線は、 空間 1 0 7— 14に形成された静電界に応じて偏向される。
ここで、 隣り合う金属電極 1 0 1— 14間の隙間部分 1 08 _ 14は 一直線の形状ではなく、 2度折れ曲がった後に絶縁外筒 1 02— 14に 到達する形状になっている。 これは、 空間 1 0 7— 1 4を通過する荷電 粒子線から絶縁外筒 1 0 2— 1 4の露出部分 1 0 9— 1 4が直視でき ないようにするためである。 この構造により、 絶縁外筒 1 0 2— 1 4の 帯電が防止され、 空間 1 0 7— 1 4内の静電界を各金属電極 1 0 1— 1 4への印加電圧に応じて精密に制御することができる。
しかしながら、 上記の静電偏向器 1 0 1 _ 1 4は構造が複雑で部品点 数が多く、 低コスト化や小型化が難しいという課題があった。 また、 静 電偏向器 1 0 0— 1 4を構成する 8極の金属電極 1 0 1— 1 4は、 金属 製の円筒を絶縁外筒 1 0 2— 1 4の内側にねじ止めした後に分割した ものであるため、 その分角精度を高めることが困難であった。 更に、 金 属電極 1 0 1— 1 4の内側面 1 0 1 a— 1 4に囲まれた空間 1 0 7— 1 4の真円度を高めることも困難であった。
そこで、 近年、 上記の金属電極 1 0 1— 1 4の代わりに、 メツキなど の表面処理によって絶縁体上に形成された膜状の電極 (メツキ電極) を 用いることが提案された。 メツキ電極を用いた静電偏向器では、 電極ね じ止め固定が不要であり、 部品点数の削減及び小型化が図られる。
しかし、 メツキ電極を用いた静電偏向器において、 上記した従来の静 電偏向器 1 0 0— 1 4と同様、 電圧印加用配線をメツキ電極にねじ止め で直接固定しょうとすると、 メツキ電極の表面に穴が開いてしまう可能 性がある。 メツキ電極に穴が開いていると、 荷電粒子線が通過する空間 内の静電界分布に歪みが生じてしまい、 荷電粒子線に対する偏向制御を 高精度に行うことができなくなる。
このため、 静電偏向器のなかには、 メツキ電極が形成された絶縁体の 支持部をメツキ電極と共に絶縁外筒の端部から突出させ、 この突出部分 に電界印加用配線を接続させることにより、 メッキ電極の表面に穴が開 かないようにしているものがある。 しかし、 この配線構造は複雑であり、 また、 突出部分に接続した電圧印加用配線の被覆 (絶縁体) が、 隣り合 ぅメッキ電極間 隙間から見えてしまう可能性もあった。
なお、 その他の荷電粒子線制御素子 (静電レンズなど) においても、 上述した静電偏向器と同様、 メツキ電極を用いて構成することが望まれ ている。 この場合でも、 メツキ電極に対する電界印加用配線をどのよう に接続させるかの工夫が望まれる。
この発明は上記の点に鑑み、 メツキなどの表面処理によって絶縁体上 に形成された電極の表面を高精度に保ちつつ、 この電極に対して簡素な 構成で電圧印加用配線を接続可能とする荷電粒子線制御素子すなわち 電子レンズや偏向器を提供する。
図 5 9は、 偏向器やウィーンフィルタとして使用される、 本発明に係 る荷電粒子線制御素子の一つの実施の形態を概略的に示す上面図であ り、 図 6 0は、 荷電粒子線制御素子の縦断面図である。 図 5 9及び図 6 0において、 荷電粒子線制御素子は絶縁物製の基体部 1 一 1 4を有する ( 基体部 1 一 1 4は軸 Aを中心とする筒状であり、 外側面 2— 1 4、 端面 3— 1 4、 3 ' — 1 4及び内側面 4— 1 4を形成する貫通穴 5 _ 1 4で 定義される構造を有する。 使用時に、 軸 Aは光軸と一致され、 貫通穴 5 一 1 4は軸 Aと同軸である。 筒状の基体部 1 一 1 4には、 電極を分離す る溝 6— 1 4が軸 Aに平行な方向に且つ放射状に形成される。 図に示す ように、 それぞれの溝 6— 1 4は同一の折れ曲がった形状であり、 各溝 6 - 1 4の終端に円形の貫通穴 7 - 1 4が形成される。 更に、 図 6 0に 示すように、 荷電粒子線制御素子 1 一 1 4の上下に、 即ち、 対向する端 面 3— 1 4、 3 ' 一 1 4の近くに、 貫通穴 5— 1 4と同径の貫通穴を有 するシールド用の導体円板 2 1 一 1 4がそれぞれ設置される。
こうした構造において、内側面 4一 1 4と対向する端面 3— 1 4、 3 ' 一 1 4は、 絶縁のための非コーティング面 1 0— 1 4を除き、 金属コー ティングが施される。 具体的には、 内側面 4 _ 1 4には、 斜線で示すよ うに、 溝 6 — 1 4によって互いに切り離された複数の電極 8— 1 4が形 成され、 各端面 3— 1 4、 3 ' 一 1 4にも、 電極 8— 1 4と電気的に接 続された複数の導体部 9一 1 4が形成される。 更に、 内側面 4一 1 4に 形成された電極 8— 1 4から貫通穴 7 _ 1 4に到る溝 6— 1, 4の内面 にも、 斜線で示すように、 金属がコーティングされる。 但し、 貫通穴 7 一 1 4の内面と、 貫通穴 7— 1 4から外側面 2— 1 4に到る端面 1 0— 1 4は金属コーティングされない。 こうして、 それぞれの溝 6— 1 4と 非コ一ティング面 1 0— 1 4とによって電気的に分離され、 かつ、 相互 に電気的に接続された電極 8 - 1 4及び導体部 9 — 1 4からなる複数 の導電部分が形成される。 図 5 9に示す実施の形態においては、 溝 6— 1 4は 8個形成され、 したがって、 相互に電気的に接続された電極 8— 1 4及び導体部 9 一 1 4も 8個形成される。
なお、 必要であれば、 外側面 2— 1 4の一部分にも、 導体部 9 一 1 4 と電気的に接続された導体部を形成するようにしてもよい。
それぞれの電極 8 _ 1 4に対する配線 1 1 一 1 4としては細線が用 いられ、 該細線は外側面 2— 1 4に、 又は端面 3— 1 4、 3 ' _ 1 4の うち一方にボンディングされる。 配線 1 1— 1 4を外側面 2— 1 4から 取り出す場合には荷電粒子線制御素子 1 一 1 4の外径が大きくなり、 ま た、 配線 1 1 一 1 4を端面 3― 1 4から取り出すときには、 荷電粒子線 制御素子の光軸 Aに沿う方向のスペースが余分に必要になる。 図 5 9及 び図 6 0は、 配線 1 1 一 1 4を一方の端面 3— 1 4から取り出す例を示 している。
軸 A及び対向する貫通穴 7を含む面におけるシールド円板 2 1— 1 4と基体部 1— 1 4の端面 3 - 1 4との間の距離を Dとし、 軸 Aに近い 側の電極 8— 1 4の面と軸 Aに近い側の貫通穴 7— 1 4の面との間の 半径方向の距離を Lとするとき、 L ZD < 4 . 0とすることが望ましい t これにより、 基体部 1 一 1 4の貫通穴 7— 1 4の内面が帯電したとき、 その帯電により生じる電位が軸 Aの近くを通過する荷電粒子線に対し て与える影響を 1 Z 1 0 0 0以下に抑えることが可能になる。
図 5 9に示す荷電粒子線制御素子は、 前述の如き電子線検査装置にお ける静電偏向器や E X B分離器又はウィーン · フィル夕として使用され る。
以上の説明から分かるように、 本発明に係る荷電粒子制御素子は、 メ ツキなどの表面処理によって絶縁体上に形成された電極の表面を高精 度に保ちつつ、 該電極に対して簡素な構成で電圧印加用配線を接続する ことができ、 荷電粒子線制御素子及び荷電粒子線装置の小型化、 低コス ト化、 並びに荷電粒子線制御素子の軌道制御の高精度化を実現すること ができる。 半導体デバイスの製造方法
以上から明らかな通り、 本発明に係る検査装置は、 高スループットを 可能とするので、 ウェハ等の試料を、 そのプロセス (処理) 途中で、 同 プロセスに支障を与えることなく、 適宜検査することを可能とする。 そ のような検査をプロセス途中において行うようにした半導体デバイス の製造方法を、 図 6 3及び図 6 4を参照して説明する。
図 6 3は、 本発明による半導体デバイスの製造方法の一実施例を示す フローチヤ一トである。 この実施例の工程は以下の主工程を含んでいる。
( 1 ) ウェハを製造するウェハ製造工程 (又はウェハを準備するウェハ 準備工程)
( 2 ) 露光に使用するマスクを製造するマスクを製造するマスク製造ェ 程 (又はマスクを準備するマスク準備工程)
( 3 ) ウェハに必要な加工処理を行うウェハプロセッシング工程
( 4 ) ゥェ八.上に形成されたチップを一個づっ切り出し、 動作可能にな らしめるチップ組立工程
( 5 ) できたチップを検査するチップ検査工程
なお、 上記のそれぞれの主工程は更に幾つかのサブ工程からなっている 【 0 0 2 1】
これらの主工程の中で、 半導体デバイスの性能に決定的な影響を及ぼ すのが (3 ) のウェハプロセッシング工程である。 この工程では、 設計 された回路パターンをウェハ上に順次積層し、 メモリーや M P Uとして 動作するチップを多数形成する。 このウェハプロセッシング工程は以下 の各工程を含んでいる。
( 1 ) 絶縁層となる誘電体薄膜や配線部、 或いは電極部を形成する金属 薄膜等を形成する薄膜形成工程 (C V Dやスパッタリング等を用いる)
( 2 ) この薄膜層やゥェ八基板を酸化する酸化工程 ( 3)薄膜層やウェハ基板を選択的に加工するためにマスク (レチクル) を用いてレジストパターンを形成するリソグラフィー工程
(4) レジストパターンに従って薄膜層や基板を加工するエッチングェ 程 (例えばドライエッチング技術を用いる)
( 5) イオン ·不純物注入拡散工程
(6) レジス卜剥離工程
( 7) 加工されたゥェ八を検査する工程
なお、 ウェハプロセッシング工程は必要な層数だけ繰り返し行い、 設計 通り動作する半導体デバイスを製造する。
図 6 4は、 図 6 3のウェハプロセッシング工程の中核をなすリソグラ フィー工程を示すフローチャートである。 リソグラフィ一工程は以下の 各工程を含む。
( 1 ) 前段の工程で回路パターンが形成されたウェハ上にレジストをコ 一卜するレジスト塗布工程
(2) レジストを露光する工程
(3) 露光されたレジストを現像してレジストのパターンを得る現像ェ 程
(4) 現像されたレジストパターンを安定化するためのァニール工程 上記の半導体デバイス製造工程、 ウェハプロセッシング工程、 及びリ ソグラフィー工程については、 周知のものでありこれ以上の説明を要し ないであろう。
上記 (7 ) の検査工程に本発明に係る欠陥検査方法、 欠陥検査装置を 用いると、 微細なパターンを有する半導体デバイスでも、 スループット 良く検査できるので、 全数検査が可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。
以上、 本発明の実施例を説明したが、 本発明はこれらの実施例に限定 されるものではなく、 本発明の範囲において種々の変更を行うことが可 能である。

Claims

請求の範囲
1 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、 1 次電子線の前記試料への照射により発生する複数の 2次電子線を検出 する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子光 学系とを各々含む光学系を複数備え、 該複数の光学系の各々が、 前記試 料上の互いに異なる領域を前記 1次電子線で走査し、 これらの領域から 発生した 2次電子線を夫々検出するように配置されている電子光学装 置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチヤンバと、 前記ワーキングチヤンバ内の前記ステージ装置上に試料を供給する ローターと、
を有していることを特徴とする電子線装置。
2 . 各光学系が、 1次電子線を直線的に通して試料の被検査面へ垂直に 照射させると共に、 被検査面からの 2次電子線を、 前記 1次電子線に対 し所定方向に偏向させる偏向器を有し、 該光学系が 2行複数列に配置さ れ、 前記偏向器によって偏向された 2次電子線の経路が互いに干渉しな いように、 該 2行複数列の一方の行の光学系の偏向器が偏向する 2次電 子線と、 他の行の光学系の偏向器が偏向する 2次電子線とが、 相互に離 れる方向に偏向されるようにしたことを特徴とする、 請求項 1に記載の
3 . 各光学系が、 1次電子線を直線的に透過して試料の被検査面へ垂直 に照射させると共に、 被検査面からの 2次電子線を、 前記 1次電子線に 対し所定方向に偏向させる偏向器を有し、 該光学系は 1列に複数個配列 され、 それぞれが、 該列方向に沿って配置された複数の 1次電子線を前 記被検査面に照射するようにされ、 前記偏向器が前記 2次電子線を同列 方向に略平行に偏向するようにされていることを特徴とする、 請求項 1 に記載の電子線装置。 ·
4 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、 1次電子線の前記試料への照射により発生する複数の 2次電子線を 検出する検出器と、
前記 2次電子線を試料から前記検出器へ導く E X B分離器と、 を含む光学系を備え、
評価領域を前記 1次電子線で走査し、 これらの領域から発生した 2次 電子線を夫々検出するように配置されていることを特徴とする電子線
5 . さらに光学系の最下部外側に差動排気機構を設けたことを特徴とす る、 請求項 4に記載の電子線装置。
6 . 上記光学系を複数備え、 各光学系が、 直線的に透過して試料の被検 查面へ垂直に照射させると共に、 被検査面からの 2次電子線を、 前記 1 次電子線に対し所定方向に偏向させる偏向器を有し、 該光学系が 2行複 数列に配置され、 前記偏向器によって偏向された 2次電子線の経路が互 いに干渉しないように、 該 2行複数列の一方の行の光学系の偏向器が偏 向する 2次電子線と、 他の行の光学系の偏向器が偏向する 2次電子線と が、 相互に離れる方向に偏向されるようにしたことを特徴とする、 請求 項 4に記載の電子線装置。
7 . 各光学系が、 1次電子線を直線的に透過して試料の被検査面へ垂直 に照射させると共に、 被検査面からの 2次電子線を、 前記 1次電子線に 対し所定方向に偏向させる偏向器を有し、 該光学系は 1列に複数個配列 され、 それぞれが、 該列方向に沿って配置された複数の 1次電子線を前 記被検査面に照射するようにされ、 前記偏向器が前記 2次電子線を同列 方向に略直角に変更するようにされていることを特徴とする、 請求項 5 に記載の電子線装置。
8 . さらにレーザ発信器、 レーザ移動鏡、 対物レンズの外側へ固定した レーザ固定鏡を少くとも有するレーザ測距装置を有し、 上記ステージの 位置を高精度で測定する事を特徴とする請求項 5に記載の電子線装置。
9 . 請求項 1ないし請求項 8のいずれか 1項に記載された電子線装置を 用いてプロセス途中の試料を検査する工程を含む、 デバイス製造方法。
1 0 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、
1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く E x B分 離器とを含む光学系を備える電子線装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する 口一夕一と、
を有し、
前記電子光学装置が、 前記複数の電子線の内の少なくとも 2つの電子 線の合焦条件の差が最小となるようにして軸合わせを行うことを特徴 とする電子線装置。
1 1 . 前記 2つの電子線が、 光軸を中心とした同一円上に配置されるこ とを特徴とする、 請求項 1 0記載の電子線装置。
1 2 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、
1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子 光学系とを含む光学系を備える電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ヮ一キングチャンバ内の前記ステージ装置上に試料を供給する 口—ダ—と、
を有し、
前記 1次または 2次電子光学系が、 電子レンズを備え、 前記電子光学 装置が、 前記電子レンズの励起電圧を小変化させたときの少なくとも 2 つの電子線の位置変化量がほぼ等しくなるようにすることにより、 前記 1次または二次電子線の前記電子レンズに対する軸合わせを行うこと を特徴とする電子線装置。
1 3 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、
1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子 光学系とを含む光学系を備える電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローターと、
を有し、
前記 1次電子光学系が、 電子銃と、 該電子銃から放出される電子線を 通し前記複数の 1次電子線を形成するための複数の開口を有する開口 板とを有し、
前記開口板を X Yステージ、 Θステージ及び Zステージの内の少なく とも 1つのステージ上に載置し、 前記少なくとも 1つのステージを前記 複数の一次電子線の強度が略等しくなるか又は強度が最大になるよう にすることができるようにしたことを特徴とする電子線装置。
1 4 . 請求項 1 0 〜 1 3のいずれか一つに記載の電子線装置を用いて、 プロセス途中のゥエーハの評価を行うことを特徴とするデバイス製造 方法。
1 5 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、 1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子 光学系とを含む光学系を備える電子線装置において、 前記複数の 1次ま たは 2次電子線の少なくとも 2つの電子線の合焦条件の差が最小とな るようにすることにより、 当該電子線装置の前記 1次または 2次電子光 学系の軸合わせを行うようにしたことを特徴とする電子線装置の軸合 わせ方法。
1 6 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、 1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子 光学系とを備え、 前記 1次または 2次電子光学系が、 電子レンズを備え る電子線装置において、 前記電子レンズの励起条件を小変化させて少な くとも 2つの電子線の位置変化量がほぼ等しくなるようにすることに より、 前記 1次または二次電子線の前記電子レンズに対する軸合わせを 行うことを特徴とする電子線装置における軸合わせ方法。
1 7 . 複数の 1次電子線を試料上に照射し走査ずる 1次電子光学系と、
1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子 光学系とを含む光学系を備える電子線装置であって、 前記 1次電子光学 系が、 電子銃と、 該電子銃から放出される電子線を通し前記複数の 1次 電子線を形成するための複数の開口を有する開口板とを有する電子線 装置において、 前記開口板を X Yステージ、 Θステージ及び Zステージ の内の少なくとも 1つのステージ上に載置し、 前記ステージの少なくと も 1つを前記複数の一次電子線の強度が略等しくなるか又は強度が最 大になるようにすることができるようにしたことを特徴とする電子線 装置における光学系調整方法。
1 8 . 電子線を絞り、 試料上で走査する手段と、 前記電子線の走査に同 期して画像を形成する画像形成手段と、 光軸に直交して配置される電子 線偏向手段と、 偏向信号発生手段と、 前記偏向信号発生手段の出力を変 化させて前記電子線偏向手段へ供給する手段とを備え、 前記電子線偏向 手段が、 前記偏向信号発生手段からの変化された出力を受けて前記電子 線を偏向できるよう構成した電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ヮ一キングチャンバ内の前記ステージ装置上に試料を供給する ローダーと、
を有し、
前記電子光学装置が、 試料から放出された二次電子線を、 対物レンズ を通過させてから ExB分離器により一次電子線から分離し、 少なくと も一段のレンズと一つの開口を通してマルチ検出器で検出するように なされており、
前記偏向信号発生器の出力を変化させることにより、 前記電子線が絞 り中心を通過するようにする手段を備えたことを特徴とする電子線装 置。
1 9. 前記画像が、 前記マルチ検出器の少なくとも一つの検出器からの 出力で形成されるようになされていることを特徴とする請求項 1 8に 記載の電子線装置。
2 0. 前記絞りが、 マルチビームの発生器およびマルチ検出器のうちの 一方と前記 ExB分離器との間に設けられていることを特徴とする請求 項 1 8に記載の電子線装置。
2 1. 前記電子線を絞り上で走査する偏向器は、 走査信号を、 前記偏向 信号に重畳させて前記電子線偏向手段に与えるようになされているこ とを特徴とする請求項 1 8に記載の電子線装置。
2 2. 電子線を放出する単一の電子銃、 前記電子銃からの電子線をマル チビームにする開口板、 レンズ及び ExB分離器を有していて前記マル チビームを検査されるべき試料面上に照射する第一次光学系と、 前記試 料から放出された二次電子を、 前記 ExB分離器で第一次光学系から分 離し、 二次電子を検出する検出装置に入射させる第二次光学系とを備え る電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローグ一と、
を有し、
前記電子光学装置が、 前記マルチビームを前記 ExB分離器に入射す る場合において、 前記 ExB分離器に印加される電界あるいは磁界を変 化させたときに、 前記第一次光学系の光軸から等距離にある少なくとも 2つの電子ビームの位置移動量が相互に等しくなるようにすることに より、 前記 ExB分離器へ入る電子ビームの軸合わせをする手段を有す ることを特徴とする電子線装置。
2 3. 前記マルチビームが直線状に並んで配置されており、 前記 2つの 電子ビームは、 相互に最も遠い距離にある 2つの電子ビームであること を特徴とする請求項 2 2に記載の電子線装置。
24. 電子線を放出する単一の電子銃、 前記電子銃からの電子線をマル チビームにする開口板、 レンズ及び ExB分離器を有していて前記マル チビームを検査されるべき試料面上に照射する第一次光学系と、 前記試 料から放出された二次電子を、 前記 ExB分離器で第一次光学系から分 離し、 二次電子を検出する検出装置に入射させる第二次光学系とを備え る電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダーと、
を有し、
前記電子光学装置が、 前記第一次光学系の光軸から等距離に配置され た複数の電子ビームを前記 ExB分離器に入射する場合において、 前記 ExB分離器に印加される電界あるいは磁界を変化させたときに、 前記 複数の電子ビームの内少なくとも 2本の電子ビームの位置移動量の差 がなくなるような前記 ExB分離器内の領域を求め、 それにより、 前記 ExB分離器の電界及び磁界が一様な領域内を、 前記電子ビームを通過 させるようにしたことを特徴とする電子線装置。
2 5. 請求項 1 8ないし 24のいずれかに記載の電子線装置を用いてプ ロセス途中のウェハーの検査を行うことを特徴とするデバイス製造方 法。
2 6. 電子線を放出する単一の電子銃、 前記電子銃からの電子線をマル チビ一ムにする開口板、 レンズ及び ExB分離器を有していて前記マル チビームを検査されるべき試料面上に照射する第一次光学系と、 前記試 料から放出された二次電子を、 前記 ExB分離器で第一次光学系から分 離し、 二次電子を検出する検出装置に入射させる第二次光学系とを備え る電子光学装置において、
前記マルチビームを前記 ExB分離器に入射する場合において、 前記 ExB分離器に印加される電界あるいは磁界を変化させたときに、 前記 第一次光学系の光軸から等距離にある少なくとも 2つの電子ビームの 位置移動量が相互に等しくなるようにすることにより、 前記 ExB分離 器へ入る電子ビームの軸合わせをするようにしたことを特徴とする電 子線装置の軸合わせ方法。
2 7. 前記マルチビームが直線状に並んで配置されており、 前記 2つの 電子ビームは、 相互に最も遠い距離にある 2つの電子ビームとしたこと を特徴とする請求項 26に記載の電子線装置の軸合わせ方法。
2 8. 前記マルチビームが光軸を中心とする同一円上に配置したことを 特徴とする請求項 26に記載の電子線装置の軸合わせ方法。
2 9. 電子線を放出する単一の電子銃、 前記電子銃からの電子線をマル チビームにする開口板、 レンズ及び ExB分離器を有していて前記マル チビームを検査されるべき試料面上に照射する第一次光学系と、 前記試 料から放出された二次電子を、 前記 ExB分離器で第一次光学系から分 離し、 二次電子を検出する検出装置に入射させる第二次光学系とを備え る電子線装置において、
前記第一次光学系の光軸から等距離に配置された複数の電子ビーム を前記 ExB分離器に入射する場合において、前記 ExB分離器に印加さ れる電界あるいは磁界を変化させたときに、 前記複数の電子ビームの内 少なくとも 2本の電子ビームの位置移動量の差がなくなるような前記 ExB分離器内の領域を求め、 それにより、 前記 ExB分離器の電界及び 磁界が一様な領域内を、 前記電子ビームを通過させるようにしたことを 特徴とする電子線装置の光学系調整方法。
3 0. 円周上に電子線放出端を有する力ソードと、 集束電極と、 ァノー ドとを有する電子銃から放出されたビームを、 マルチ開口板に照射し、 該マルチ開口板の開口を通過した複数のビームを試料に照射する電子 光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する
D—ダ一と、
を有し、
前記電子光学装置が、 前記電子銃からのビーム強度の大きい領域と、 前記マルチ開口板の開口位置との放射方向位置を一致させるのに、 前記 集束電極に与える電圧を調整する手段を有することを特徴とする電子
3 1 . 円周上に電子線放出端を有する力ソードと、 集束電極と、 ァノー ドとを有する電子銃から放.出されたビームを、 マルチ開口板に照射し、 該マルチ開口板の開口を通過した複数のビームを試料に照射する電子 光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチヤンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダ一と、
を有し、
前記電子光学装置が、 前記電子銃からのビーム強度の大きい領域と、 前記マルチ開口板の開口位置との方位角方向を一致させる回転レンズ を前記電子銃とマルチ開口板との間に設けたことを特徴とする電子線 装置。
3 2 . ビーム発生源からビームをマルチ開口板に照射し、 形成された複 数のビ一ムを試料面に導き、 該ビームで試料面を走査して試料の検査を おこなう電子光学装置と、
前記試料を支持するステージ装置と、 該ステージ装置を真空雰囲気で収納するワーキングチャンバと、
. 前記ワーキングチヤンバ内の前記ステージ装置上に試料を供給する ローターと、
を有し、
前記電子光学装置が、 前記複数のビームの並び方向と試料面の座標軸 とを一致させる回転レンズを前記マルチ開口板と試料面との間に有す ることを特徴とする電子線装置。
3 3 . ビーム発生源からビームをマルチ開口板に照射し、 形成された複 数のビームを試料面に導き、 該ビームで試料面を走査して試料の検査を おこなう電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンパと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローターと、
を有し、
前記電子光学装置が、 前記複数のビームの並び方向と試料面の座標軸 とを一致させるベくマルチ開口板に光軸まわりの回転機構を設けたこ とを特徴とする電子線装置。
3 4 . 前記請求項 3 0ないし 3 3のいずれかによる電子線装置を用いて プロセス途中または終了後のゥェ一八の評価をおこなうことを特徴と するデバイス製造方法。
3 5 . 円周上に電子線放出端を有する力ソードと、 集束電極と、 ァノー ドとを有する電子銃から放出されたビームを、 マルチ開口板に照射し、 該マルチ開口板の開口を通過した複数のビームを試料に照射する電子 線装置において、 前記電子銃からのビーム強度の大きい領域と、 前記マ ルチ開口板の開口位置との放射方向位置を一致させるのに、 前記集束電 極に与える電圧を調整することを特徴とする電子線装置の電子ビーム 調整方法。
3 6 . 円周上に電子線放出端を有する力ソードと、 集束電極と、 ァノー ドとを有する電子銃から放出されたビームを、 マルチ開口板に照射し、 該マルチ開口板の開口を通過した複数のビームを試料に照射する電子 線装置において、
前記電子銃とマルチ開口板との間に設けた回転レンズにより、 前記電 子銃からのビーム強度の大きい領域と、 前記マルチ開口板の開口位置と の方位角方向を一致させるようにしたことを特徴とする電子線装置の 電子ビーム調整方法。
3 7 . ビーム発生源からビームをマルチ開口板に照射し、 形成された複 数のビームを試料面に導き、 該ビームで試料面を走査して試料の検査を おこなう電子線装置において、 前記マルチ開口板と試料面との間に設け た回転レンズにより、 前記複数のビームの並び方向と試料面の座標軸と を一致させるようにしたことを特徴とする電子線装置の電子ビーム調 整方法。
3 8 . ピ一ム発生源からビームをマルチ開口板に照射し、 形成された複 数のビームを試料面に導き、 該ビームで試料面を走査して試料の評価を おこなう電子線装置において、 マルチ開口板を光軸まわりで回転させる ことにより、 前記複数のビームの並び方向と試料面の座標軸とを一致さ せるようにしたことを特徴とする電子線装置の電子ビーム調整方法。
3 9 . 試料を載置可能なステージと、
前記ステージに形成された複数の位置測定用マーカと、
複数の 1次電子ビームを形成する電子ビーム形成手段、 前記複数の 1 次電子ビームが、 関連する前記位置測定用マーカを同時走査されるよう に、 前記複数の 1次電子ビームを走査する走査手段、 前記走査手段によ る前記複数の 1次電子ビームの走査により前記位置測定用マーカから 発生した複数の 2次電子ビームを前記複数の 1次電子ビームの経路か ら分離する分離手段、 前記分離手段で分離された前記複数の 2次電子ビ ームを検出し、 検出された 2次電子ビームに対応して複数の信号を出力 する検出器、 前記複数の信号間の差を比較し、 前記複数の信号間の差に 基づいて、 前記位置測定用マ一力に対する照射ボイントの位置ずれを測 定する測定手段を有する電子光学装置と、
前記ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダ—と、
を有し、
前記電子光学装置が、 前記測定手段が前記位置ずれを測定した場合に, 当該位置ずれに基づいて、 前記複数の 1次電子ビームの照射ボイン卜を 修正あるいは較正する修正手段又は較正手段とを備えていることを特 徵とする電子線装置。
4 0 . 前記修正装置は、 前記電子ビーム形成手段と前記試料との間に設 けられた少なくとも 2段のレンズと、 前記測定手段で前記位置ずれが測 定された場合に、 前記複数の信号間の差が最小になるように前記 2段の レンズをズーム動作させて、 前記位置測定用マーカに対する前記照射ポ ィントの距離を調整する 2段レンズ制御手段とを備えていることを特 徵とする請求項 3 9に記載の電子線装置。
4 1 . 前記測定手段は、 前記位置ずれに基づいて、 前記複数の 1次電子 ビームが前記光軸を中心にした回転誤差を有しているか否かを検出し、 前記較正手段は、 前記電子ビーム形成手段と前記分離手段との間に設 けられ、 前記複数の 1次電子ビームを回転させることができ、 前記回転 誤差が検出されたとき、 上記回転誤差が許容値に入るように前記ビーム を回転させる手段を備えていることを特徴とする請求項 3 9に記載の 電子線装置。
4 2 . 前記位置測定用マーカの位置を記憶する記憶手段を備えており、 前記測定手段が位置ずれを測定し、 当該位置ずれに基づいて前記複数 の 1次電子ビームの照射ポイントが較正された場合に、 較正された 1次 電子ビームの照射ボイントに基づいて、 前記記憶手段に記憶された前記 位置測定用マーカの位置を更新することを特徴とする請求項 3 9に記 載の電子線装置。
4 3 . 請求項 3 9ないし 4 2のいずれか 1項に記載の電子線装置を用い て、
加工中又は完成品のゥェ一ハを評価することを特徴とするデバイス 製造方法。
4 4 . 試料を載置可能なステージと、
複数の 1次電子ビームを形成する電子ビ一厶形成手段と、
前記ステージに形成された複数の位置測定用マーカとを備え、 ' 前記複数の 1次電子ビームが、 関連する前記位置測定用マーカを同時 走査するように、 前記複数の 1次電子ビ一ムを走査する走查手段と、 前記走査手段による前記複数の 1次電子ビームの走査により前記位 置測定用マーカから発生した複数の 2次電子ビームを前記複数の 1次 電子ビームの経路から分離する分離手段と、
前記分離手段で分離された前記複数の 2次電子ビームを検出し、 検出 された 2次電子ビームに対応して複数の信号を出力する検出手段と、 前記複数の信号間の差を比較し、 前記複数の信号間の差に基づいて、 前記位置測定用マーカに対する前記照射ボイントの位置ずれを測定す る測定手段と、
前記測定手段が前記位置ずれを測定した場合に、 当該位置ずれに基づ いて、 前記複数の 1次電子ビームの照射ボイントを修正あるいは較正す る修正手段又は較正手段とを備えていることを特徴とする電子線装置。
4 5 . 前記修正手段は、 前記電子ビーム形成手段と前記試料との間に設 けられた少なくとも 2段のレンズと、
前記測定手段で前記位置ずれが測定された場合に、 前記複数の信号間 の差がなくなるように前記 2段のレンズをズーム動作させて、 前記位置 測定用マーカに対する前記照射ボイントの距離を調整する 2段レンズ 制御手段とを備えていることを特徴とする請求項 4 4に記載の電子線
4 6 . 前記測定手段は、 前記位置ずれに基づいて、 前記複数の 1次電子 ビームが前記光軸を中心にした回転誤差を有しているか否かを検出し ており、 前記較正手段は、
前記電子ビーム形成手段と前記分離手段との間に設けられ、 前記複数 の 1次電子ビームを回転させることができ、 前記回転誤差が検出された とき、 上記回転誤差が許容値に入るように前記ビームを回転可能である ことを特徴とする請求項 4 4に記載の電子線装置。
4 7 . 前記位置測定用マーカの位置を記憶する記憶手段を備えており、 前記測定手段が位置ずれを測定し、 当該位置ずれに基づいて前記複数 の 1次電子ビームの照射ボイントが較正された場合に、 較正された 1次 電子ビームの照射ボイントに基づいて、 前記記憶手段に記憶された前記 位置測定用マ一力の位置を更新することを特徴とする請求項 4 4に記 載の電子線装置。
4 8 . 請求項 4 4ないし 4 7のいずれか 1項に記載の電子線装置を用い て、
加工中又は完成品のゥエーハを評価することを特徴とするデバイス 製造方法。
4 9 . 力ソ一ドを有する電子線源から放出された電子線から得られた複 数の一次電子線を試料に入射させ、 該試料から放出される複数の二次電 子線を、 対物レンズを通過後次のレンズに入る前に偏向器によって一次 光学系から分離して二次光学系に入射させて検出系に導く電子光学装 置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダ—と、
を有し、
前記電子光学装置が、 上記電子線源の上記カソードに印可する電圧を 変化させて、 上記試料上における上記複数の一次電子線の放射方向又は 光軸を中心とする回転方向への移動が最小になるように調整すること により、 上記対物レンズの近傍において上記複数の一次電子線が形成す るクロスオーバ位置の光軸方向の調整を行う手段を有することを特徴 とする電子線装置。
5 0 . 上記対物レンズは、 静電レンズであることを特徵とする請求項 4 9に記載の電子線装置。
5 1 . 上記複数の一次電子線は、 上記電子線源から放出された電子線を 複数の開口を有する開口板に照射して得られたものであることを特徴 とする請求項 4 9に記載の電子線装置。
5 2 . 請求項 4 9ないし 5 1のうちのいずれか一項に記載の電子線装置 を用いて、
加工中又は完成品のウェハを評価することを特徴とするデバイス製 造方法。
5 3 . 力ソードを有する電子線源から放出された電子線から得られた複 数の一次電子線を試料に入射させ、 該試料から放出される複数の二次電 子線を、 対物レンズを通過後次のレンズに入る前に偏向器によって一次 光学系から分離して二次光学系に入射させて検出系に導く電子線装置 において、
上記電子線源の上記カソ一ドに印可する電圧を変化させて、 上記試料 上における上記複数の一次電子線の放射方向又は光軸を中心とする回 転方向への移動が最小になるように調整することにより、 上記対物レン ズの近傍において上記複数の一次電子線が形成するクロスオーバ位置 の光軸方向の調整を行うことを特徴とする電子線装置における電子線 調整方法。
5 4 . 上記対物レンズは、 静電レンズであることを特徴とする請求項 5 3に記載の調整方法。
5 5 . 上記複数の一次電子線は、 上記電子線源から放出された電子線を 複数の開口を有する開口板に照射して得られたものであることを特徴 とする請求項 5 4に記載の調整方法。
5 6 . 上記調整は、 上記対物レンズの軸合わせを行った後に行われるこ とを特徴とする請求項 5 3乃至 5 5のうちのいずれか一項に記載の調 整方法。
5 7 . 電子線を放出する単一の電子銃、 コンデンサレンズ、 複数の孔を 設けた開口板、 E x B分離器及び対物レンズを有していて前記電子銃か らの電子線を検査されるべき試料面上に照射する第一次光学系と、 前記 試料から放出され、 前記対物レンズで加速され、 かつ前記 E x B分離器 で第一次光学系から分離された二次電子を、 二次電子検出装置に入射さ せる第二次光学系とを備える電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダ—と、
を有し、
前記電子銃は空間電荷制限条件で動作するようにしたことことを特 徴とする電子線装置。
5 8 . 前記電子線装置における前記コンデンサレンズを 2段として前記 電子銃に隣接して配置し、 前記 2段のコンデンサレンズの後方に前記開 口板を配置し、 電子線を前記開口板に照射して複数の電子ビームを形成 するようにしたを特徴とする請求項 5 7に記載の電子線装置。
5 9 . 前記電子銃に隣接するコンデンサレンズにより形成されるクロス オーバの位置を変更することにより、 前記電子銃から放出された電子線 のうち強度が大きい部分の放射方向の位置を前記開口板の小孔の領域 に合わせるようにしたことを特徴とする請求項 5 8に記載の電子線装
6 0 . 電子線を放出する単一の電子銃、 コンデンサレンズ、 複数の孔を 設けた開口板、 E x B分離器及び対物レンズを有していて前記電子銃か らの電子線を検査されるべき試料面上に照射する第一次光学系と、 前記 試料から放出され、 前記対物レンズで加速され、 かつ前記 E x B分離器 で第一次光学系から分離された二次電子を、 二次電子検出装置に入射さ せる第二次光学系とを備える電子光学装置と、 前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンパと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダ—と、
を有し、
前記電子光学装置におけるコンデンサレンズを前記電子銃に隣接し て配置し、 前記前段のコンデンサレンズにより形成されるクロスオーバ 一の後方に前記開口板を配置し、 電子線を前記開口板に照射して複数の 電子ビ一ムを形成するようにしたことを特徴とする電子線装置。
6 1 . 前記電子銃は空間電荷制限条件で動作するようにしたことを特徴 とする請求項 6 0に記載の電子線装置。
6 2 . 前記電子銃に隣接するコンデンサレンズにより形成されるクロス オーバの位置を変更することにより、 前記電子銃から放出された電子線 のうち強度が大きい部分の放射方向の位置を前記開口板の小孔の領域 に合わせるようにしたことを特徴とする請求項 6 1に記載の電子線装
6 3 . 電子線を放出する単一の電子銃、 コンデンサレンズ、 複数の孔を 設けた開口板、 E x B分離器及び対物レンズを有していて前記電子銃か らの電子線を検査されるべき試料面上に照射する第一次光学系と、 前記 試料から放出され、 前記対物レンズで加速され、 かつ前記 E x B分離器 で第一次光学系から分離された二次電子を、 二次電子検出装置に入射さ せる第二次光学系とを備え、
前記コンデンサレンズを 2段として前記電子銃に隣接して配置し、 前 記 2段のコンデンサレンズの後方に前記開口板を配置し、 電子線を前記 開口板に照射して複数の電子ビームを形成するようにしたことを特徴 とする電子線装置。
6 4 . 電子線を放出する単一の電子銃、 コンデンサレンズ、 複数の孔を 設けた開口板、 E x B分離器及び対物レンズを有していて前記電子銃か らの電子線を検査されるべき試料面上に照射する第一次光学系と、 前記 試料から放出され、 前記対物レンズで加速され、 かつ前記 E x B分離器 で第一次光学系から分離された二次電子を、 二次電子検出装置に入射さ せる第二次光学系とを備え、
前記コンデンサレンズを前記電子銃に隣接して配置し、 前記前段のコ ンデンサレンズにより形成されるクロスオーバーの後方に前記開口板 を配置し、 電子線を前記開口板に照射して複数の電子ビームを形成する ようにしたことを特徴とする電子線装置。
6 5 . 前記電子銃は空間電荷制限条件で動作するようにしたことを特徴 とする請求項 6 3に記載の電子線装置。
6 6 . 前記電子銃に隣接するコンデンサレンズにより形成されるクロス オーバの位置を変更することにより、 前記電子銃から放出された電子線 のうち強度が大きい部分の放射方向の位置を前記開口板の小孔の領域 に合わせるようにしたことを特徴とする請求項 6 3に記載の-電子線装 置。
6 7 . 請求項 6 3ないし 6 6のいずれかに記載の電子線装置を用いてプ ロセス途中のウェハの評価を行うことを特徴とするデバイスの製造方 法。
6 8 . 試料に対して複数の 1次電子ビームを照射する一次光学系、 及び、 試料からの二次電子を検出器に導く 2次光学系であって、 前記 1次電子 ビームの間隔が、 各電子ビームが前記第二次光学系の距離分解能より離 れた位置に照射されるような間隔とされている 1次及び 2次光学系を 備える電子光学装置と、
前記一次光学系による 1次電子ビームの照射位置と試料の位置とを 相対移動させるステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチャンバ内の前記ステージ装置上に試料を供給する ローダ—と、
を有し、
前記電子光学装置が、 前記一次光学系及び前記ステージ装置が動作す る時、 前記試料に照射される 1次電子ビームのドーズを測定する手段と、 前記測定手段による測定の結果得られたドーズが、 前記試料ごとに予め 定められたドーズの許容範囲外となった場合に、 前記動作が異常である と判断する判断手段と、 前記判断手段によって前記動作が異常であると 判断されたときに、 前記電子ビームのドーズを前記許容範囲内に戻す制 御を行う制御手段と、 を備えたことを特徴とする電子線装置。
6 9 . 前記測定手段は、 前記試料に照射される 1次電子ビームの電流量 と前記移動手段による相対移動の速度とに基づいて、 前記ドーズの測定 を行うことを特徴とする請求項 6 8に記載の電子線装置。
7 0 . 前記測定手段は、 前記試料から発生する二次電子の量に基づいて 前記ドーズの測定を行うことを特徴とする請求項 6 8に記載の電子線
7 1 . 前記電子光学装置が、 試料から発生する二次電子に基づいて前記 試料の画像情報を取り込む画像取込手段を備え、
前記測定手段は、 前記画像取込手段によって取り込まれた前記画像情 報のコントラスト比に基づいて、 前記ドーズの測定を行うことを特徴と する請求項 6 8に記載の電子線装置。
7 2 . 試料に対して複数の電子ビームを照射する第一次光学系と、 試料からの二次電子を検出手段に導く第二次光学系と、
前記複数の電子ビームの間隔が、 各電子ビームが前記第二次光学系の 距離分解能より離れた位置に照射されるような間隔であることと、 前記第一次光学系による電子ビームの照射位置と試料の位置とを相 対移動させる移動手段と、
前記第一次光学系及び前記移動手段が動作する時、 前記試料に照射さ れる電子ビ一ムのドーズを測定する手段と、
前記測定手段による測定の結果得られたドーズが、 前記試料ごとに予 め定められたドーズの許容範囲外となった場合に、 前記動作が異常であ ると判断する判断手段と、
前記判断手段によって前記動作が異常であると判断されたときに、 前 記電子ビームのドーズを前記許容範囲内に戻す制御を行う制御手段と、 を備えたことを特徴とする電子線装置。
7 3 . 前記測定手段は、 前記試料に照射される電子ビームの電流量と前 記移動手段による相対移動の速度とに基づいて、 前記ドーズの測定を行 うことを特徴とする請求項 7 2に記載の電子線装置。
7 4 . 前記測定手段は、 前記試料から発生する二次電子の量に基づいて 前記ドーズの測定を行うことを特徴とする請求項 7 2に記載の電子線
7 5 . 前記試料から発生する二次電子に基づいて前記試料の画像情報を 取り込む画像取込手段を備え、
前記測定手段は、 前記画像取込手段によって取り込まれた前記画像情 報のコントラスト比に基づいて、 前記ドーズの測定を行うことを特徴と する請求項 7 に記載の電子線装置。
7 6 . 請求項 7 2から請求項 7 5のいずれかに記載の電子線装置を用い て、 プロセス途中あるいは終了後のウェハの評価を行うことを特徴とす るデバイス製造方法。
7 7 . 複数の 1次電子線を試料上に照射し走査する 1次電子光学系と、 1次電子線の前記試料への照射により発生する複数の 2次電子線を検 出する検出器と、 前記 2次電子線を試料から前記検出器へ導く 2次電子 光学系とを備える電子光学装置と、
前記試料を支持するステージ装置と、
該ステージ装置を真空雰囲気で収納するワーキングチャンバと、 前記ワーキングチヤンパ内の前記ステージ装置上に試料を供給する ローダーとを有し、
前記電子光学装置が、 前記電子線を静電界によって制御する電子線制 御素子であって、 筒状の絶縁体からなり、 貫通穴を有する基体部と、 前 記基体部に対する表面処理によって該基体部の内側面に形成され、 互い に電気的に絶縁された複数の電極部と、 それぞれの前記電極部と電気的 に接続するよう形成された複数の導体部であって、 前記基体部の少なく とも端面に前記表面処理によって形成された導体部と、 前記複数の導体 部にそれぞれ接続された配線部と、 を具備することを特徴とする荷電粒 子制御素子を備えることを特徴とする電子線装置。
7 8 . 前記荷電粒子線制御素子の基体部が、 前記電極部及び前記導体部 を互いに電気的に分離する溝を有し、 これにより、 前記複数の導体部が 形成された面に、 前記導体部を互いに絶縁する非膜形成面を設けること を特徴とする請求項 7 7記載の電子線装置。
7 9 . 前記荷電粒子線制御素子の前記端面から所定の距離だけ離れてシ —ルド用の導体が配置され、 前記所定の距離が、 前記溝の非膜形成面か ら電極面までの距離より充分小さいことを特徴とする、 請求項 7 8に記 載の電子線装置。
8 0 . 電子線を静電界によって制御する電子線制御素子であって、 筒状の絶縁体からなり、 貫通穴を有する基体部と、
前記基体部に対する表面処理によって該基体部の内側面に形成され、 互いに電気的に絶縁された複数の電極部と、
それぞれの前記電極部と電気的に接続するよう形成された複数の導 体部であって、 前記基体部の少なくとも端面に前記表面処理によって形 成された導体部と、
前記複数の導体部にそれぞれ接続された配線部と、
を具備することを特徴とする電子線制御素子。
8 1 . 前記基体部が、 前記電極部及び前記導体部を互いに電気的に分離 する溝を有し、 これにより、 前記複数の導体部が形成された面に、 前記 導体部を互いに絶縁する絶縁物表面露出面を設けることを特徴とする、 請求項 8 0記載の電子線制御素子。
8 2 . 前記端面から所定の距離だけ離れてシールド用の導体が配置され. 前記所定の距離が、 前記溝の非膜形成面から電極面までの距離より充 分小さいことを特徴とする、 請求項 8 0記載の電子線制御素子。
8 3 . 上記制御素子の外側に鞍型あるいはトロイダル型コイルを配置し E x B分離器あるいはウィーンフィル夕一としたことを特徴とする、 請 求項 8 0記載の電子線制御素子。
84. さらに静圧軸受けを用いたステージを有し、 上記軸受けに使用し た気体を回収して再度静圧軸受けとして利用することを特徴とする請 求項 4に記載の電子線装置。
8 5. さらに二次電子画像形成回路及び基準画像メモリを有し、 二次電 子画像と基準画像との位置ズレを検出し、 補正する機能を有することを 特徴とする請求項 4に記載の電子線装置。
8 6. 上記 ExB分離器は 6極以上の多電極静電偏向器の外側に鞍型あ るいはトロイダル型の電磁偏向器を配置したことを特徴とする請求項 4に記載の電子線装置。
8 7. さらにステージは静圧軸受けを用いられたものであり、 上記ステ ージを収容するハウジングは大気圧の 1Z10〜1Z10000の圧 力にしていることを特徴とする請求項 5に記載の電子線装置。
PCT/JP2001/009631 2000-11-02 2001-11-02 Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil WO2002037527A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP01980966A EP1271606A1 (en) 2000-11-02 2001-11-02 Electron beam apparatus and device production method using the apparatus
JP2002540181A JPWO2002037527A1 (ja) 2000-11-02 2001-11-02 電子線装置及びその装置を用いたデバイス製造方法

Applications Claiming Priority (28)

Application Number Priority Date Filing Date Title
JP2000335939 2000-11-02
JP2000-335939 2000-11-02
JP2000-378014 2000-12-12
JP2000378014 2000-12-12
JP2000389157 2000-12-21
JP2000-389157 2000-12-21
JP2000390873 2000-12-22
JP2000-390873 2000-12-22
JP2000-392284 2000-12-25
JP2000392284 2000-12-25
JP2000394466 2000-12-26
JP2000-394116 2000-12-26
JP2000394123 2000-12-26
JP2000394116 2000-12-26
JP2000-394123 2000-12-26
JP2000-394466 2000-12-26
JP2001-27832 2001-02-05
JP2001027832 2001-02-05
JP2001-31906 2001-02-08
JP2001031901 2001-02-08
JP2001-31901 2001-02-08
JP2001031906 2001-02-08
JP2001033599 2001-02-09
JP2001-33599 2001-02-09
JP2001-115156 2001-04-13
JP2001115156 2001-04-13
JP2001-158571 2001-05-28
JP2001158571 2001-05-28

Publications (1)

Publication Number Publication Date
WO2002037527A1 true WO2002037527A1 (fr) 2002-05-10

Family

ID=27584946

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/009631 WO2002037527A1 (fr) 2000-11-02 2001-11-02 Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil

Country Status (4)

Country Link
US (3) US7244932B2 (ja)
EP (1) EP1271606A1 (ja)
JP (1) JPWO2002037527A1 (ja)
WO (1) WO2002037527A1 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003331763A (ja) * 2002-05-15 2003-11-21 Ebara Corp 電子線装置及び該装置を用いたデバイス製造方法
US7005641B2 (en) 2001-06-15 2006-02-28 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
WO2006101116A1 (ja) * 2005-03-22 2006-09-28 Ebara Corporation 電子線装置
JP2006269091A (ja) * 2005-03-22 2006-10-05 Ebara Corp 電子線装置
JP2007329034A (ja) * 2006-06-08 2007-12-20 Hitachi High-Technologies Corp 走査電子顕微鏡の電子ビーム軸調整方法および走査電子顕微鏡
US7863580B2 (en) 2006-06-13 2011-01-04 Ebara Corporation Electron beam apparatus and an aberration correction optical apparatus
US8035082B2 (en) 2005-03-03 2011-10-11 Kabushiki Kaisha Toshiba Projection electron beam apparatus and defect inspection system using the apparatus
US8067732B2 (en) 2005-07-26 2011-11-29 Ebara Corporation Electron beam apparatus
WO2012081422A1 (ja) * 2010-12-15 2012-06-21 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置、及び照射方法
US8330103B2 (en) 2007-06-29 2012-12-11 Hitachi High-Technologies Corporation Charged particle beam apparatus and specimen inspection method
KR20170092667A (ko) * 2014-12-09 2017-08-11 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스
JP2020503644A (ja) * 2016-12-30 2020-01-30 エーエスエムエル ネザーランズ ビー.ブイ. 複数の荷電粒子ビームを使用する装置
CN112578056A (zh) * 2019-09-29 2021-03-30 西安赛诺空间科技有限公司 一种水中惰性气体分离装置及其控制方法

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6765201B2 (en) * 2000-02-09 2004-07-20 Hitachi, Ltd. Ultraviolet laser-generating device and defect inspection apparatus and method therefor
US7244932B2 (en) * 2000-11-02 2007-07-17 Ebara Corporation Electron beam apparatus and device fabrication method using the electron beam apparatus
US7095022B2 (en) * 2000-12-12 2006-08-22 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
JP4612777B2 (ja) * 2001-03-09 2011-01-12 キヤノン株式会社 移動案内装置、それを用いた露光装置及び半導体デバイス製造方法
JP2003077813A (ja) * 2001-09-05 2003-03-14 Nikon Corp 荷電粒子線露光装置の結像性能の評価方法、荷電粒子線露光装置の調整方法、ビームぼけ計測装置及び荷電粒子線露光装置
US6998611B2 (en) * 2001-09-06 2006-02-14 Ebara Corporation Electron beam apparatus and device manufacturing method using same
KR100407579B1 (ko) * 2001-11-22 2003-11-28 삼성전자주식회사 이온 주입 시스템의 웨이퍼 홀딩 장치
US6853143B2 (en) * 2002-01-09 2005-02-08 Ebara Corporation Electron beam system and method of manufacturing devices using the system
US7000208B2 (en) * 2002-07-29 2006-02-14 Synopsys,Inc. Repetition recognition using segments
US6677586B1 (en) * 2002-08-27 2004-01-13 Kla -Tencor Technologies Corporation Methods and apparatus for electron beam inspection of samples
JP4167904B2 (ja) * 2003-01-06 2008-10-22 株式会社日立ハイテクノロジーズ 電子ビーム描画装置及び電子ビーム描画方法
JP4038442B2 (ja) * 2003-02-28 2008-01-23 株式会社日立ハイテクノロジーズ 外観検査用画像処理装置
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
JP4350429B2 (ja) * 2003-06-05 2009-10-21 キヤノン株式会社 露光装置、およびデバイスの製造方法
CN101103417B (zh) 2003-09-05 2012-06-27 卡尔蔡司Smt有限责任公司 粒子光学系统和排布结构,以及用于其的粒子光学组件
EP1521289B1 (en) * 2003-09-11 2008-06-25 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Single stage charged particle beam energy width reduction system for charged particle beam system
JP3968338B2 (ja) * 2003-10-08 2007-08-29 株式会社東芝 荷電ビーム露光装置
ATE441202T1 (de) * 2004-05-17 2009-09-15 Mapper Lithography Ip Bv Belichtungssystem mit einem geladenen teilchenstrahl
US7420164B2 (en) * 2004-05-26 2008-09-02 Ebara Corporation Objective lens, electron beam system and method of inspecting defect
US7173259B2 (en) * 2004-06-09 2007-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Automatically aligning objective aperture for a scanning electron microscope
US7703823B2 (en) * 2004-07-12 2010-04-27 Rudolph Technologies, Inc. Wafer holding mechanism
US7067807B2 (en) * 2004-09-08 2006-06-27 Applied Materials, Israel, Ltd. Charged particle beam column and method of its operation
JP4611755B2 (ja) * 2005-01-13 2011-01-12 株式会社日立ハイテクノロジーズ 走査電子顕微鏡及びその撮像方法
US7364922B2 (en) * 2005-01-24 2008-04-29 Tokyo Electron Limited Automated semiconductor wafer salvage during processing
US7468507B2 (en) * 2005-01-26 2008-12-23 Applied Materials, Israel, Ltd. Optical spot grid array scanning system
US7468506B2 (en) * 2005-01-26 2008-12-23 Applied Materials, Israel, Ltd. Spot grid array scanning system
US20060181266A1 (en) * 2005-02-14 2006-08-17 Panelvision Technology, A California Corporation Flat panel display inspection system
JP4498185B2 (ja) * 2005-03-23 2010-07-07 株式会社東芝 基板検査方法、半導体装置の製造方法および基板検査装置
JP2006287119A (ja) * 2005-04-04 2006-10-19 Canon Inc 露光システム及び露光方法
JP4691391B2 (ja) * 2005-05-12 2011-06-01 独立行政法人理化学研究所 電子顕微鏡
JP4679978B2 (ja) * 2005-06-28 2011-05-11 株式会社日立ハイテクノロジーズ 荷電粒子ビーム応用装置
JP2007012516A (ja) * 2005-07-01 2007-01-18 Jeol Ltd 荷電粒子ビーム装置及び荷電粒子ビームを用いた試料情報検出方法
DE602006020899D1 (de) * 2005-09-06 2011-05-05 Applied Materials Israel Ltd Teilchenoptische Anordnung mit teilchenoptischer Komponente
JP4621097B2 (ja) * 2005-09-14 2011-01-26 株式会社日立ハイテクノロジーズ 電子線装置およびその制御方法
ATE464647T1 (de) 2005-11-28 2010-04-15 Zeiss Carl Smt Ag Teilchenoptische komponente
US7491934B2 (en) * 2006-01-13 2009-02-17 Ut-Battelle, Llc SEM technique for imaging and measuring electronic transport in nanocomposites based on electric field induced contrast
JP4621621B2 (ja) * 2006-03-31 2011-01-26 株式会社東芝 荷電ビーム描画装置
US9362439B2 (en) * 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8305432B2 (en) * 2007-01-10 2012-11-06 University Of Washington Scanning beam device calibration
JP2008235464A (ja) * 2007-03-19 2008-10-02 Toshiba Corp 電子ビーム描画装置
JP2008233035A (ja) * 2007-03-23 2008-10-02 Toshiba Corp 基板検査方法
NL2001369C2 (nl) * 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
US7781853B2 (en) * 2007-07-26 2010-08-24 Hewlett-Packard Development Company, L.P. Plasmon-enhanced electromagnetic-radiation-emitting devices and methods for fabricating the same
EP2019414B1 (en) * 2007-07-27 2010-06-30 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Magnetic lens assembly
US7883745B2 (en) 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
DE102007054073A1 (de) * 2007-11-13 2009-05-14 Carl Zeiss Nts Gmbh System und Verfahren zum Bearbeiten eines Objekts
US8361566B2 (en) * 2008-04-08 2013-01-29 Los Alamos National Security, Llc Method of fabrication of fibers, textiles and composite materials
JP5352144B2 (ja) * 2008-07-22 2013-11-27 株式会社荏原製作所 荷電粒子ビーム検査方法及び装置
JP5484808B2 (ja) * 2008-09-19 2014-05-07 株式会社ニューフレアテクノロジー 描画装置及び描画方法
US8923601B2 (en) * 2009-04-30 2014-12-30 Hermes Microvision Inc. Method for inspecting overlay shift defect during semiconductor manufacturing and apparatus thereof
US8977665B2 (en) * 2009-05-27 2015-03-10 Prioria Robotics, Inc. Fault-aware matched filter and optical flow
WO2012041464A1 (en) 2010-09-28 2012-04-05 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US20120112091A1 (en) * 2010-11-04 2012-05-10 National Taiwan University Method for adjusting status of particle beams for patterning a substrate and system using the same
JP2012199529A (ja) * 2011-03-07 2012-10-18 Canon Inc 荷電粒子線描画装置、およびそれを用いた物品の製造方法
US9633819B2 (en) * 2011-05-13 2017-04-25 Fibics Incorporated Microscopy imaging method and system
JP5822535B2 (ja) * 2011-05-16 2015-11-24 キヤノン株式会社 描画装置、および、物品の製造方法
US8933425B1 (en) 2011-11-02 2015-01-13 Kla-Tencor Corporation Apparatus and methods for aberration correction in electron beam based system
JP5507587B2 (ja) * 2012-01-11 2014-05-28 ファナック株式会社 補助指令の実行機能を有する数値制御装置
WO2013118111A1 (en) * 2012-02-12 2013-08-15 El-Mul Technologies Ltd. Position sensitive stem detector
JP6295027B2 (ja) * 2013-04-03 2018-03-14 株式会社日立ハイテクノロジーズ 荷電粒子線装置およびそれを用いた計測方法
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
US9224660B2 (en) * 2013-08-30 2015-12-29 Kla-Tencor Corp. Tuning wafer inspection recipes using precise defect locations
US9821486B2 (en) * 2013-10-30 2017-11-21 Fei Company Integrated lamellae extraction station
DE102014011569B4 (de) * 2014-08-02 2016-08-18 Precitec Optronik Gmbh Verfahren zum Messen des Abstands zwischen einem Werkstück und einem Bearbeitungskopf einer Laserbearbeitungsvorrichtung
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
JP2017107959A (ja) * 2015-12-09 2017-06-15 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム装置及びマルチ荷電粒子ビーム像の形状調整方法
JP6746422B2 (ja) * 2016-08-01 2020-08-26 株式会社日立製作所 荷電粒子線装置
US10347460B2 (en) 2017-03-01 2019-07-09 Dongfang Jingyuan Electron Limited Patterned substrate imaging using multiple electron beams
JP2019003863A (ja) * 2017-06-16 2019-01-10 株式会社島津製作所 電子ビーム装置、ならびに、これを備えるx線発生装置および走査電子顕微鏡
CN111344489B (zh) * 2017-07-11 2023-05-16 斯坦福研究院 紧凑型静电离子泵
WO2019048293A1 (en) * 2017-09-07 2019-03-14 Asml Netherlands B.V. METHODS OF INSPECTING SAMPLES WITH MULTIPLE BEAMS OF CHARGED PARTICLES
JP6987693B2 (ja) * 2018-04-27 2022-01-05 株式会社荏原製作所 検査方法、検査装置、及びこれを備えためっき装置
KR102660825B1 (ko) * 2018-10-19 2024-04-26 에이에스엠엘 네델란즈 비.브이. 멀티 빔 검사 장치에서 전자 빔들을 정렬하기 위한 시스템 및 방법
JP7171378B2 (ja) * 2018-11-15 2022-11-15 株式会社ニューフレアテクノロジー マルチ電子ビーム検査装置及びマルチ電子ビーム検査方法
US11366072B2 (en) * 2020-05-04 2022-06-21 Applied Materials Israel Ltd. Detecting backscattered electrons in a multibeam charged particle column
JP7514677B2 (ja) * 2020-07-13 2024-07-11 株式会社ニューフレアテクノロジー パターン検査装置及びパターンの輪郭位置取得方法
WO2022018840A1 (ja) 2020-07-22 2022-01-27 キヤノンアネルバ株式会社 イオンガン及び真空処理装置
CN116134577A (zh) 2020-07-22 2023-05-16 佳能安内华股份有限公司 离子枪和真空处理设备
US20220043432A1 (en) * 2020-08-06 2022-02-10 Changxin Memory Technologies, Inc. System for detecting semiconductor process and method for detecting semiconductor process
US11899375B2 (en) 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
CN116088027A (zh) * 2021-05-27 2023-05-09 中科晶源微电子技术(北京)有限公司 探测器检测设备及使用其进行检测探测器的方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944645A (en) * 1985-04-16 1990-07-31 Toshiba Kikai Kabushiki Kaisha Method and apparatus for loading objects into evacuated treating chamber
JPH0714501A (ja) * 1993-06-22 1995-01-17 Nec Corp 電界放出冷陰極とこれを用いた電子銃
JPH1012684A (ja) * 1996-06-26 1998-01-16 Hitachi Ltd 半導体装置の検査方法及びその装置
JPH1073424A (ja) * 1996-08-29 1998-03-17 Nikon Corp 欠陥検査装置
JPH10228877A (ja) * 1997-02-13 1998-08-25 Jeol Ltd 熱電界放出電子銃および熱電界放出電子銃用エミッタの製造方法
JPH11354063A (ja) * 1998-06-05 1999-12-24 Nikon Corp 電子線転写装置
JP2000003692A (ja) * 1998-06-12 2000-01-07 Nikon Corp 荷電粒子線写像投影光学系
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000113848A (ja) * 1998-10-05 2000-04-21 Nikon Corp 電子ビーム検査装置
EP0999572A2 (en) * 1998-11-02 2000-05-10 Advantest Corporation Electrostatic deflector for electron beam exposure apparatus
JP2000133565A (ja) * 1998-10-23 2000-05-12 Canon Inc 荷電粒子線露光方法及び装置、ならびにデバイス製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4509564A (en) * 1982-01-25 1985-04-09 Sedgewick Richard D Method for winding annular zig-zag windings
US4726689A (en) * 1986-10-22 1988-02-23 Eclipse Ion Technology, Inc. Linear gas bearing with integral vacuum seal for use in serial process ion implantation equipment
US4823006A (en) * 1987-05-21 1989-04-18 Electroscan Corporation Integrated electron optical/differential pumping/imaging signal detection system for an environmental scanning electron microscope
JPH065691B2 (ja) * 1987-09-26 1994-01-19 株式会社東芝 半導体素子の試験方法および試験装置
CA1317035C (en) * 1989-01-25 1993-04-27 Matthias Brunner Method for examining a specimen in a particle beam instrument
US5557105A (en) * 1991-06-10 1996-09-17 Fujitsu Limited Pattern inspection apparatus and electron beam apparatus
JP2877624B2 (ja) * 1992-07-16 1999-03-31 株式会社東芝 走査電子顕微鏡の対物レンズアライメント制御装置及び制御方法
JPH09139184A (ja) * 1995-11-15 1997-05-27 Nikon Corp 静電偏向器の製造方法
US5892224A (en) * 1996-05-13 1999-04-06 Nikon Corporation Apparatus and methods for inspecting wafers and masks using multiple charged-particle beams
JP3927620B2 (ja) * 1996-06-12 2007-06-13 キヤノン株式会社 電子ビーム露光方法及びそれを用いたデバイス製造方法
JPH10106926A (ja) * 1996-10-01 1998-04-24 Nikon Corp 荷電粒子線リソグラフィ装置、荷電粒子線リソグラフィ装置の評価方法およびパターン形成方法
US6184526B1 (en) * 1997-01-08 2001-02-06 Nikon Corporation Apparatus and method for inspecting predetermined region on surface of specimen using electron beam
US5981947A (en) * 1997-02-03 1999-11-09 Nikon Corporation Apparatus for detecting or collecting secondary electrons, charged-particle beam exposure apparatus comprising same, and related methods
JPH11132975A (ja) * 1997-10-31 1999-05-21 Toshiba Corp 電子ビームを用いた検査方法及びその装置
AU2886899A (en) * 1998-03-02 1999-09-20 Matthew T. Morris Candle with embedded glass
WO1999050651A1 (en) * 1998-03-27 1999-10-07 Hitachi, Ltd. Pattern inspection device
JP3724949B2 (ja) * 1998-05-15 2005-12-07 株式会社東芝 基板検査装置およびこれを備えた基板検査システム並びに基板検査方法
US6559456B1 (en) * 1998-10-23 2003-05-06 Canon Kabushiki Kaisha Charged particle beam exposure method and apparatus
US6465783B1 (en) * 1999-06-24 2002-10-15 Nikon Corporation High-throughput specimen-inspection apparatus and methods utilizing multiple parallel charged particle beams and an array of multiple secondary-electron-detectors
US6583413B1 (en) * 1999-09-01 2003-06-24 Hitachi, Ltd. Method of inspecting a circuit pattern and inspecting instrument
JP2001093455A (ja) * 1999-09-21 2001-04-06 Nikon Corp 電子ビーム装置
JP2001118536A (ja) * 1999-10-19 2001-04-27 Nikon Corp 荷電粒子ビーム制御素子および荷電粒子ビーム装置
WO2002013227A1 (fr) * 2000-07-27 2002-02-14 Ebara Corporation Appareil d'analyse a faisceau plan
US7244932B2 (en) * 2000-11-02 2007-07-17 Ebara Corporation Electron beam apparatus and device fabrication method using the electron beam apparatus
US6593152B2 (en) * 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
EP1273907A4 (en) * 2000-11-17 2006-08-30 Ebara Corp METHOD AND INSTRUMENT FOR WAFER INSPECTION AND ELECTRON BEAM
US7095022B2 (en) * 2000-12-12 2006-08-22 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
JP2003138036A (ja) 2001-08-20 2003-05-14 Nissha Printing Co Ltd 艶消し印刷フィルムおよび艶消し成形品

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944645A (en) * 1985-04-16 1990-07-31 Toshiba Kikai Kabushiki Kaisha Method and apparatus for loading objects into evacuated treating chamber
JPH0714501A (ja) * 1993-06-22 1995-01-17 Nec Corp 電界放出冷陰極とこれを用いた電子銃
JPH1012684A (ja) * 1996-06-26 1998-01-16 Hitachi Ltd 半導体装置の検査方法及びその装置
JPH1073424A (ja) * 1996-08-29 1998-03-17 Nikon Corp 欠陥検査装置
JPH10228877A (ja) * 1997-02-13 1998-08-25 Jeol Ltd 熱電界放出電子銃および熱電界放出電子銃用エミッタの製造方法
JPH11354063A (ja) * 1998-06-05 1999-12-24 Nikon Corp 電子線転写装置
JP2000003692A (ja) * 1998-06-12 2000-01-07 Nikon Corp 荷電粒子線写像投影光学系
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000113848A (ja) * 1998-10-05 2000-04-21 Nikon Corp 電子ビーム検査装置
JP2000133565A (ja) * 1998-10-23 2000-05-12 Canon Inc 荷電粒子線露光方法及び装置、ならびにデバイス製造方法
EP0999572A2 (en) * 1998-11-02 2000-05-10 Advantest Corporation Electrostatic deflector for electron beam exposure apparatus

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8368016B1 (en) 2001-06-15 2013-02-05 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
US7005641B2 (en) 2001-06-15 2006-02-28 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
US7361895B2 (en) 2001-06-15 2008-04-22 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
JP2003331763A (ja) * 2002-05-15 2003-11-21 Ebara Corp 電子線装置及び該装置を用いたデバイス製造方法
US8035082B2 (en) 2005-03-03 2011-10-11 Kabushiki Kaisha Toshiba Projection electron beam apparatus and defect inspection system using the apparatus
WO2006101116A1 (ja) * 2005-03-22 2006-09-28 Ebara Corporation 電子線装置
JP2006269091A (ja) * 2005-03-22 2006-10-05 Ebara Corp 電子線装置
US8067732B2 (en) 2005-07-26 2011-11-29 Ebara Corporation Electron beam apparatus
JP2007329034A (ja) * 2006-06-08 2007-12-20 Hitachi High-Technologies Corp 走査電子顕微鏡の電子ビーム軸調整方法および走査電子顕微鏡
JP4728173B2 (ja) * 2006-06-08 2011-07-20 株式会社日立ハイテクノロジーズ 走査電子顕微鏡の電子ビーム軸調整方法および走査電子顕微鏡
US7863580B2 (en) 2006-06-13 2011-01-04 Ebara Corporation Electron beam apparatus and an aberration correction optical apparatus
US8330103B2 (en) 2007-06-29 2012-12-11 Hitachi High-Technologies Corporation Charged particle beam apparatus and specimen inspection method
WO2012081422A1 (ja) * 2010-12-15 2012-06-21 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置、及び照射方法
JP5592957B2 (ja) * 2010-12-15 2014-09-17 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置、及び照射方法
US8907278B2 (en) 2010-12-15 2014-12-09 Hitachi High-Technologies Corporation Charged particle beam applied apparatus, and irradiation method
TWI593961B (zh) * 2010-12-15 2017-08-01 日立全球先端科技股份有限公司 Charged particle line application device, and irradiation method
KR20170092667A (ko) * 2014-12-09 2017-08-11 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스
KR102478872B1 (ko) 2014-12-09 2022-12-19 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스
JP2020503644A (ja) * 2016-12-30 2020-01-30 エーエスエムエル ネザーランズ ビー.ブイ. 複数の荷電粒子ビームを使用する装置
US11062874B2 (en) 2016-12-30 2021-07-13 Asml Netherlands B.V. Apparatus using multiple charged particle beams
CN112578056A (zh) * 2019-09-29 2021-03-30 西安赛诺空间科技有限公司 一种水中惰性气体分离装置及其控制方法

Also Published As

Publication number Publication date
US20080173815A1 (en) 2008-07-24
JPWO2002037527A1 (ja) 2004-03-11
US7439502B2 (en) 2008-10-21
US20020148961A1 (en) 2002-10-17
US20070272859A1 (en) 2007-11-29
EP1271606A1 (en) 2003-01-02
US7244932B2 (en) 2007-07-17

Similar Documents

Publication Publication Date Title
WO2002037527A1 (fr) Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil
US9368314B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US7129485B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
JP2003173756A (ja) 電子線装置及び該装置を用いたデバイス製造方法
JP4217257B2 (ja) 電子線装置を用いたデバイス製造方法
JP4221428B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2008193119A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP4224089B2 (ja) 撮像装置、欠陥検査装置、欠陥検査方法及び電子線検査装置
JP3890015B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2007184283A (ja) 荷電粒子線装置及び方法

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2002 540181

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2001980966

Country of ref document: EP

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 2001980966

Country of ref document: EP