TWI669996B - 用於積體電路製造之方法 - Google Patents

用於積體電路製造之方法 Download PDF

Info

Publication number
TWI669996B
TWI669996B TW104142382A TW104142382A TWI669996B TW I669996 B TWI669996 B TW I669996B TW 104142382 A TW104142382 A TW 104142382A TW 104142382 A TW104142382 A TW 104142382A TW I669996 B TWI669996 B TW I669996B
Authority
TW
Taiwan
Prior art keywords
substrate
halogen
cleaning material
integrated circuit
gas
Prior art date
Application number
TW104142382A
Other languages
English (en)
Other versions
TW201635874A (zh
Inventor
約翰 塔勒
馬修 G. 古德曼
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201635874A publication Critical patent/TW201635874A/zh
Application granted granted Critical
Publication of TWI669996B publication Critical patent/TWI669996B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/002Pretreatement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一些實施例中,一種用於積體電路製造之方法包括自基板之表面移除氧化物材料,其中所述表面包括矽及鍺。移除所述氧化物材料包括將含鹵素的預清潔材料沉積於含氧化矽的表面上,且昇華所述含鹵素的預清潔材料之一部分以暴露所述表面上之矽。將鈍化膜沉積於暴露矽上。所述鈍化膜可包括氯。所述鈍化膜可防止矽表面受來自稍後昇華之化學物質污染,其中稍後昇華可在高於較早昇華之溫度下。隨後,使所述含鹵素的預清潔材料之剩餘部分及所述鈍化膜昇華。隨後可將諸如導電材料之目標材料沉積於基板表面上。

Description

用於積體電路製造之方法
本發明是關於積體電路之製造,特別是關於用於預清潔基板表面之方法及設備。
積體電路之製造常常可涉及基板表面上一或多個材料層之形成。此等材料層可包括例如單晶、多晶及/或非晶材料層。材料層之形成可使用各種薄膜沉積技術達成,所述薄膜沉積技術包括各種物理(例如,物理濺射)及/或化學(例如,化學氣相沉積、原子層沉積及/或磊晶沉積)沉積技術。舉例而言,基板表面上之單晶材料形成可使用諸如用於單晶半導體材料(例如,單晶矽)之形成的磊晶沉積製程進行。
基板表面上的介入材料(intervening material)(例如,天然氧化物層,諸如矽-鍺基板上包含矽及鍺之氧化物材料層)之存在可干擾所述基板表面上之欲形成材料層。舉例而言,介入材料可造成欲形成材料層之結構中增加數目的缺陷之引入及/或可不利地影 響所要材料層之電性效能。在一些實施例中,諸如天然氧化物材料之介入材料可由於在積體電路製造製程期間將基板暴露至氧(例如,在製造系統間之轉移基板的期間暴露至周圍空氣,及/或暴露至製造系統內的殘餘氧化劑)而於基板表面上形成。
因此,持續的需要用於在基板表面上形成高品質層的製程。
一種用於積體電路製造之方法可包括自基板之表面移除氧化物材料,其中表面包括矽及鍺。移除氧化物材料可包括將含鹵素的預清潔材料沉積於表面上,且使含鹵素的預清潔材料之一部分昇華以暴露表面上之矽。可將鈍化材料沉積於暴露的矽上。在一些實施例中,鈍化材料包含氯。
一種用於積體電路製造之方法可包括自基板之表面移除氧化物材料,其中基板包括矽及鍺。移除氧化物材料可包括將含氯的鈍化材料沉積於基板之表面上,且使含氯的鈍化材料昇華而實質上不蝕刻基板。
一種用於積體電路製造之方法可包括自基板之表面移除含鍺的氧化物材料,其中基板包括鍺。移除含鍺的氧化物材料可包括由含鍺的氧化物材料形成含鹵素及鍺的預清潔材料,且使含鹵素及鍺的預清潔材料昇華。
100‧‧‧製程
102、104、106、108、110‧‧‧方塊
112‧‧‧循環
500‧‧‧設備
502‧‧‧反應腔室
504‧‧‧遠程電漿單元
506‧‧‧轉移管
508‧‧‧反應腔室氣體入口
510‧‧‧遠程電漿單元氣體入口
512‧‧‧轉移管氣體入口
514‧‧‧基座
516‧‧‧基板
518‧‧‧噴淋頭
參照某些實施例之圖式來描述本揭示內容之各種特徵、態樣及優勢,所述圖式用來說明某些實施例而不限制本發明。
圖1顯示基板表面預清潔製程之實例。
圖2顯示經組態以進行基板表面預清潔製程的設備之實例。
圖3顯示暴露至不同基板表面預清潔製程之基板的界面含氧量。
圖4顯示暴露至不同基板表面預清潔製程之基板的表面粗糙度。
本文所述之各種實施例是關於一種用於自基板之暴露表面移除氧化物材料之預清潔製程。應理解的是,所得之預清潔表面可提供促進材料之高品質層之稍後形成(諸如矽之磊晶生長)的表面。
在一些實施例中,基板預清潔製程經組態以自包含鍺之基板之表面(其包括包含鍺或包含矽及鍺兩者之基板表面)移除氧化物材料。在一些實施例中,基板表面可包含處於任何莫耳比之矽及鍺兩者(例如,Si1-xGex,其中x大於0且小於1)。舉例而言,所述製程可自矽-鍺基板移除天然氧化物材料。預清潔製程可包括將預清潔材料沉積於基板表面上且隨後使預清潔材料揮發,從而自基板表面移除氧化物材料。移除預清潔材料可包括自基板移除 一部分或全部之預清潔材料。在不受任何特定理論或操作方式限制之情況下,為了形成預清潔材料,在預清潔製程期間,流動至反應腔室中的反應物質被認為是可與基板表面上之氧化物材料發生化學相互作用。在一些實施例中,預清潔材料可包含在反應物質與基板表面氧化物材料之間的化學反應及/或在反應物質本身之間的化學反應所產生之一或多種組分。舉例而言,用於形成預清潔材料之反應氣體可包含鹵素,以使得含鹵素的反應氣體與基板表面氧化物(例如,氧化矽及氧化鍺)發生化學反應以形成預清潔材料,其中所述預清潔材料的一些部分包含鹵素及矽,且所述預清潔材料的其他部分包含鹵素及鍺。預清潔材料之形成及隨後的移除造成表面氧化物之移除,從而於基板之至少一些部分上留下高品質的無氧化物表面。
在一些實施例中,可在不同時間移除預清潔材料之不同部分。舉例而言,在不受任何特定理論或操作方式限制之情況下,預清潔材料可包含在不同溫度下揮發的組分,導致預清潔材料之第一部分自基板表面昇華,之後第二部分(與第一部分不同)昇華。舉例而言,預清潔材料之包含鹵素及矽的部分可具有低於預清潔材料之包含鹵素及鍺的部分的昇華溫度,導致在基板之溫度升高時,含鹵素及矽的部分揮發於含鹵素及鍺的部分揮發之前。
再來,在不受任何特定理論或操作方式限制之情況下,含鹵素及矽的部分之昇華可使基板表面上之矽暴露。使含鹵素及鍺的部分隨後的昇華被認為是可造成暴露矽之污染及/或再氧化。 在一些實施例中,鈍化膜(例如含氯的鈍化膜)可形成於暴露矽上,使得在含鹵素及鍺的預清潔材料之昇華期間,鈍化膜為矽提供保護障壁。有利的是,此可提供在含鹵素及鍺的預清潔材料之昇華之後,未或實質上未受污染及/或再氧化的矽表面。
鈍化膜(例如含氯的鈍化膜)可藉由將基板暴露至鈍化膜反應氣體(例如含氯的氣體)來形成。舉例而言,可使含氯的氣體在預清潔製程期間流動至反應腔室中以形成含氯的鈍化膜。在一些實施例中,鈍化膜可藉由將基板暴露至包含除氯以外的一或多種鹵素(例如,氟、溴及/或碘)的鈍化膜反應氣體來形成,以形成諸如含氟、溴及/或碘的鈍化膜。在一些實施例中,鈍化膜可藉由將基板暴露至鈍化膜反應氣體來形成,所述鈍化膜反應氣體包含含氯的組分及包含除氯以外的鹵素的一或多種組分。
在一些實施例中,在含鹵素及鍺的部分之昇華之前形成鈍化膜。舉例而言,可在其昇華之前將基板暴露至用於鈍化膜之前驅物。在一些實施例中,鈍化膜前驅物之流動可自較低昇華溫度部分之昇華持續,直到較高昇華溫度部分之昇華完成。應理解的是,基板之溫度可在含矽的預清潔材料之昇華與含鍺的預清潔材料之昇華之間升高。在一些實施例中,鈍化膜形成於基板溫度達到含鍺的預清潔材料之昇華溫度之前。可隨後移除鈍化膜及含鍺的預清潔材料以提供預清潔的基板表面。在一些實施例中,可在用於使含鍺的預清潔材料昇華之製程期間移除鈍化膜。舉例而言,可將基板加熱至用於使含鍺的預清潔材料昇華之溫度,其中 此加熱移除含鍺的預清潔材料及鈍化膜。
在一些實施例中,可將目標材料(例如包括導電材料)沉積於預清潔的基板表面上。導電材料可包括但不限於含半導體的材料(例如,含矽的材料)、含金屬的材料或其組合。舉例而言,目標材料可包括單晶矽。如本文中所用,目標材料為沉積與預清潔的基板表面直接接觸的材料。在一些實施例中,可將移除氧化物材料的基板圖案化(例如,在其表面上具有諸如溝槽的凹槽之圖案)。在一些實施例中,基板可包括暴露的電子裝置(例如,電晶體結構)。
在一些實施例中,預清潔製程可包括複數個循環,其中各循環可包括:形成預清潔材料;移除預清潔材料之第一部分;形成鈍化膜;以及移除預清潔材料之第二部分。包括超過一個循環的預清潔製程可有利地展示特別高的選擇性。舉例而言,相較於預清潔製程之單循環之選擇性效能,預清潔製程之第二循環及/或其他稍後額外循環可展示:相對於自基板表面移除諸如氮化矽之另一材料,自基板表面移除氧化物具有顯著更高的選擇性。在一些實施例中,包括複數個循環的預清潔製程可促進表面氧化物材料之所要的移除,同時保持合意地低數目的缺陷以及包括基板表面上一或多個特徵之非所要的阻塞及/或過度蝕刻。
在一些實施例中,預清潔製程可於單反應腔室中原處進行。舉例而言,沉積預清潔材料、使預清潔材料揮發、沉積鈍化膜以及移除鈍化膜可於同一反應腔室中進行。有利的是,於單反應腔室中進行預清潔製程可促進增大的產量且減少由於基板之運 輸的污染。
在一些其他實施例中,預清潔材料可於反應腔室中形成,其中所述反應腔室不同於隨後將預清潔材料自基板移除的反應腔室。舉例而言,預清潔材料可於第一反應腔室中形成且隨後轉移至移除預清潔材料之第二反應腔室,從而提供預清潔的基板表面。在一些實施例中,於第二反應腔室中形成鈍化膜。舉例而言,預清潔材料之昇華可於第二反應腔室中進行。在一些實施例中,預清潔材料可於第一反應腔室中部分地移除。舉例而言,預清潔材料之第一部分可於第一反應腔室中移除,且鈍化膜可於第一反應腔室中的基板表面上形成。在一些實施例中,第二反應腔室可為目標材料可於預清潔的基板表面上形成的腔室。在一些實施例中,第二反應腔室可為磊晶沉積腔室且目標材料可為磊晶矽層(例如,單晶矽)。
於基板上隨後形成目標材料的同一反應腔室中移除預清潔材料,可有利地向目標材料之稍後的沉積提供高品質的表面。舉例而言,預清潔材料可在基板表面上提供保護層,以減少及/或防止在將基板運輸至反應腔室用於目標材料沉積的期間發生在基板表面上的額外氧化。在一些實施例中,於隨後形成目標材料的同一反應腔室中移除預清潔材料可促進具有減少的缺陷計數及/或改良的電性效能的目標材料之沉積。在一些實施例中,於隨後形成目標材料之同一反應腔室中移除預清潔材料可促進在真空環境外的基板隨後運輸至預清潔製程,及/或彼此未真空耦接的反應腔 室之使用(例如,未經由各種閘控閥彼此耦接的反應腔室,諸如群集工具中之反應腔室之使用)。
參考圖1,其顯示用於預清潔基板表面之示例性製程100。在方塊102中,提供一種於基板表面上具有氧化物材料之基板,其中所述表面可包括矽及鍺。在一些實施例中,氧化物材料包括氧化矽及氧化鍺。在方塊104中,諸如含有鹵素的材料之預清潔材料可形成於基板表面上。在一些實施例中,含鹵素的預清潔材料可藉由將氧化物材料暴露至預清潔材料反應氣體來形成。應理解的是,含鹵素的預清潔材料之組成可在基板之不同區域中變化。舉例而言,含鹵素的預清潔材料可包含含有鹵素及矽的部分(在先前含有氧化矽的區域中)及含有鹵素及鍺的部分(在先前含有氧化鍺的區域中)。在方塊106中,可昇華含鹵素的預清潔材料之一部分以暴露基板之表面上的矽。在一些實施例中,含鹵素及矽的材料具有小於含鹵素及鍺的材料的昇華溫度。因此,在方塊106處,昇華預清潔材料之部分可包含昇華含鹵素及矽的材料,以自表面移除含矽及氧的物質,從而暴露基板表面上的矽。在方塊108中,鈍化材料可於暴露矽上形成。舉例而言,含氯的鈍化膜可在暴露矽上形成保護障壁。在方塊110中,可昇華含鹵素的預清潔材料之剩餘部分。含鹵素及鍺的預清潔材料可具有高於含鹵素及矽的預清潔材料的昇華溫度,且昇華含鹵素的預清潔材料之剩餘部分可自表面移除含鹵素及鍺的預清潔材料。在一些實施例中,鈍化膜可在含鹵素的預清潔材料之剩餘部分之昇華期間自基 板移除。舉例而言,鈍化膜可在加熱基板用於昇華預清潔材料之剩餘部分的期間移除。
在一些實施例中,在預清潔製程100的期間,反應腔室壓力可為約1毫托至約50托。在一些實施例中,反應腔室壓力可為約1毫托至約30托,包括約1毫托至約20托。較佳地,腔室壓力為約1毫托至約5托,且更佳地為約1毫托至約1托。
在一些實施例中,基板表面可包含氮化矽材料(例如,氮化矽材料用於在基板表面上形成各種電子裝置,其包括用於電子裝置的間隙壁之特徵)及欲藉由預清潔製程移除的氧化物材料(例如,包含矽及鍺的氧化物材料)。在一些實施例中,氧化物材料可以相對於基板表面上的氮化矽材料的大於約7:1的選擇性來選擇性地移除。在一些實施例中,用於移除氧化物的預清潔製程之選擇性相對於氮化矽可為約6:1至約150:1。舉例而言,所述選擇性可為約6:1至約60:1,或約7:1至約20:1,包括約7:1至約15:1,或約8:1至約15:1,或約8:1至約12:1。
如圖1中所示,預清潔製程100可包括複數個循環112。預清潔製程之循環112可包括方塊104、106、108及110。舉例而言,預清潔製程100可包括重複方塊104、106、108及110所要的次數以達成自基板表面所欲期望的氧化物移除。在一些實施例中,各循環112僅移除氧化物材料之一部分,其中複數個循環經組態以移除期望的氧化物材料之總量(例如,實質上所有的氧化物材料)。
繼續參考圖1,將預清潔材料(諸如含鹵素的預清潔材料)沉積於基板表面上(例如,圖1之方塊104)可包含將基板表面暴露至預清潔材料反應氣體。預清潔反應氣體之組成可包括一或多種載氣。合適的載氣可包括任何數目的惰性氣體。在一些實施例中,載氣可包含氬氣(Ar)。在一些實施例中,預清潔材料反應氣體亦可包括含鹵素的氣體。舉例而言,含鹵素的氣體可為含氟的氣體。合適的含氟的氣體可包括但不限於三氟化氮(NF3)、氟化氫(HF)及/或雙原子氟(F2)。在一些實施例中,預清潔材料反應氣體亦可包括含氫的氣體。合適的含氫的氣體可包括例如氨氣(NH3)。
如本文所述,在不受任何特定理論或操作方式限制之情況下,預清潔材料可包含藉由預清潔材料反應氣體及基板表面氧化物材料(包括包含矽及鍺之氧化物材料)之反應所形成之一或多種組分。舉例而言,包含氨氣及一或多種含氟的化合物之預清潔材料反應氣體被認為是與氧化物發生化學反應以產生水蒸氣及包含氮、氫、鍺及矽的預清潔材料。在一些實施例中,氨氣及三氟化氮、氟化氫及/或氟可與氧化物反應以提供包含六氟矽酸銨((NH4)2SiF6)及六氟鍺酸銨((NH4)2GeF6)的預清潔材料。
在不受任何特定理論或操作方式限制之情況下,氟化銨(NH4F)的形成可認為是當反應氣體之含鹵素的成分(例如,NF3、HF及/或F2)之氟(F)原子與氨氣(NH3)反應以形成氟化氫(HF)時,氟化氫可與氨氣(NH3)組合以形成氟化銨(NH4F)。在一些實施例中,氟化銨可藉由分解且與氧化物反應以形成四氟化矽(SiF4)、四 氟化鍺(GeF4)及水蒸氣(H2O)來移除氧化物材料,且四氟化矽(SiF4)及四氟化鍺(GeF4)可與NH4F組合以形成六氟矽酸銨((NH4)2SiF6)及六氟鍺酸銨((NH4)2GeF6),六氟矽酸銨((NH4)2SiF6)及六氟鍺酸銨((NH4)2GeF6)與基板表面上形成膜層。舉例而言,氟化銨之帶陰性電的氟(F)可經吸引至氧化物材料之相對更具陽性電的矽(Si)及鍺(Ge),而銨(NH4 +)可經吸引至氧化物材料之氧(O)。
在一些實施例中,基板可在預清潔材料之形成期間(例如包括當基板表面暴露至預清潔製程之預清潔材料反應氣體時)保持在所想要的溫度下。在一些實施例中,基板可在預清潔材料之形成期間保持在高於預清潔材料反應氣體之凝結溫度的溫度下。舉例而言,基板可保持在大於約15℃,或在一些實施例中大於約20℃之溫度下。在一些實施例中,基板可保持在約15℃至約50℃(包括約15℃至約30℃,約22℃至約28℃,及約25℃至約30℃)之溫度下。舉例而言,基板可保持在約18℃至約28℃之溫度下,從而可促進於基板表面上移除氧化物之高選擇性(相對於氮化矽材料)。
預清潔材料反應氣體之一或多種成分可由電漿源活化。舉例而言,反應氣體之一或多種成分可由遠程電漿源(例如,遠程電漿單元(remote plasma unit,或RPU))活化,例如是藉由使一或多種成分流過遠程電漿產生器以產生一或多種活化反應物質(例如,產生帶電離子及/或中性原子及/或基團)於所述物質流動至反應腔室中之前。在一些實施例中,預清潔材料反應氣體之至少一 種成分未藉由電漿產生器活化。
在一些實施例中,基板表面預清潔製程100可包括載氣(例如,氬氣)、含鹵素的氣體及/或含氫的氣體(例如,以提供包含含氬的、含鹵素的及/或含氫的帶電離子、原子及/或基團的反應物質),其中至少一者經電漿活化。舉例而言,包含氬氣(Ar)的反應氣體可藉由遠程電漿源活化(例如藉由使氬氣流過遠程電漿單元)。在一些實施例中,含氟的氣體可藉由在引入至反應腔室中之前流過遠程電漿單元以活化。在一些實施例中,含氫的氣體(例如,氨氣)可藉由使含氫的氣體流過遠程電漿產生器(於本文中亦稱為遠程電漿源)以活化。
在一些實施例中,載氣、含鹵素的氣體及含氫的氣體中之一或多者可未經電漿活化。舉例而言,未活化的反應氣體可不流過遠程電漿源於將基板表面暴露至反應腔室中之所述未活化的反應氣體之前。在一些實施例中,載氣可藉由遠程電漿產生器活化,而含鹵素的氣體及含氫的氣體可不藉由遠程電漿產生器活化。舉例而言,可將含氟的氣體及含氫的氣體引入至反應腔室中而不使氣體流過電漿源。在一些實施例中,預清潔材料反應氣體之所有成分均由遠程電漿源活化,其包括例如載氣、含鹵素的氣體及含氫的氣體。
在一些實施例中,未活化的預清潔材料反應氣體於引入至反應腔室中之前,可與一或多種藉由電漿源活化的預清潔材料反應氣體組合。舉例而言,未活化的反應氣體於引入至反應腔室 中之前,可與藉由所述遠程電漿源下游之遠程電漿源活化的反應氣體組合。在一些實施例中,反應氣體之成分可依序引入至反應腔室中。舉例而言,基板可首先暴露至反應氣體之一或多種活化成分,接著暴露至反應氣體之一或多種未活化成分,或反之亦然。在一些實施例中,反應氣體之活化成分本身及/或未活化成分本身可依序引入至反應腔室中(例如,反應氣體之第二活化成分接在反應氣體之第一活化成分之後)。
在一些實施例中,藉由遠程電漿源活化的載氣(例如,氬氣)可與未活化的含鹵素的氣體(例如,氟化氫、雙原子氟及/或三氟化氮)及未活化的含氫的氣體(例如,氨氣)在遠程電漿源之下游位置組合於將活化的載氣及未活化的含鹵素的氣體及未活化的含氫的氣體引入至反應腔室中之前。在一些實施例中,載氣(例如,氬氣)及含鹵素的氣體(例如,氟化氫、雙原子氟及/或三氟化氮)藉由遠程電漿源組合且活化,接著與未活化的含氫的氣體(例如,氨氣)在遠程電漿源之下游位置處組合於活化載氣、活化含鹵素的氣體及未活化含氫的氣體引入至反應腔室中之前。在一些實施例中,載氣(例如,氬氣)、含鹵素的氣體(例如,氟化氫、雙原子氟及/或三氟化氮)及含氫的氣體(例如,氨氣)皆藉由遠程電漿源活化。舉例而言,載氣、含鹵素的氣體及含氫的氣體可在使氣體流過遠程電漿源之前組合。
在一些實施例中,預清潔材料之形成可包括首先將藉由遠程電漿源活化的載氣(例如,氬氣)及含鹵素的氣體(例如,氟化 氫、雙原子氟及/或三氟化氮)之組合引入至反應腔室中,接著引入未活化的含鹵素的氣體(例如,氟化氫)及含氫的氣體(例如,氨氣)之組合。在一些實施例中,預清潔材料之形成可包括首先將藉由遠程電漿源活化的載氣及含鹵素的氣體之組合引入至反應腔室中,接著使未活化的含鹵素的氣體、未活化的含氫的氣體依序流動。
在一些實施例中,一或多種的製程可用於反應腔室之氣體移除(例如,現有反應腔室氛圍的移除,其可包括過量的反應氣體及/或氣態反應副產物)。在一些實施例中,一或多種的氣體移除製程可用於多種反應氣體之成分流動至反應腔室中之間。舉例而言,可將反應腔室抽空及/或沖洗。各種惰性氣體可用於沖洗製程中,其包括例如氮氣(N2)、氦氣(He)及/或氬氣(Ar)。在一些實施例中,未活化的惰性氣體可用於沖洗製程中(例如,未活化的N2、He及/或Ar)。
舉例而言,預清潔製程中的氣流之順序可包括首先將藉由遠程電漿源活化的載氣(例如,氬氣)及含鹵素的氣體(例如,氟化氫、雙原子氟及/或三氟化氮)之組合引入至反應腔室中,接著為氣體移除製程,且然後使未活化的含鹵素的氣體(例如,氟化氫)及含氫的氣體(例如,氨氣)之組合流動至反應腔室中。
在一些實施例中,氣體移除製程可在反應氣體開始流動之前及/或在反應氣體停止流動至反應腔室中之後使用。在一些實施例中,一或多種的沖洗製程可在反應氣體開始流動至反應腔室 中之前及/或在反應氣體停止流動至反應腔室中之後進行。舉例而言,可使一或多種惰性氣體(例如,氬氣,包括未活化氬氣)在反應氣體開始流動之前流動至反應腔室中,且在一些實施例中,可使一或多種惰性氣體在反應氣體停止流動至反應腔室中之後流動至反應腔室中。舉例而言,氣流之順序之實例可包括進行第一反應腔室沖洗製程,其包括使一或多種惰性氣體流動至反應腔室中,接著將反應氣體之成分引入至反應腔室中。在反應氣體之成分停止流動之後,可進行第二沖洗製程,其中所述第二沖洗製程包括使一或多種惰性氣體流動至反應腔室中以用於沖洗反應腔室中的反應氣體之過量成分及/或氣態反應之副產物。
在一些實施例中,可使沖洗製程之一或多種惰性氣體在引入至反應腔室中之前流過遠程電漿單元。沖洗製程之所述一或多種惰性氣體可在遠程電漿單元內未經電漿活化(例如,所述一或多種惰性氣體在遠程電漿單元中的流動可發生在電漿未在遠程電漿單元內點燃時)。舉例而言,所述一或多種惰性氣體可用於沖洗遠程電漿單元及/或用於隨後將遠程電漿單元中之電漿點燃。舉例而言,在使惰性氣體流過遠程電漿單元所期望的持續時間(未將電漿點燃)之後,可繼續使惰性氣體流過遠程電漿單元,以使遠程電漿單元內之電漿可用流過遠程電漿單元的所述惰性氣體點燃。
在一些實施例中,預清潔材料反應氣體之一或多種成分於引入至反應腔室中時可保持在所期望的溫度下。舉例而言,可於將載氣、含鹵素的氣體及含氫的氣體中之一或多者引入至反應 腔室中用於形成預清潔材料之前,將所述載氣、含鹵素的氣體及含氫的氣體中之一或多者加熱。在一些實施例中,將含氫的氣體加熱。舉例而言,可將含氫的氣體加熱至約30℃至約120℃,其包括約80℃至約115℃、約70℃至約110℃、約70℃至約105℃及約70℃至約100℃之溫度。預加熱可有利地促進改良的預清潔製程效能,例如促進預清潔材料之形成。在一些實施例中,基板表面預清潔製程可包括預加熱的氨氣,所述氨氣經加熱至約80℃至約115℃之溫度。亦可預加熱反應氣體之一或多種其他成分。
已發現預清潔製程中各種預清潔材料反應氣體之比率可影響相對於氮化矽的氧化矽材料之移除選擇性。在一些實施例中,預清潔材料反應氣體可具有約3:1至約10:1之含鹵素的氣體與含氫的氣體之莫耳比。在一些實施例中,所述莫耳比可為約4:1至約10:1,其包括約5:1至約10:1。舉例而言,預清潔材料反應氣體可具有約4.5:1之氨氣與三氟化氮、氟化氫及/或氟氣之莫耳比。在一些實施例中,藉由遠程電漿源活化的氨氣與未活化的三氟化氮(於其轉移管中預加熱)之莫耳比可為約3:1。有利的是,此類比率可提供用於移除氧化物材料的高水準選擇性(相對於氮化矽)。
再參考圖1,可昇華於基板表面上形成的預清潔材料之一部分,諸如含鹵素的預清潔材料之一部分(例如,圖1之方塊106)。舉例而言,可昇華預清潔材料之第一部分以自基板表面移除氧化物材料之第一部分。可使用各種技術移除預清潔材料(例如,分解 及/或揮發)。在一些實施例中,預清潔材料可藉由加熱基板以便使預清潔材料之一或多種組分揮發來移除。在一些實施例中,基板可藉由起始向基板提供的熱力來或提高向基板提供的熱力來加熱,以便將基板之溫度升高至預清潔材料之一或多種成分之昇華溫度或高於預清潔材料之一或多種成分之昇華溫度。
如本文所述,於包含矽及鍺的表面氧化物材料上形成的預清潔材料可包含不同化合物,其取決於基板表面上存在的材料。舉例而言,可存在六氟矽酸銨((NH4)2SiF6)及六氟鍺酸銨((NH4)2GeF6)。在一些實施例中,包含六氟矽酸銨((NH4)2SiF6)及六氟鍺酸銨((NH4)2GeF6)的預清潔材料可藉由加熱基板來分解及/或揮發,例如將其分解以形成四氟化矽(SiF4)、四氟化鍺(GeF4)、氨氣(NH3)及/或氟化氫(HF)。六氟鍺酸銨((NH4)2GeF6)可具有高於六氟矽酸銨((NH4)2SiF6)之昇華溫度,其導致預清潔材料在兩個分開的時間昇華;舉例而言,可加熱基板以使含有(NH4)2SiF6的預清潔材料之部分昇華於包含(NH4)2GeF6的預清潔材料之部分之前。在一些實施例中,(NH4)2SiF6可在大於約80℃下揮發,其包括約100℃至約150℃或約120℃之溫度,而(NH4)2GeF6可在大於約300℃下揮發,其包括約350℃至約400℃或約380℃之溫度。因此,在方塊106處,使預清潔材料之部分昇華可包含使含有(NH4)2SiF6的預清潔材料之部分昇華,而實質上不使(NH4)2GeF6昇華。
在不受任何特定理論或操作方式限制之情況下,使(NH4)2SiF6昇華可完成基板表面上氧化矽之移除,從而使基板表面 上預清潔的矽暴露。在一些實施例中,經暴露的矽可為純(例如,晶體)矽。
已發現經暴露的矽可受剩餘的含鹵素及鍺的預清潔材料污染。舉例而言,在不受特定理論或操作方法限制之情況下,經暴露的矽可由於基板表面上之剩餘(NH4)2GeF6而受污染及/或再氧化(例如由於當(NH4)2GeF6隨後藉由加熱基板至高於(NH4)2GeF6之昇華溫度的溫度揮發時所產生之反應副產物)。舉例而言,藉由使包含(NH4)2GeF6之預清潔材料之部分揮發所產生之副產物之一或多種組分可再沉積於經暴露的矽上,其產生非期望的表面污染物及/或使經暴露的矽再氧化,從而非期望地降低基板表面之品質。
繼續參考圖1,鈍化材料可形成於基板表面上(例如,圖1之方塊108),其特定地形成於表面上具有經暴露的矽之部分上。較佳地,鈍化膜直接接觸經暴露的矽。在不受任何特定理論或操作方式限制之情況下,鈍化膜可吸附及/或附著至經暴露的矽,並阻擋於經暴露的矽與在含鹵素及鍺的預清潔材料(例如,(NH4)2GeF6)之部分之揮發期間所產生之一或多種副產物。舉例而言,鈍化膜可在含鹵素及鍺的預清潔材料之部分之昇華期間向矽提供保護障壁,以便避免或減少矽受到於昇華期間所產生之副產物污染及/或再氧化。如本文所討論,鈍化膜可由氯形成。
鈍化膜可藉由將基板暴露至鈍化膜反應氣體而在矽上形成。在一些實施例中,含氯的鈍化膜可藉由在基板之加熱期間(例如,在含鹵素的預清潔材料形成之後之基板溫度升高的期間)將含 氯的氣體(例如,未活化的含氯的氣體)供應至反應腔室中而形成於基板表面上。
鈍化膜反應氣體可包含一或多種組分,所述組分可在矽上形成期望的鈍化膜。在一些實施例中,鈍化膜反應氣體之所述一或多種組分可在預清潔材料之昇華期間存在的製程條件下於經暴露的矽上形成期望的保護障壁,而不會非期望地蝕刻基板(例如,不會非期望地蝕刻基板表面上之經暴露的矽)。在一些實施例中,鈍化膜反應氣體可具有期望的對經暴露的矽的反應性,以使鈍化膜可形成以保護經暴露的矽,並同時提供可隨後在期望的便利性下自基板表面移除而不會損壞基板表面的膜。舉例而言,形成的鈍化膜可自基板表面移除,而不必將基板加熱至可不利地影響基板表面之一或多個特徵的溫度。
在一些實施例中,用於形成鈍化膜之氣體可包含氯氣(Cl2)。在一些其他實施例中,含氯的氣體可包含氯化氫(HCl)。在一些實施例中,含氯的反應物氣體可包含二氯矽烷(DCS)。在一些實施例中,含氯的氣體為包含Cl2、HCl及/或DCS之氣體之混合物。舉例而言,含氯的氣體可包含HCl及DCS之混合物。在一些實施例中,含氯的氣體之一或多種組分之流動速率可經選擇以使期望的鈍化膜可形成。舉例而言,包含DSC及HCl之混合物之含氯的氣體可具有體積比為約1:2至約1:5之DCS與HCl之流動速率。舉例而言,含氯的氣體流動至反應腔室中可包含每分鐘約100標準立方公分(standard cubic centimeter per minute,sccm)的DCS 及約200sccm的HCl。
在一些實施例中,鈍化膜反應氣體的供應可在預清潔材料之第一部分之昇華之前開始。舉例而言,將含氯的氣體供應至反應腔室可在含鹵素及矽的預清潔材料(例如,(NH4)2SiF6)之部分昇華之前開始,以使得鈍化膜可在矽經暴露時在經暴露的矽表面上形成。舉例而言,使含氯的氣體流動至反應腔室可在基板達到大於約80℃(包括約100℃至約150℃,或約120℃之溫度)之前開始。在一些其他的實施例中,使含氯的氣體流動至反應腔室可在基板達到含鹵素及矽的預清潔材料之昇華溫度時開始,或在基板達到所述含鹵素及矽的預清潔材料之昇華溫度之後立即開始。舉例而言,將含氯的氣體供應至反應腔室中可在基板達到約120℃之溫度之後立即或實質上立即開始。一開始在使含鹵素及矽的預清潔材料之部分顯著昇華之前供應含氯的氣體,其可減少矽暴露至反應腔室內存在的任何副產物,以便在矽經暴露時在矽上提供期望的保護障壁。
在一些實施例中,在基板達到包含鹵素及矽的預清潔材料之部分((NH4)2SiF6)之昇華溫度之後,及在達到包含鹵素及鍺的預清潔材料之部分(例如,(NH4)2GeF6)之昇華溫度之前,開始將鈍化膜反應氣體(例如含氯的氣體)供應至反應腔室中。有利的是,鈍化氣體之此時機可在基板暴露至氣體的期間減少持續時間,同時在由於含鹵素及鍺的預清潔材料之昇華的可能污染之前提供經暴露的矽之鈍化,且同時由於鈍化與預清潔製程中另一步驟(溫度升 高)重疊而促進高產量。
在一些實施例中,使鈍化膜反應氣體(例如含氯的氣體)流動至反應腔室可在經暴露的矽顯著暴露至由含鹵素及鍺的預清潔材料之昇華所產生之副產物之前開始,以便使期望的保護障壁在矽上形成以避免或減少矽之非期望的污染及/或再氧化。舉例而言,將含氯的氣體供應至反應腔室可在含鹵素及鍺的預清潔材料之部分開始昇華之前(例如,在使經加熱的基板達到包含(NH4)2GeF6的預清潔材料之部分之昇華溫度之前)立即開始。
在一些其他的實施例中,將鈍化膜反應氣體供應至反應腔室可在基板達到包含(NH4)2GeF6的預清潔材料之部分之昇華溫度時,或在基板達到所述包含(NH4)2GeF6的預清潔材料之部分之昇華溫度之後立即開始。舉例而言,使含氯的氣體流動至反應腔室可在基板達到約350℃至約400℃(包括約380℃)之溫度之前立即或實質上立即、期間或之後立即開始。在一些實施例中,將含氯的氣體供應至反應腔室可在基板達到約380℃之溫度之前立即開始。在此類時間開始含氯的氣體之流動可減少基板非期望地暴露至含氯的氣體,同時促進期望的鈍化膜在經暴露矽的上的形成。
在一些實施例中,可繼續使鈍化膜反應氣體流動直至含鹵素及鍺的預清潔材料已自基板表面移除。舉例而言,可至少繼續使含氯的氣體流動至反應腔室直至將基板加熱至含鹵素及鍺的預清潔材料之昇華溫度。
應理解的是,鈍化膜反應氣體(例如含氯的氣體)可在一些 溫度下蝕刻基板。舉例而言,含氯的氣體之流動可在基板達到一溫度之前停止,含氯的氣體在超過所述溫度時可蝕刻基板表面上之一或多種材料,及/或基板溫度可保持低於蝕刻發生時所處之溫度。在一些實施例中,含氯的氣體之流動可在基板達到約600℃(包括約500℃,或約475℃之溫度)之前停止,以使得鈍化膜可於基板上形成而不會或實質上不會蝕刻基板。舉例而言,含氯的氣體之流動可在基板達到約450℃之溫度之前停止。上述的基板溫度可基於含氯的氣體之組成來選擇,使含氯的氣體之流動在所述基板溫度下停止以避免或實質上避免蝕刻基板。在一些實施例中,包含氯氣(Cl2)的含氯的氣體之流動可在基板達到約500℃之溫度之前停止。在一些其他的實施例中,包含二氯矽烷(DCS)及/或氯化氫(HCl)的含氯的氣體之流動可在基板達到約600℃之溫度之前停止。
在一些實施例中,在將基板加熱以用於使預清潔材料昇華的全部或實質上全部時期的期間,將基板暴露至鈍化膜反應氣體。舉例而言,一旦開始或提高加熱以用於使預清潔材料昇華,可將含氯的氣體供應至反應腔室中,且流動直至所有或實質上所有的預清潔材料已自基板表面昇華之後,其中基板溫度較佳地維持低於約500℃或約600℃。
再參考圖1,例如在期望的鈍化膜(例如,含氯的鈍化膜)已於基板表面上形成之後,可使預清潔材料之剩餘部分自基板表面昇華(例如,圖1之方塊110)。如本文所討論,預清潔材料之剩 餘部分可包含(NH4)2GeF6。在一些實施例中,可將基板之溫度自其在方塊106期間之溫度升高至約350℃至約400℃(包括約380℃之溫度),以使包含含鹵素及鍺的預清潔材料的預清潔材料之剩餘部分昇華。
在一些實施例中,可將基板加熱至高於包含(NH4)2GeF6的預清潔材料之部分之昇華溫度的溫度,以使得預清潔材料及鈍化膜兩者可自基板表面移除或實質上移除以提供預清潔的基板表面。舉例而言,鈍化膜在預清潔材料之剩餘部分之昇華的期間移除。如本文所述,鈍化膜可包含含氯的鈍化膜。在一些實施例中,可將基板加熱至一溫度,超過所述溫度則含氯的鈍化膜可變為基板表面上一或多種材料(例如矽)之蝕刻劑。在一些實施例中,可將基板加熱至小於約500℃,或小於約600℃(包括約400℃至約500℃,包括約425℃至約475℃)之溫度。
亦考慮到用於向預清潔材料提供能量以造成所述材料之移除及/或向鈍化膜提供能量以造成所述膜之移除的其他途徑。在一些實施例中,可將預清潔材料及/或鈍化膜暴露至藉由遠程電漿源活化的氣體物質。在一些實施例中,藉由遠程電漿源活化的物質可與預清潔材料及/或鈍化膜之一或多種組分相互作用以形成例如可自基板表面移除的揮發性物質。在一些實施例中,氣體物質可為惰性氣體物質(例如,Ar、N2、He等)。
在一些實施例中,可將預清潔材料及/或鈍化膜暴露至經加熱的氣體(例如,經加熱的惰性氣體)以促進預清潔材料及/或鈍 化膜之移除。可將氣體加熱至足以將預清潔材料及/或鈍化膜加熱至使預清潔材料及/或鈍化膜之至少一部分進入氣相之溫度的溫度。舉例而言,所述溫度可為大於約80℃、約100℃、約120℃、約150℃、約350℃、380℃、400℃或約450℃。
在一些實施例中,可將預清潔材料暴露至紫外(UV)及/或紅外(IR)輻射以促進預清潔材料之移除。在一些實施例中,可將鈍化膜暴露至紫外(UV)及/或紅外(IR)輻射以促進膜之移除。舉例而言,UV及/或IR輻射可提供能量使預清潔材料及/或鈍化膜之至少一部分進入氣相。
在一些實施例中,將預清潔材料暴露至藉由遠程電漿源、經加熱的反應氣體及/或紫外輻射活化的氣體物質可減少反應腔室之含水量。有利的是,經減少的含水量可促進矽及/或鍺氧化物之移除(因為預清潔製程可產生H2O)。
在一些實施例中,預清潔材料之移除可在將基板自第一反應腔室(例如,形成預清潔材料之反應腔室)轉移至不同的第二反應腔室(例如,進行隨後的製程(例如基板上目標材料之形成)之反應腔室)的期間進行。舉例而言,可將預清潔材料暴露至紫外輻射及/或紅外輻射,並同時自第一反應腔室轉移至第二反應腔室。
在一些實施例中,本文所述之一或多種製程可適用於鍺基板。舉例而言,包含鍺的基板表面上之含鍺的氧化物材料可使用本文所述之一或多種製程移除。含鹵素及鍺的預清潔材料可於基板上由含鍺的氧化物材料藉由將含鍺的氧化物材料暴露至含鹵 素的反應氣體同時使鍺基板保持在約15℃至約30℃之溫度下來形成。藉由將基板加熱至約320℃至約500℃、約350℃至約500℃(包括約350℃至約400℃或約380℃)之溫度,可將含鹵素及鍺的預清潔材料隨後地自基板表面移除,從而移除含鍺的氧化物材料,以提供預清潔的基板表面。
如圖1中所示,基板表面預清潔製程100可包括複數個循環112。在一些實施例中,包含複數個循環112之預清潔製程100可促進表面氧化物材料之期望的移除,同時保持期望地較低數目的缺陷。在不受任何特定理論或操作方式限制之情況下,在特徵大小繼續變小時,基板之表面上預清潔材料之形成可造成特徵之阻塞(例如,溝槽特徵之阻塞),從而阻礙自阻塞特徵的預清潔材料之隨後昇華及/或氧化物材料之移除。在特徵大小繼續變小且縱橫比增大時,特徵之上表面可在預清潔製程期間經歷過度的暴露至預清潔的化學品以達成所述特徵內(例如,在溝槽底部部分)期望的氧化物移除。藉由進行包含使預清潔材料沉積及昇華之複數個循環(例如,各循環具有較短的持續時間)的預清潔製程來移除氧化物材料可促進期望的氧化物材料之移除,同時減少基板表面特徵之阻塞及/或減少特徵上表面過度暴露至預清潔的化學品(例如,相較於包含單個較長循環的預清潔製程)。
已發現預清潔製程100之第一循環112之選擇性可顯著不同於預清潔製程100之隨後循環112之選擇性(例如,相對於基板表面上例如氮化矽材料之另一材料,例如包含矽及鍺兩者的表 面氧化物之基板表面氧化物的移除之選擇性)。在一些實施例中,預清潔製程100之隨後循環112可有利地展示相較於預清潔製程100之第一循環112顯著較高的選擇性。在一些實施例中,預清潔製程100之第二循環112可展示基板表面氧化物材料相對於基板表面上的氮化矽以約10:1至約150:1、約30:1至約150:1、約60:1至約150:1、約10:1至約100:1或約60:1至約100:1的選擇性進行之選擇性移除。包括較大數目的循環112的預清潔製程100可促進甚至更高的選擇性效能。
預清潔製程100之複數個循環112中之一或多者可根據本文所述之一或多種製程來進行。舉例而言,循環112之預清潔材料反應氣體(例如,反應氣體之各種成分之濃度、反應氣體之一或多種成分之活化及/或預加熱)、鈍化膜反應氣體(例如,含氯的氣體,例如含氯的氣體之組成物)及/或一或多個製程參數(例如,在預清潔材料之形成及/或昇華期間的基板溫度、基板暴露至鈍化膜反應氣體之持續時間)可如本文所述來選擇。在一些實施例中,循環112之預清潔材料反應氣體、鈍化膜反應氣體及/或一或多個製程參數可與預清潔製程100之另一循環112之各者相同或不同。舉例而言,預清潔製程100可包括複數個具有類似或相同製程條件之循環112。
在一些實施例中,預清潔製程可包括約2至約20個循環、包括約2至約10個循環。在一些實施例中,預清潔製程可包括約2至約5個循環。在一些其他的實施例中,預清潔製程包括 單個循環。
在一些實施例中,預清潔製程之循環之數目可基於每個循環之氧化物材料移除速率來選擇。在一些實施例中,預清潔製程之循環可移除約3埃(Å)至約20Å的氧化物材料。在一些實施例中,預清潔製程之循環可移除約3Å至約15Å的氧化物材料,或約3Å至約10Å的氧化物材料。
在一些實施例中,可在第一反應腔室中進行基板表面預清潔製程100之一或多個循環112,或循環之一部分(例如,圖1之方塊104、106、108及110中之一或多者)。在一些實施例中,可在一或多個不同反應腔室中進行一或多個其他循環112,或循環之一部分。舉例而言,在於第一反應腔室中進行預清潔製程100之一或多個循環112之後,或在於第一反應腔室中進行預清潔製程100之循環112之一部分之後,可將基板自第一反應腔室轉移至第二反應腔室,以使得於不同於第一反應腔室的反應腔室中進行預清潔製程100之最終循環112中之預清潔材料之最終移除。在一些實施例中,預清潔製程100之所有循環112可於同一反應腔室中進行。
在一些實施例中,預清潔的基板表面上目標材料(例如,包含單晶矽的磊晶層)之形成可於進行最終預清潔材料之移除的同一反應腔室中進行。在一些實施例中,可於第一反應腔室中將預清潔材料沉積於基板上,接著將基板自第一反應腔室轉移至移除預清潔材料且沉積目標材料的第二反應腔室。舉例而言,可於 第二反應腔室中進行預清潔材料之第一部分之昇華、鈍化膜之形成以及預清潔材料之第二部分與鈍化膜之移除。在一些實施例中,起始含氯的氣體向第二反應腔室中流動以用於形成含氯的鈍化膜的溫度或所述溫度附近的溫度可如本文所描述來選擇。舉例而言,在將第二反應腔室中的基板加熱至含鹵素及矽的預清潔材料之昇華溫度或所述昇華溫度附近的溫度之後,或在將第二反應腔室中之基板加熱至在含鹵素及矽的預清潔材料之昇華溫度與含鹵素及鍺的預清潔材料之昇華溫度之間的溫度之後,一旦將基板置於第二反應腔室中,含氯的氣體之流動可開始。在一些實施例中,含氯的氣體向第二反應腔室之流動可繼續直至含鹵素及鍺的預清潔材料之期望的昇華完成。
圖2顯示可用於進行如本文所述之基板表面預清潔製程之至少一部分的設備500的實施例。設備500可經組態用於形成及/或移除預清潔材料,其包括形成及/或移除預清潔材料以促進自基板表面移除氧化物材料(例如,包含矽及鍺兩者的氧化物)。設備500可包括例如經由轉移管506與遠程電漿單元504流體連通的反應腔室502。在一些實施例中,轉移管506可經組態以將預清潔材料反應氣體及/或鈍化膜反應氣體(例如,含氯的氣體)遞送至反應腔室502中(例如,包含氨氣、含氟的氣體及/或載氣的預清潔材料反應氣體)。舉例而言,轉移管506可經由位於轉移管506之遠側部分的反應腔室氣體入口508將預清潔材料反應氣體及/或鈍化膜反應氣體引入至反應腔室502中。在一些實施例中,遠程電漿單 元504可包括遠程電漿單元氣體入口510,其經組態以使預清潔材料反應氣體之成分流過遠程電漿單元504,以使得預清潔材料反應氣體之成分可藉由遠程電漿單元504活化。在一些實施例中,鈍化膜反應氣體可不透過轉移管506引入至反應腔室502中。舉例而言,鈍化膜反應氣體可經由不同於將預清潔材料反應氣體引入至反應腔室502中所通過的入口之氣體入口引入至反應腔室502中。在一些實施例中,鈍化膜反應氣體可經由靠近噴淋頭518定位(例如,在噴淋頭518上方)的入口引入至反應腔室502中。
在一些實施例中,轉移管506可包括轉移管氣體入口512,其經組態以允許將未由遠程電漿單元504活化的預清潔材料反應氣體及鈍化膜反應氣體之一或多種成分引入至反應腔室502中。如圖5中所示,轉移管氣體入口512可靠近反應腔室氣體入口508定位。在一些實施例中,轉移管氣體入口512可定位於轉移管506上的另一位置。在一些實施例中,轉移管506之至少一部分可保持在期望的溫度下。舉例而言,可加熱轉移管506之一部分(例如,至約80℃至約115℃之溫度),其包括鄰接至及/或圍繞在轉移管氣體入口512與反應腔室氣體入口508之間的部分的轉移管506之部分。舉例而言,至少可將在轉移管入口512與反應腔室氣體入口508之間的轉移管506之部分加熱至期望的溫度。在一些實施例中,使轉移管506之整個長度或實質上整個長度保持在期望的溫度下(例如,加熱至約30℃至約120℃之溫度)。加熱轉移管506之溫度可基於各種因素選擇。在一些實施例中, 可藉由使用加熱器夾套(例如,以加熱器夾套覆蓋轉移管506之外表面之至少一部分)及/或材料塗層(例如,以例如包含氧化鋁的材料塗層之材料塗層塗佈轉移管506之外表面之至少一部分,所述氧化鋁包括熱解氧化鋁(pyrolytic alumina))將轉移管506之至少一部分保持在期望的溫度下。在一些實施例中,轉移管506之溫度可使用置於沿著轉移管506的一或多個位置的一或多個熱電偶監測。沿著轉移管506之經加熱部分的溫度可均勻或可不均勻。在一些實施例中,沿著轉移管506之經加熱部分的溫度可保持在一個或實質上一個期望的溫度下。在一些實施例中,轉移管506之一個加熱部分之溫度可顯著不同於轉移管506之另一加熱部分之溫度。
在一些實施例中,反應腔室502可包括用於接收基板516(例如,晶圓)之基座514。在一些實施例中,反應腔室502可包括定位於反應腔室502之反應氣體入口下游的噴淋頭518(例如,氣體分佈板)。噴淋頭518可經組態以促進定位於反應腔室502中的基板516上氣體物質之分佈的改良均勻性。基板516可自基座514升起及/或下降回至基座514上,以使得基板516可定位於一或多個經升高的位置(例如,相對於基板516由基座514接收的位置)。舉例而言,噴淋頭518可定位於基座514上且與基座514相對,以使得基板516可自基座514升起以提供在基板516與噴淋頭518之間的期望的分隔距離。
在一些實施例中,可經由遠程電漿單元氣體入口510將 載體(例如,氬氣)及含氟的氣體(例如,三氟化氮)分別自載氣及含氟的氣體源(未展示)引入至遠程電漿單元504中以用於藉由遠程電漿單元504來活化。由遠程電漿單元504活化的載氣及含氟的氣體可流過遠程電漿單元504且經由轉移管506流動至反應腔室502。在一些實施例中,可將未由遠程電漿單元504活化的預清潔材料反應氣體之一或多種成分(包括例如含氫的氣體,諸如來自氨氣源(未繪示)的氨氣)經由轉移管氣體入口512引入在沿著轉移管506的位置處的遠程電漿單元504之下游。在一些實施例中,可將鈍化膜反應氣體經由轉移管氣體入口512引入在沿著轉移管506的位置處的遠程電漿單元504之下游。可將靠近轉移管氣體入口512的轉移管之部分及/或轉移管氣體入口512自身加熱,以使得在期望的溫度下可將預清潔材料反應氣體之未活化成分中之一或多者(例如未活化的氨氣)傳遞至反應腔室502中。
在一些實施例中,可將基板表面同時或實質上同時暴露至預清潔材料反應氣體之活化反應物質及未活化反應物質,例如在活化反應物質及未活化反應物質傳遞至反應腔室中之前(諸如在經由反應腔室氣體入口508遞送之前)組合所述活化反應物質及所述未活化反應物質。在一些實施例中,可將基板表面依序暴露至活化反應物質及/或未活化反應物質。舉例而言,可將基板表面首先暴露至預清潔材料反應氣體之一或多種成分之活化反應物質(例如,藉由遠程電漿單元活化),接著暴露至預清潔材料反應氣體之一或多種成分之另一者之第二活化或未活化反應物質(例如,未 藉由遠程電漿單元活化)。在一些實施例中,可將基板表面首先暴露至未活化反應物質,接著暴露至活化反應物質。暴露之順序可經選擇以提供期望的預清潔製程效能。舉例而言,可將基板表面首先暴露至由遠程電漿單元活化的載氣及含氟的氣體,接著暴露至未活化含氫的氣體(例如,氨氣)及含氟的氣體之組合,或首先暴露至未活化含氫的氣體且隨後暴露至未活化含氟的氣體。
鈍化膜反應氣體及/或預清潔材料反應氣體之反應物質可藉由使鈍化膜反應氣體及/或反應物質流過噴淋頭518而分佈在保持在基座514上的基板516上。在一些實施例中,基板516可在預清潔製程之至少一部分的期間自基座514升起至期望的位置。在一些實施例中,基板516可於預清潔材料形成製程之至少一部分及/或預清潔材料移除製程之至少一部分的期間(例如,在用於使預清潔材料昇華的製程期間)在升高的位置處。在一些實施例中,基板516在基座514上維持固定或實質上固定。
反應腔室502可為或可不為多腔室處理系統之一部分,以使得藉由反應腔室502處理之基板可轉移至第二反應腔室,而不會或實質上不會暴露至周遭的空氣。舉例而言,反應腔室502可為群集工具系統之一部分。
預清潔製程之示例性順序可包括將具有待清潔的表面的基板提供至第一反應腔室(例如,參考圖2所述之反應腔室502)中。基板在第一反應腔室內可保持在約15℃至約30℃之溫度下。舉例而言,基板可保持在約18℃之溫度下。第一沖洗製程可在開 始使預清潔材料反應氣體流動至第一反應腔室中之前進行。舉例而言,可在將基板提供於第一反應腔室內之後及在開始使預清潔材料反應氣體流動之前,使未活化的氬氣流動至第一反應腔室中。可在將未活化的氬氣引入至第一反應腔室中之前使未活化的氬氣流過遠程電漿單元。舉例而言,可使未活化的氬氣流過遠程電漿單元,而在遠程電漿單元中未將電漿點燃。一旦第一反應腔室之期望的沖洗完成,可將未活化含氫的氣體(例如,未活化氨氣(NH3))引入至第一反應腔室中。在引入至第一反應腔室中之前,未活化含氫的氣體可未經預加熱(例如,引入至第一反應腔室中的未活化NH3可未經預加熱)。之後,遠程電漿單元內的電漿可由流過所述遠程電漿單元且流動至第一反應腔室中的氬氣點燃。諸如三氟化氮(NF3)之含鹵素的氣體可隨後藉由使含鹵素的氣體流過遠程電漿單元且流動至反應腔室中以藉由遠程電漿單元活化。在期望的基板表面預清潔材料之形成之後,可停止預清潔材料反應氣體之流動且可關掉遠程電漿單元中的電漿。在停止預清潔材料反應氣體之流動之後可進行第二沖洗製程。舉例而言,可在第二沖洗製程中使未活化的氬氣流動至反應腔室中。可在第二沖洗製程的期間使未活化的氬氣在引入至第一反應腔室中之前流過遠程電漿單元。
可將基板隨後轉移至第二反應腔室,以使預清潔材料可於第二反應腔室內自基板移除。舉例而言,可將具有在其上形成之預清潔的基板轉移至磊晶沉積腔室。如本文所述,可經由昇華 製程移除預清潔材料。含氯的鈍化膜可在預清潔材料之昇華期間於基板上形成(例如在預清潔材料昇華時在所暴露的基板之部分上)。當一開始使熱力供應至基板用於昇華預清潔材料或提高供應至基板用於昇華預清潔材料的熱力時,可一開始使含氯的氣體流動至第二反應腔室中以用於形成鈍化膜,以使基板暴露至含氯的氣體以在基板溫度升高的期間形成含氯的鈍化膜。在磊晶沉積製程之準備中可進行基板之加熱。舉例而言,在隨後的沉積製程之準備中,基板暴露至含氯的氣體可發生在將基板加熱至約350℃至約600℃之溫度的期間,且同時使預清潔材料昇華。在一些實施例中,可將基板暴露至含氯的氣體並同時加熱至約400℃至約500℃之溫度。當期望的預清潔材料之昇華完成時(例如,在基板達到約350℃至約600℃之溫度之後),可停止含氯的氣體向反應腔室之流動。如本文所述,可在昇華製程的期間移除含氯的鈍化膜以用於移除包含鹵素及鍺的預清潔材料之部分,以使得在將基板加熱至約350℃至約600℃之溫度之後提供預清潔的表面。在一些實施例中,可於第二反應腔室中於預清潔的基板表面上形成包含磊晶材料層的目標材料。
在一些實施例中,具有此類順序的預清潔製程可有利地促進達成高選擇性(例如,基板表面氧化物相對於基板表面上諸如氮化矽材料之另一材料之移除選擇性),其包括約14:1之選擇性。在一些實施例中,具有此類順序的預清潔製程可有利地促進如本文所述之改良的選擇性的達成。
圖3顯示比較不同基板之界面含氧量(以原子每平方公分(at/cm2)表示)的圖300。使包括矽及鍺氧化物的基板經歷預清潔氧化物材料的製程。應理解界面氧之存在顯現出基板表面上污染物之存在。在已將基板暴露至各別的氧化物移除製程之後,量測各基板之界面含氧量,且使用次級離子質譜(Secondary Ion Mass Spectroscopy,SIMS)技術量測。可將相同的預清潔製程(包括沉積含鹵素的預清潔材料,使含鹵素及矽的材料昇華,及隨後使含鹵素及鍺的材料昇華)應用於所有基板,其不同之處在於鈍化膜是否形成於不同材料之昇華之間。標記為「基線」的條對應於經受預清潔製程但不包括形成含氯的鈍化膜之基板。標記為「DCS/HCl」及「Cl2」的條對應於暴露至類似的預清潔製程但包括使用各自標記的含氯的氣體形成之含氯的鈍化膜之基板。特定而言,在使含鹵素及鍺的材料昇華之前,將二氯矽烷及氯化氫,或氯氣(Cl2)供應至反應腔室中。
圖3顯示暴露至基線製程的基板之界面含氧量顯著高於暴露至包括含氯的鈍化膜的形成之預清潔製程的各基板之界面含氧量。此外,暴露至包含DCS/HCl及Cl2的預清潔製程的基板展示類似的界面含氧量。舉例而言,暴露至基線製程的基板展示約5×10^12at/cm2之界面含氧量,而使用DCS/HCl及Cl2預清潔的基板展示小於約0.25×10^12at/cm2的界面含氧量。
圖4顯示比較如上文所討論處理的不同基板之表面粗糙度(以埃(Å)表示)的圖400。在已將基板暴露至各別的氧化物移除 製程之後,量測各基板之表面粗糙度,且所述表面粗糙度使用原子力顯微術(Atomic Force Microscopy,AFM)技術來量測。如上文所討論,對應於標記為「基線」的表面粗糙度的基板經受預清潔製程但不包括形成含氯的鈍化膜。對應於標記為「DCS/HCl」及「Cl2」的表面粗糙度之基板暴露至包括使用各自標記的含氯的氣體形成含氯的鈍化膜之預清潔製程。
圖4顯示相較於經受氧化物移除製程之不包括含氯的鈍化膜的基板,暴露至預清潔製程之包括含氯的鈍化膜的的基板之表面粗糙度有利地顯示表面粗糙度之顯著減小。舉例而言,使用基線製程之預清潔的基板之表面粗糙度經量測為約9埃(Å),而使用預清潔製程之包括含氯的鈍化膜的基板之表面粗糙度為約2 Å或更小。
儘管已在某些實施例及實例之上下文中提供本揭示內容,但熟習此項技術者應理解本揭示內容延伸超出特定描述之實施例至所述實施例之其他替代性實施例及/或用途及明顯修改及其等效物。舉例而言,雖然當應用於包含矽及鍺的基板時提供各種優勢,但應理解本文所揭示之預清潔製程亦可應用於矽基板(不含鍺)或鍺基板(不含矽)。舉例而言,預清潔製程可分別應用於矽或鍺基板,其中在分別移除氧化矽或氧化鍺之後需要基板之鈍化。另外,雖然已經展示並且詳細描述本揭示內容之實施例之許多變化形式,在本揭示內容之範疇內之其他修改基於本揭示內容將對於熟習此項技術者為顯而易見。亦預期可產生實施例之特定特徵 及態樣之各種組合或次組合,且其仍屬於本揭示內容之範疇。應瞭解所揭示實施例之各種特徵及態樣可彼此組合或彼此取代,以便形成本揭示之實施例之不同模式。因此,本揭示內容之範疇不應意指受上述的特定實施例限制。
本文所提供之標題(若存在)僅出於便利起見,且不必定地影響本文所揭示之裝置及方法之範疇或意義。

Claims (34)

  1. 一種用於積體電路製造之方法,其包含:自基板之表面移除氧化物材料,其中所述表面包含矽及鍺,且其中移除所述氧化物材料包含:將含鹵素的預清潔材料沉積於所述表面上;選擇性地昇華在所述基板的所述矽上的所述含鹵素的預清潔材料之一部分以暴露所述表面上之所述矽;以及將鈍化材料沉積於經暴露的所述矽上。
  2. 如申請專利範圍第1項所述的用於積體電路製造之方法,其中昇華所述含鹵素的預清潔材料之所述一部分包含將所述基板之所述表面加熱至80℃至150℃之溫度。
  3. 如申請專利範圍第2項所述的用於積體電路製造之方法,其進一步包含在將所述含鹵素的預清潔材料沉積之後,使所述基板之所述表面之溫度升高。
  4. 如申請專利範圍第3項所述的用於積體電路製造之方法,其中所述鈍化材料包含氯,且其中將所述鈍化材料沉積包含在所述基板之所述表面之所述溫度升高的期間,使所述基板暴露至含氯的氣體。
  5. 如申請專利範圍第1項所述的用於積體電路製造之方法,其中將所述含鹵素的預清潔材料沉積及昇華所述一部分以將含矽及氧的物質自所述表面移除。
  6. 如申請專利範圍第5項所述的用於積體電路製造之方法,其進一步包含在將所述鈍化材料沉積之後,昇華所述含鹵素的預清潔材料之剩餘部分以將含鍺及氧的物質自所述表面移除。
  7. 如申請專利範圍第6項所述的用於積體電路製造之方法,其中:昇華所述含鹵素的預清潔材料之所述一部分包含將所述基板之所述表面加熱至80℃至150℃之溫度;以及昇華所述含鹵素的預清潔材料之所述剩餘部分包含將所述基板之所述表面加熱至320℃至500℃之溫度。
  8. 如申請專利範圍第1項所述的用於積體電路製造之方法,其中將所述鈍化材料沉積包含將所述基板暴露至氯氣、二氯矽烷及氯化氫中之至少一者。
  9. 如申請專利範圍第8項所述的用於積體電路製造之方法,其進一步包含在昇華所述含鹵素的預清潔材料之所述一部分的期間,將所述基板暴露至所述氯氣、二氯矽烷及氯化氫中之至少一者。
  10. 如申請專利範圍第1項所述的用於積體電路製造之方法,其進一步包含昇華所述鈍化材料。
  11. 如申請專利範圍第10項所述的用於積體電路製造之方法,其中昇華所述含鹵素的預清潔材料之剩餘部分包含昇華所述鈍化材料。
  12. 如申請專利範圍第10項所述的用於積體電路製造之方法,其中昇華所述鈍化材料是在1毫托與50托之間的壓力下進行。
  13. 如申請專利範圍第1項所述的用於積體電路製造之方法,其中將所述含鹵素的預清潔材料沉積包含將所述基板暴露至藉由遠程電漿單元活化之含鹵素的氣體。
  14. 如申請專利範圍第13項所述的用於積體電路製造之方法,其中所述含鹵素的氣體包含三氟化氮、氟化氫及雙原子氟中之至少一者。
  15. 如申請專利範圍第13項所述的用於積體電路製造之方法,其中將所述含鹵素的預清潔材料沉積進一步包含將所述基板暴露至含氫的氣體。
  16. 如申請專利範圍第15項所述的用於積體電路製造之方法,其中所述含氫的氣體包含氨氣。
  17. 如申請專利範圍第15項所述的用於積體電路製造之方法,其中將所述基板暴露至所述含氫的氣體包含:在將所述基板暴露至所述含氫的氣體之前使所述含氫的氣體流過轉移管;以及將所述轉移管之至少一部分加熱至30℃至120℃之溫度。
  18. 如申請專利範圍第1項所述的用於積體電路製造之方法,其中所述基板之所述表面進一步包含氮化矽,且其中移除所述氧化物材料包含以所述氧化物材料相對於所述氮化矽之7:1至20:1之選擇性選擇性地移除所述氧化物材料。
  19. 如申請專利範圍第1項所述的用於積體電路製造之方法,其進一步包含自所述基板之所述表面移除第二氧化物材料,其中移除所述第二氧化物材料包含:將第二含鹵素的預清潔材料沉積於所述基板之所述表面上;昇華所述第二含鹵素的預清潔材料之一部分;以及沉積第二鈍化材料。
  20. 如申請專利範圍第19項所述的用於積體電路製造之方法,其中所述基板之所述表面進一步包含氮化矽,且其中移除所述第二氧化物材料包含以所述第二氧化物材料相對於所述氮化矽之20:1至100:1之選擇性選擇性地移除所述第二氧化物材料。
  21. 如申請專利範圍第1項所述的用於積體電路製造之方法,其進一步包含在移除所述氧化物材料之後將磊晶層沉積於所述基板之所述表面上。
  22. 一種用於積體電路製造之方法,其包含:自基板之表面移除氧化物材料,其中所述基板包含矽及鍺,且其中移除所述氧化物材料包含:將含氯的鈍化材料沉積於所述基板之所述表面上的所述矽上;以及移除所述含氯的鈍化材料而實質上不蝕刻所述基板。
  23. 如申請專利範圍第22項所述的用於積體電路製造之方法,其中移除包含將所述含氯的鈍化材料加熱至小於600℃之溫度。
  24. 如申請專利範圍第23項所述的用於積體電路製造之方法,其中所述溫度高於380℃。
  25. 如申請專利範圍第22項所述的用於積體電路製造之方法,其中將所述含氯的鈍化材料沉積包含將所述基板暴露至包含氯氣、二氯矽烷及氯化氫中之至少一者的含氯的氣體。
  26. 如申請專利範圍第22項所述的用於積體電路製造之方法,其進一步包含將含鹵素的預清潔材料沉積於所述基板之所述表面上以及在將所述含氯的鈍化材料沉積之前昇華所述含鹵素的預清潔材料之一部分。
  27. 如申請專利範圍第26項所述的用於積體電路製造之方法,其中將所述含鹵素的預清潔材料沉積包含將所述基板暴露至含鹵素的氣體及含氫的氣體。
  28. 如申請專利範圍第26項所述的用於積體電路製造之方法,其中昇華所述含鹵素的預清潔材料之所述一部分包含將所述基板之所述表面加熱至80℃至150℃之溫度。
  29. 如申請專利範圍第28項所述的用於積體電路製造之方法,其進一步包含昇華所述含鹵素的預清潔材料之剩餘部分。
  30. 如申請專利範圍第29項所述的用於積體電路製造之方法,其中昇華所述含鹵素的預清潔材料之所述剩餘部分包含將所述基板之所述表面加熱至380℃至600℃之溫度。
  31. 如申請專利範圍第29項所述的用於積體電路製造之方法,其中昇華所述含鹵素的預清潔材料之所述剩餘部分包含移除所述含氯的鈍化材料。
  32. 一種用於積體電路製造之方法,其包含:自基板之表面移除含鍺的氧化物材料,其中所述基板包含矽與鍺,其中所述基板的所述表面包含在所述基板的所述矽上的含矽的氧化物材料以及在所述基板的所述鍺上的所述含鍺的氧化物材料,且其中移除所述含鍺的氧化物材料包含:自所述含鍺的氧化物材料形成含鹵素及鍺的預清潔材料以及自所述含矽的氧化物材料形成含鹵素及矽的預清潔材料;相對於所述含鹵素及鍺的預清潔材料,選擇性地昇華所述含鹵素及矽的預清潔材料;以及選擇性地昇華所述含鹵素及鍺的預清潔材料。
  33. 如申請專利範圍第32項所述的用於積體電路製造之方法,其進一步包含在昇華所述含鹵素及鍺的預清潔材料之前沉積含氯的鈍化材料。
  34. 如申請專利範圍第33項所述的用於積體電路製造之方法,其中將所述含氯的鈍化材料沉積包含將所述含氯的鈍化材料沉積於所述表面上之經暴露的矽上。
TW104142382A 2014-12-30 2015-12-17 用於積體電路製造之方法 TWI669996B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/586,438 US9474163B2 (en) 2014-12-30 2014-12-30 Germanium oxide pre-clean module and process
US14/586,438 2014-12-30

Publications (2)

Publication Number Publication Date
TW201635874A TW201635874A (zh) 2016-10-01
TWI669996B true TWI669996B (zh) 2019-08-21

Family

ID=56166064

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104142382A TWI669996B (zh) 2014-12-30 2015-12-17 用於積體電路製造之方法

Country Status (4)

Country Link
US (1) US9474163B2 (zh)
KR (1) KR102358262B1 (zh)
CN (1) CN105742157B (zh)
TW (1) TWI669996B (zh)

Families Citing this family (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR101981738B1 (ko) * 2017-09-19 2019-05-27 무진전자 주식회사 기판 처리 방법 및 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7312008B2 (ja) * 2018-06-29 2023-07-20 株式会社Screenホールディングス 基板処理方法および基板処理装置
WO2020004041A1 (ja) * 2018-06-29 2020-01-02 株式会社Screenホールディングス 基板処理方法および基板処理装置
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
FR3090013B1 (fr) 2018-12-17 2020-12-25 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de fabrication d’une structure monocristalline
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11056347B2 (en) 2019-05-28 2021-07-06 Tokyo Electron Limited Method for dry etching compound materials
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11328959B2 (en) * 2020-07-22 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and related methods
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20220041358A (ko) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115881621A (zh) * 2023-01-10 2023-03-31 广州粤芯半导体技术有限公司 浅沟槽隔离结构及其制备方法、半导体结构和芯片

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201210028A (en) * 2010-05-28 2012-03-01 Corning Inc Light scattering inorganic substrates by soot deposition
TW201342476A (zh) * 2012-01-20 2013-10-16 Novellus Systems Inc 用以沉積無氯保形氮化矽膜之方法
US20140252565A1 (en) * 2013-03-08 2014-09-11 Intermolecular, Inc. Nucleation Interface for High-K Layer on Germanium
US20140273493A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Hydrogen Plasma Cleaning of Germanium Oxide Surfaces

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
FR2675309A1 (fr) 1991-03-22 1992-10-16 Siemens Ag Procede pour eliminer localement des couches isolantes transparentes aux ultraviolets, situees sur un substrat semiconducteur.
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW345705B (en) 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6077353A (en) 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
JP4673290B2 (ja) 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
JP2005158761A (ja) * 2003-11-20 2005-06-16 Ulvac Japan Ltd 薄膜製造方法、半導体装置の製造方法、及び半導体装置
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7132372B2 (en) * 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN100422394C (zh) * 2006-03-20 2008-10-01 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008088529A (ja) 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8551880B2 (en) 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
CN101459071B (zh) * 2007-12-13 2010-12-22 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009194216A (ja) 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
CN102427027A (zh) * 2011-07-22 2012-04-25 上海华力微电子有限公司 一种改善半导体自动对准镍硅化物热稳定性的工艺方法
US8632691B2 (en) * 2012-05-18 2014-01-21 Peking University Interface treatment method for germanium-based device
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140011339A1 (en) * 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103943494A (zh) * 2014-03-24 2014-07-23 上海华力微电子有限公司 选择性外延生长工艺的前处理方法及半导体器件制造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201210028A (en) * 2010-05-28 2012-03-01 Corning Inc Light scattering inorganic substrates by soot deposition
TW201342476A (zh) * 2012-01-20 2013-10-16 Novellus Systems Inc 用以沉積無氯保形氮化矽膜之方法
US20140252565A1 (en) * 2013-03-08 2014-09-11 Intermolecular, Inc. Nucleation Interface for High-K Layer on Germanium
US20140273493A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Hydrogen Plasma Cleaning of Germanium Oxide Surfaces

Also Published As

Publication number Publication date
KR102358262B1 (ko) 2022-02-04
CN105742157A (zh) 2016-07-06
TW201635874A (zh) 2016-10-01
US20160192502A1 (en) 2016-06-30
US9474163B2 (en) 2016-10-18
KR20160082476A (ko) 2016-07-08
CN105742157B (zh) 2019-08-27

Similar Documents

Publication Publication Date Title
TWI669996B (zh) 用於積體電路製造之方法
US9514927B2 (en) Plasma pre-clean module and process
TWI588887B (zh) 磊晶鍺錫合金表面處理的方法
US11264255B2 (en) Pre-clean chamber and process with substrate tray for changing substrate temperature
CN102017081B (zh) 氮化硼与硼-氮化物衍生材料的沉积方法
TWI591712B (zh) 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
TWI331364B (zh)
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
JP2001244214A (ja) シリサイド膜を備えた半導体素子の製造方法
JP3297291B2 (ja) 半導体装置の製造方法
US6235645B1 (en) Process for cleaning silicon semiconductor substrates
TW201807748A (zh) 用於化學蝕刻矽的方法
TWI547975B (zh) 用於在處理腔室中處理基板之方法、用於處理基板之方法及裝置、用於製備用於在處理腔室中沈積之基板的方法以及半導體結構
TWI833831B (zh) 金屬矽化物的選擇性沉積以及選擇性氧化物移除
JP2005197534A (ja) 熱処理用治具の表面保護膜形成方法及び熱処理用治具
US9653282B2 (en) Silicon-containing substrate cleaning procedure
WO2024091470A1 (en) Dielectric film surface restoration with reductive plasma
JP2008187190A5 (zh)