KR20160082476A - 게르마늄 산화물 전-세정 모듈 및 프로세스 - Google Patents

게르마늄 산화물 전-세정 모듈 및 프로세스 Download PDF

Info

Publication number
KR20160082476A
KR20160082476A KR1020150188479A KR20150188479A KR20160082476A KR 20160082476 A KR20160082476 A KR 20160082476A KR 1020150188479 A KR1020150188479 A KR 1020150188479A KR 20150188479 A KR20150188479 A KR 20150188479A KR 20160082476 A KR20160082476 A KR 20160082476A
Authority
KR
South Korea
Prior art keywords
substrate
halogen
gas
chlorine
germanium
Prior art date
Application number
KR1020150188479A
Other languages
English (en)
Other versions
KR102358262B1 (ko
Inventor
존 톨레
쥐. 매튜 굿맨
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20160082476A publication Critical patent/KR20160082476A/ko
Application granted granted Critical
Publication of KR102358262B1 publication Critical patent/KR102358262B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/002Pretreatement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

몇몇 실시예들에서, 집적 회로 제작을 위한 방법은 기판의 표면으로부터 산화물 재료를 제거하는 단계를 포함하며, 상기 표면은 실리콘 및 게르마늄을 포함한다. 산화물 재료를 제거하는 단계는 실리콘 산화물-함유 표면상에 할로겐-함유 전-세정 재료를 증착시키는 단계 및 상기 표면상에서 실리콘을 노출시키기 위해 할로겐-함유 전-세정 재료의 일 부분을 승화시키는 단계를 포함한다. 패시베이션 막은 노출된 실리콘 상에서 증착된다. 패시베이션 막은 염소를 포함할 수 있다. 패시베이션 막은 이전의 승화보다 더 높은 온도에 있을 수 있는 이후의 승화로부터 화학적 종들에 의해 실리콘 표면의 오염을 방지할 수 있다. 그 다음에, 할로겐-함유 전-세정 재료 및 패시베이션 막의 나머지 부분이 승화된다. 도전성 재료와 같은, 목표 재료가 그 다음에 기판 표면상에 증착될 수 있다.

Description

게르마늄 산화물 전-세정 모듈 및 프로세스{Germanium oxide pre-clean module and process}
본 발명은 집적 회로들의 제작에 관한 것이며, 특히 기판 표면을 전-세정하기 위한 방법들 및 장치들에 관한 것이다.
집적 회로들의 제작은 종종 기판 표면상에서 하나 이상의 재료 층들의 형성을 수반할 수 있다. 이들 재료 층들은, 예를 들면, 단-결정, 다결정, 및/또는 비정질 재료 층들을 포함할 수 있다. 재료 층들의 형성은 다양한 물리적(예로서, 물리적 스퍼터링) 및/또는 화학적(예로서, 화학 기상 증착, 원자 층 증착, 및/또는 에피택셜 증착) 증착 기술들을 포함하여, 다양한 박막 증착 기술들을 사용하여 달성될 수 있다. 예를 들면, 기판 표면상에서의 단-결정 재료 형성은 단-결정 반도체 재료들(예로서, 단-결정 실리콘)의 형성을 위해서와 같은, 에피택셜 증착 프로세스를 사용하여 수행될 수 있다.
기판 표면상에서의 개재(intervening) 재료(예로서, 실리콘-게르마늄 기판상에서 실리콘 및 게르마늄을 포함한 산화물 재료 층과 같은, 자연 산화물 층)의 존재는 상기 기판 표면 위에서의 원하는 재료 층의 형성을 방해할 수 있다. 예를 들면, 개재 재료는 원하는 재료 층의 구조에서 증가된 수의 결함들의 도입을 야기할 수 있고 및/또는 원하는 재료 층의 전기 성능에 악영향을 미칠 수 있다. 몇몇 실시예들에서, 자연 산화물 재료와 같은 개재 재료는 집적 회로 제작 프로세스 동안 산소에 대한 기판의 노출(예로서, 제작 시스템들 사이에서 기판의 전달 동안 주변 공기에 대한, 및/또는 제작 시스템들 내에서의 잔여 산화제들에 대한 노출)로 인해 기판 표면상에서 형성될 수 있다.
따라서, 기판 표면상에 높은 품질 층들을 형성하기 위한 프로세스들에 대한 계속된 요구가 있다.
집적 회로 제작을 위한 방법은 기판의 표면으로부터 산화물 재료를 제거하는 단계를 포함할 수 있으며, 여기에서 상기 표면은 실리콘 및 게르마늄을 포함한다. 상기 산화물 재료를 제거하는 단계는 상기 표면상에서 할로겐-함유 전-세정 재료를 증착시키는 단계, 및 상기 표면상에서 실리콘을 노출시키기 위해 상기 할로겐-함유 전-세정 재료의 일 부분을 승화시키는 단계를 포함할 수 있다. 패시베이션 재료는 노출된 실리콘 상에 증착될 수 있다. 상기 패시베이션 재료는 몇몇 실시예들에서 염소를 포함한다.
집적 회로 제작을 위한 방법은 기판의 표면으로부터 산화물 재료를 제거하는 단계를 포함할 수 있으며, 여기에서 상기 기판은 실리콘 및 게르마늄을 포함한다. 상기 산화물 재료를 제거하는 단계는 상기 기판의 표면상에서 염소-함유 패시베이션 재료를 증착시키는 단계, 및 실질적으로 상기 기판을 에칭하지 않고 상기 염소-함유 패시베이션 재료를 승화시키는 단계를 포함할 수 있다.
집적 회로 제작을 위한 방법은 기판의 표면으로부터 게르마늄-함유 산화물 재료를 제거하는 단계를 포함할 수 있으며, 상기 기판은 게르마늄을 포함한다. 상기 게르마늄-함유 산화물 재료를 제거하는 단계는 상기 게르마늄-함유 산화물 재료로부터 할로겐 및 게르마늄-함유 전-세정 재료를 형성하는 단계 및 상기 할로겐 및 게르마늄-함유 전-세정 재료를 승화시키는 단계를 포함할 수 있다.
본 개시의 다양한 특징들, 양상들, 및 이점들은 특정한 실시예들의 도면들을 참조하여 설명되며, 이것은 특정한 실시예들을 예시하며 본 발명을 제한하지 않도록 의도된다.
도 1은 기판 표면 전-세정 프로세스의 예를 도시한다.
도 2는 기판 표면 전-세정 프로세스를 수행하도록 구성된 장치의 예를 도시한다.
도 3은 상이한 기판 전-세정 프로세스들에 노출된 기판들의 계면 산소 함량을 도시한다.
도 4는 상이한 기판 표면 전-세정 프로세스들에 노출된 기판들의 표면 거칠기를 도시한다.
다양한 실시예들이 기판의 노출된 표면으로부터 산화물 재료를 제거하기 위한 전-세정 프로세스에 관하여 여기에서 설명된다. 결과적인 전-세정된 표면은 실리콘의 에피택셜 성장과 같은, 재료들의 고 품질 층들의 이후의 형성을 용이하게 하는 표면을 제공할 수 있다는 것이 이해될 것이다.
몇몇 실시예들에서, 기판 전-세정 프로세스는 게르마늄 또는 실리콘 및 게르마늄 양쪽 모두를 포함한 기판 표면들을 포함하여, 게르마늄을 포함한 기판의 표면으로부터 산화물 재료를 제거하도록 구성된다. 몇몇 실시예들에서, 기판 표면은 임의의 몰 비에서 실리콘 및 게르마늄 양쪽 모두(예로서, Si1 - xGex, 여기에서 x는 0보다 크며 1보다 작다)를 포함할 수 있다. 예를 들면, 프로세스는 실리콘-게르마늄 기판으로부터 자연 산화물 재료를 제거할 수 있다. 전-세정 프로세스는 기판 표면상에 전-세정 재료를 증착시키는 단계 및 그 다음에 전-세정 재료를 증발시키는 단계를 포함할 수 있으며, 그에 의해 기판 표면으로부터 산화물 재료를 제거한다. 전-세정 재료를 제거하는 단계는 기판으로부터 전-세정 재료의 일부 또는 모두를 제거하는 것을 포함할 수 있다. 동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 전-세정 재료를 형성하기 위해, 전-세정 프로세스 동안 반응 챔버로 흐르는 반응 종들은 기판 표면상에서의 산화물 재료와 화학적으로 상호 작용할 수 있는 것으로 보인다. 몇몇 실시예들에서, 전-세정 재료는 반응 종들 및 기판 표면 산화물 재료 사이에서의 화학적 반응들 및/또는 반응 종들 자체 사이에서의 화학적 반응들로부터 생성된 하나 이상의 성분들을 포함할 수 있다. 예를 들면, 전-세정 재료를 형성하기 위한 반응 가스는 할로겐-함유 반응 가스가 할로겐 및 실리콘을 포함한 몇몇 부분들, 및 할로겐 및 게르마늄을 포함한 다른 부분들을 갖고 전-세정 재료를 형성하기 위해 기판 표면 산화물(예로서, 실리콘 산화물 및 게르마늄 산화물)과 화학적으로 반응하도록 할로겐을 포함할 수 있다. 전-세정 재료의 형성 및 후속 제거는 표면 산화물의 제거를 야기하며, 그에 의해 기판의 적어도 몇몇 부분들 상에서 고 품질의 산화물이 없는 표면을 남긴다.
몇몇 실시예들에서, 전-세정 재료의 상이한 부분들은 상이한 시간들에서 제거될 수 있다. 예를 들면, 동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 전-세정 재료는 상이한 온도들에서 증발하는 성분들을 포함할 수 있으며, 이는 제 2 상이한 부분의 승화 이전에 전-세정 재료의 제 1 부분이 기판 표면으로부터 승화되는 것을 야기한다. 예를 들면, 할로겐 및 실리콘을 포함한 전-세정 재료의 부분은 할로겐 및 게르마늄을 포함한 전-세정 재료의 부분보다 더 낮은 승화 온도를 가질 수 있어서, 기판의 온도가 증가됨에 따라 할로겐 및 게르마늄-함유 부분의 증발 이전에 할로겐 및 실리콘-함유 부분의 증발을 야기한다.
다시, 동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 할로겐 및 실리콘-함유 부분의 승화는 노출된 기판 표면상에 실리콘을 남길 수 있다. 할로겐 및 게르마늄-함유 부분의 후속 승화는 노출된 실리콘의 오염 및/또는 재-산화를 야기할 수 있는 것으로 믿어지고 있다. 몇몇 실시예들에서, 패시베이션 막, 예로서 염소-함유 패시베이션 막은 노출된 실리콘 상에 형성될 수 있으며, 따라서 할로겐 및 게르마늄-함유 전-세정 재료의 승화 동안, 패시베이션 막은 실리콘을 위한 보호 배리어를 제공한다. 유리하게는, 이것은 할로겐 및 게르마늄-함유 전-세정 재료의 승화 후 오염 및/또는 재-산화되지 않거나 또는 실질적으로 그렇지 않은 실리콘 표면을 제공할 수 있다.
패시베이션 막, 예로서 염소-함유 패시베이션 막은 패시베이션 막 반응 가스, 예로서 염소-함유 가스에 기판을 노출시킴으로써 형성될 수 있다. 예를 들면, 염소-함유 가스는 염소-함유 패시베이션 막을 형성하기 위해 전-세정 프로세스 동안 반응 챔버로 흐르게 될 수 있다. 몇몇 실시예들에서, 패시베이션 막은, 불소, 브롬 및/또는 요오드-함유 패시베이셔 막을 형성하기 위해서와 같은, 염소가 아닌 다른 하나 이상의 할로겐들(예로서, 불소, 브롬, 및/또는 요오드)을 포함한 패시베이션 막 반응 가스에 기판을 노출시킴으로써 형성될 수 있다. 몇몇 실시예들에서, 패시베이션 막은 염소-함유 성분 및 염소가 아닌 할로겐을 포함하는 하나 이상의 성분들을 포함하는 패시베이션 막 반응 가스에 기판을 노출시킴으로써 형성될 수 있다.
몇몇 실시예들에서, 패시베이션 막은 할로겐 및 게르마늄-함유 부분의 승화 전에 형성된다. 예를 들면, 기판은 상기 승화 전에 패시베이션 막의 전구체에 노출될 수 있다. 몇몇 실시예들에서, 패시베이션 막 전구체의 흐름은 더 낮은 승화 온도 부분의 승화로부터 더 높은 승화 온도 부분의 승화의 완료까지 계속될 수 있다. 기판의 온도는 실리콘-함유 전-세정 재료의 승화 및 게르마늄-함유 전-세정 재료의 승화 사이에서 증가될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 패시베이션 막은 기판 온도가 게르마늄-함유 전-세정 재료의 승화 온도에 도달하기 전에 형성된다. 패시베이션 막 및 게르마늄-함유 전-세정 재료는 그 다음에 전-세정된 기판 표면을 제공하기 위해 제거될 수 있다. 몇몇 실시예들에서, 패시베이션 막은 게르마늄-함유 전-세정 재료를 승화시키기 위한 프로세스 동안 제거될 수 있다. 예를 들면, 기판은 게르마늄-함유 전-세정 재료를 승화시키기 위한 온도로 가열될 수 있으며, 여기에서 이러한 가열은 게르마늄-함유 전-세정 재료 및 패시베이션 막을 제거한다.
몇몇 실시예들에서, 예를 들어, 도전성 재료를 포함하는 목표 재료는 전-세정된 기판 표면상에 증착될 수 있다. 도전성 재료는, 제한 없이, 반도체-함유 재료(예로서, 실리콘-함유 재료), 금속-함유 재료, 또는 그것의 조합들을 포함할 수 있다. 예를 들면, 목표 재료는 단결정 실리콘을 포함할 수 있다. 여기에서 사용된 바와 같은, 목표 재료는 전-세정된 기판 표면과 직접 접촉하여 증착되는 재료이다. 몇몇 실시예들에서, 그로부터 산화물 재료가 제거되는 기판이 패터닝될 수 있다(예로서, 그것의 표면상에서의 트렌치들과 같은 리세스들의 패턴을 가진다). 몇몇 실시예들에서, 기판은 노출된 전자 디바이스들(예로서, 트랜지스터 구조들)을 포함할 수 있다.
몇몇 실시예들에서, 전-세정 프로세스는 복수의 사이클들을 포함할 수 있으며, 여기에서 각각의 사이클은 전-세정 재료를 형성하는 단계, 전-세정 재료의 제 1 부분을 제거하는 단계, 패시베이션 막을 형성하는 단계, 및 전-세정 재료의 제 2 부분을 제거하는 단계를 포함할 수 있다. 하나 이상의 사이클을 포함한 전-세정 프로세스는 유리하게는 유난히 높은 선택도(selectivity)를 보여줄 수 있다. 예를 들면, 전-세정 프로세스의 단일 사이클의 선택도 성능에 비교하여, 제 2 사이클 및/또는 전-세정 프로세스의 다른 후속의 부가적인 사이클들은 기판 표면으로부터, 실리콘 질화물과 같은, 또 다른 재료의 제거에 대하여 기판 표면으로부터 산화물의 상당히 더 높은 선택적 제거를 보여줄 수 있다. 몇몇 실시예들에서, 복수의 사이클들을 포함한 전-세정 프로세스는 기판 표면상에서의 하나 이상의 특징부들의 바람직하지 않은 클로깅(clogging) 및/또는 오버-에칭을 포함하여, 바람직하게 낮은 수의 결함들을 유지하면서 표면 산화물 재료의 바람직한 제거를 용이하게 할 수 있다.
몇몇 실시예들에서, 전-세정 프로세스는 단일 반응 챔버에서 그 자리에서 수행될 수 있다. 예를 들면, 전-세정 재료를 증착시키는 단계, 전-세정 재료를 증발시키는 단계, 패시베이션 막을 증착시키는 단계, 및 패시베이션 막을 제거하는 단계는 동일한 반응 챔버에서 수행될 수 있다. 유리하게는, 단일 반응 챔버에서 전-세정 프로세스를 수행하는 것은 증가된 스루풋을 용이하게 하며 기판의 수송으로 인한 오염을 감소시킬 수 있다.
몇몇 다른 실시예들에서, 전-세정 재료는, 전-세정 재료가 그 다음에 기판으로부터 제거되는 반응 챔버와 상이한 반응 챔버에서 형성될 수 있다. 예를 들면, 전-세정 재료는 제 1 반응 챔버에서 형성될 수 있으며 그 다음에 전-세정 재료가 제거되는 제 2 반응 챔버로 이송되고, 그에 의해 전-세정된 기판 표면을 제공한다. 몇몇 실시예들에서, 패시베이션 막은 제 2 반응 챔버에서 형성된다. 예를 들면, 전-세정 재료의 승화는 제 2 반응 챔버에서 수행될 수 있다. 몇몇 실시예들에서, 전-세정 재료는 제 1 반응 챔버에서 부분적으로 제거될 수 있다. 예를 들면, 전-세정 재료의 제 1 부분은 제 1 반응 챔버에서 제거될 수 있으며, 패시베이션 막은 제 1 반응 챔버에서 기판 표면상에 형성될 수 있다. 몇몇 실시예들에서, 제 2 반응 챔버는 목표 재료가 전-세정 기판 표면상에 형성될 수 있는 챔버일 수 있다. 몇몇 실시예들에서, 제 2 반응 챔버는 에피택셜 증착 챔버일 수 있으며 목표 재료는 에피택셜 실리콘 층(예로서, 단-결정 실리콘)일 수 있다.
목표 재료가 그 다음에 기판상에 형성되는 동일한 반응 챔버에서의 전-세정 재료의 제거는 유리하게는 목표 재료의 이후의 증착을 위해 고 품질 표면을 제공할 수 있다. 예를 들면, 전-세정 재료는 기판 표면 위에 보호 층을 제공할 수 있어서, 목표 재료 증착을 위해 반응 챔버로의 기판의 수송 동안 부가적인 산화가 기판 표면상에서 발생하는 것을 감소시키고 및/또는 방지한다. 몇몇 실시예들에서, 목표 재료가 그 다음에 형성되는 동일한 반응 챔버에서 전-세정 재료의 제거는 감소된 결함 카운트 및/또는 개선된 전기적 성능을 가진 목표 재료의 증착을 용이하게 할 수 있다. 몇몇 실시예들에서, 목표 재료가 그 다음에 형성되는 동일한 반응 챔버에서의 전-세정 재료의 제거는 전-세정 프로세스 다음에 진공 환경의 밖에서 기판의 수송, 및/또는 서로에 결합된 진공이 아닌 반응 챔버들의 사용(예로서, 클러스터 툴에서의 반응 챔버들과 같은, 다양한 게이팅 밸브들을 통해 서로에 결합되지 않은 반응 챔버들의 사용)을 용이하게 할 수 있다.
도 1을 참조하면, 기판 표면을 전-세정하기 위한 예시적인 프로세스(100)가 도시된다. 블록(102)에서, 기판 표면상에 산화물 재료를 가진 기판이 제공되며, 여기에서 표면은 실리콘 및 게르마늄을 포함할 수 있다. 몇몇 실시예들에서, 산화물 재료는 실리콘 산화물 및 게르마늄 산화물을 포함한다. 블록(104)에서, 할로겐을 포함한 재료와 같은, 전-세정 재료가 기판 표면상에 형성될 수 있다. 몇몇 실시예들에서, 할로겐-함유 전-세정 재료는 전-세정 재료 반응 가스에 산화물 재료를 노출시킴으로써 형성될 수 있다. 할로겐-함유 전-세정 재료의 조성이 기판의 상이한 영역들에서 달라질 수 있다는 것이 이해될 것이다. 예를 들면, 할로겐-함유 전-세정 재료는 이전에 실리콘 산화물을 포함했던 영역들에서, 할로겐 및 실리콘을 포함한 부분, 및 이전에 게르마늄 산화물을 포함했던 영역들에서, 할로겐 및 게르마늄을 포함한 부분을 포함할 수 있다. 블록(106)에서, 할로겐-함유 전-세정 재료의 일 부분은 기판의 표면상에서 실리콘을 노출시키기 위해 승화될 수 있다. 몇몇 실시예들에서, 할로겐 및 실리콘-함유 재료는 할로겐 및 게르마늄-함유 재료보다 더 낮은 승화 온도를 가진다. 그 결과, 블록(106)에서 전-세정 재료의 부분을 승화시키는 것은 표면으로부터 실리콘 및 산소-함유 종들을 제거하기 위해 할로겐 및 실리콘-함유 재료를 승화시키는 것을 포함할 수 있으며, 그에 의해 기판 표면상에 실리콘을 노출시킨다. 블록(108)에서, 패시베이션 재료는 노출된 실리콘상에서 형성될 수 있다. 예를 들면, 염소-함유 패시베이션 막은 노출된 실리콘 위에 보호 배리어를 형성할 수 있다. 블록(110)에서, 할로겐-함유 전-세정 재료의 나머지 부분이 승화될 수 있다. 할로겐 및 게르마늄-함유 전-세정 재료는 할로겐 및 실리콘-함유 전-세정 재료보다 높은 승화 온도를 가질 수 있으며, 할로겐-함유 전-세정 재료의 나머지 부분을 승화시키는 것은 표면으로부터 할로겐 및 게르마늄-함유 전-세정 재료를 제거할 수 있다. 몇몇 실시예들에서, 패시베이션 막은 할로겐-함유 전-세정 재료의 나머지 부분의 승화 동안 기판으로부터 제거될 수 있다. 예를 들면, 패시베이션 막은 전-세정 재료의 나머지 부분을 승화시키기 위해 기판을 가열하는 동안 제거될 수 있다.
몇몇 실시예들에서, 반응 챔버 압력은 전-세정 프로세스(100) 동안 약 1 밀리토르(millitorr) 내지 약 50 토르(torr)일 수 있다. 몇몇 실시예들에서, 반응 챔버 압력은 약 1 밀리토르 내지 약 20 토르를 포함하여, 약 1 밀리토르 내지 약 30 토르일 수 있다. 바람직하게는, 챔버 압력은 약 1 밀리토르 내지 약 5 토르, 및 바람직하게는 약 1 밀리토르에서 약 1 토르까지이다.
몇몇 실시예들에서, 기판 표면은 전-세정 프로세스에 의해 제거될 실리콘 질화물 재료(예로서, 전자 디바이스들에 대한 스페이서(spacer) 특징부들을 포함하여, 기판 표면상에서의 다양한 전자 디바이스들의 형성시 사용된 실리콘 질화물 재료) 및 산화물 재료(예로서, 실리콘 및 게르마늄을 포함한 산화물 재료)를 포함할 수 있다. 몇몇 실시예들에서, 산화물 재료는 약 7:1보다 큰 선택도로 기판 표면상에서의 실리콘 질화물 재료에 대해 선택적으로 제거될 수 있다. 몇몇 실시예들에서, 실리콘 질화물에 대한 산화물을 제거하기 위한 전-세정 프로세스의 선택도는 약 6:1 내지 약 150:1일 수 있다. 예를 들면, 선택도는 약 7:1 내지 약 15:1, 또는 약 8:1 내지 약 15:1, 또는 약 8:1 내지 약 12:1을 포함하여, 약 6:1 내지 약 60:1, 또는 약 7:1 내지 약 20:1일 수 있다.
도 1에 도시된 바와 같이, 전-세정 프로세스(100)는 복수의 사이클들(112112)을 포함할 수 있다. 전-세정 프로세스의 사이클(112112)은 블록들(104, 106, 108, 및 110)을 포함할 수 있다. 예를 들면, 전-세정 프로세스(100)는 기판 표면으로부터 원하는 산화물 제거를 달성하기 위해 원하는 횟수들로 블록들(104, 106, 108, 및 110)의 반복을 포함할 수 있다. 몇몇 실시예들에서, 각각의 사이클(112112)은 산화물 재료의 일 부분만을 제거하며, 복수의 사이클들은 원하는 양(예로서, 실질적으로 모든)의 산화물 재료를 제거하도록 구성된다.
계속해서 도 1을 참조하면, 기판 표면상에서, 할로겐-함유 전-세정 재료와 같은, 전-세정 재료를 증착시키는 것(예로서, 도 1의 블록(104))은 전-세정 재료 반응 가스에 기판 표면을 노출시키는 것을 포함할 수 있다. 전-세정 재료 반응 가스의 조성은 하나 이상의 캐리어 가스들을 포함할 수 있다. 적절한 캐리어 가스는 임의의 수의 불활성 가스들을 포함할 수 있다. 몇몇 실시예들에서, 캐리어 가스는 아르곤(Ar)을 포함할 수 있다. 몇몇 실시예들에서, 전-세정 재료 반응 가스는 또한 할로겐-함유 가스를 포함할 수 있다. 예를 들면, 할로겐-함유 가스는 불소-함유 가스일 수 있다. 적절한 불소-함유 가스들은 제한 없이, 삼불화 질소(NF3), 불화 수소(HF), 및/또는 이원자 불소(F2)를 포함할 수 있다. 몇몇 실시예들에서, 전-세정 재료 반응 가스는 또한 수소-함유 가스를 포함할 수 있다. 적절한 수소-함유 가스는 예를 들면, 암모니아(NH3)를 포함할 수 있다.
여기에서 설명된 바와 같이, 동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 전-세정 재료는 실리콘 및 게르마늄을 포함한 산화물 재료를 포함하여, 기판 표면 산화물 재료 및 전-세정 재료 반응 가스의 반응에 의해 형성된 하나 이상의 성분들을 포함할 수 있다. 예를 들면, 암모니아, 및 하나 이상의 불소-함유 화합물들을 포함한 전-세정 재료 반응 가스는 수증기, 및 질소, 수소, 게르마늄 및 실리콘을 포함한 전-세정 재료를 발생시키기 위해 산화물과 화학적으로 반응하는 것으로 믿어진다. 몇몇 실시예들에서, 암모니아 및 삼불화 질소, 불화 수소, 및/또는 불소는 헥사플루오로규산암모늄((NH4)2SiF6) 및 헥사플루오로게르마늄산암모늄((NH4)2GeF6)을 포함한 전-세정 재료를 제공하기 위해 산화물과 반응할 수 있다.
동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 불화 암모늄(NH4F)은 반응 가스(예로서, NF3, HF, 및/또는 F2)의 할로겐-함유 성분으로부터의 불소(F) 원자가 불화 암모늄(NH4F)을 형성하기 위해 암모니아(NH3)와 결합할 수 있는, 불화 수소(HF)를 형성하기 위해 암모니아(NH3)와 반응할 때 형성될 수 있다고 믿어지고 있다. 몇몇 실시예들에서, 불화 암모늄은 사불화 실리콘(SiF4), 사불화 게르마늄(GeF4), 및 수증기(H2O)를 형성하기 위해 분해되고 산화물과 반응함으로써 산화물 재료를 제거할 수 있으며, 사불화 실리콘(SiF4) 및 사불화 게르마늄(GeF4)은 헥사플루오로규산암모늄((NH4)2SiF6) 및 헥사플루오로게르마늄산암모늄((NH4)2GeF6)을 형성하기 위해 NH4F와 결합할 수 있으며, 상기 헥사플루오로규산암모늄((NH4)2SiF6) 및 헥사플루오로게르마늄산암모늄((NH4)2GeF6)은 기판 표면상에서 막 층을 형성한다. 예를 들면, 불화 암모늄의 음전성 불소(F)는 산화물 재료의 비교적 더 많은 양전성 실리콘(Si) 및 게르마늄(Ge)에 끌어당겨질 수 있는 반면, 암모늄(NH4 +)은 산화물 재료의 산소(O)로 끌어당겨질 수 있다.
몇몇 실시예들에서, 기판은 예를 들면, 기판 표면이 전-세정 프로세스의 전-세정 재료 반응 가스에 노출되는 동안을 포함하여, 전-세정 재료의 형성 동안 원하는 온도에서 유지될 수 있다. 몇몇 실시예들에서, 기판은 전-세정 재료의 형성 동안 전-세정 재료 반응 가스의 응결 온도 위의 온도에서 유지될 수 있다. 예를 들면, 기판은 몇몇 실시예들에서, 약 15℃ 이상, 또는 약 20℃ 이상의 온도에서 유지될 수 있다. 몇몇 실시예들에서, 기판은 약 15℃ 내지 약 30℃, 약 22℃ 내지 약 28℃, 및 약 25℃ 내지 약 30℃를 포함하여, 약 15℃ 내지 약 50℃의 온도에서 유지될 수 있다. 예를 들면, 기판은 약 18℃ 내지 약 28℃의 온도에서 유지될 수 있으며, 이것은 기판 표면상에서 실리콘 질화물 재료에 대하여 산소의 제거를 위해 높은 선택도를 용이하게 할 수 있다.
전-세정 재료 반응 가스의 하나 이상의 성분들은 플라즈마 소스에 의해 활성화될 수 있다. 예를 들면, 반응 가스의 하나 이상의 성분들은 반응 챔버로 이들 종들을 흐르게 하기 전에 하나 이상의 활성화된 반응 종들을 발생시키기 위해(예로서, 하전 이온들, 및/또는 중성 원자들 및/또는 라디칼들을 발생시키기 위해) 원격 플라즈마 발생기를 통해 하나 이상의 성분들을 흐르게 함으로써와 같이, 원격 플라즈마 소스(예로서, 원격 플라즈마 유닛, 또는 RPU)에 의해 활성화될 수 있다. 몇몇 실시예들에서, 전-세정 재료 반응 가스의 성분들 중 적어도 하나는 플라즈마 발생기에 의해 활성화되지 않는다.
몇몇 실시예들에서, 기판 표면 전-세정 프로세스(100)는 캐리어 가스(예로서, 아르곤), 할로겐-함유 가스, 및/또는 수소-함유 가스(예로서, 아르곤-함유, 할로겐-함유, 및/또는 수소-함유 하전 이온들, 원자들, 및/또는 라디칼들을 포함한 반응 종들을 제공하기 위해)를 포함할 수 있으며, 그것의 적어도 하나는 플라즈마-활성화된다. 예를 들면, 아르곤(Ar)을 포함한 반응 가스는, 원격 플라즈마 유닛을 통해 아르곤 가스를 흐르게 함으로써와 같은, 원격 플라즈마 소스에 의해 활성화될 수 있다. 몇몇 실시예들에서, 불소-함유 가스는 반응 챔버로 도입되기 전에 원격 플라즈마 유닛을 통해 흐르게 됨으로써 활성화될 수 있다. 몇몇 실시예들에서, 수소-함유 가스(예로서, 암모니아)는 또한, 여기에서 원격 플라즈마 소스로서 불리우는, 원격 플라즈마 발생기를 통해 할로겐-함유 가스를 흐르게 함으로써 활성화될 수 있다.
몇몇 실시예들에서, 캐리어 가스, 할로겐-함유 가스, 및 수소-함유 가스 중 하나 이상은 플라즈마-활성화되지 않을 수 있다. 예를 들면, 활성화되지 않은 반응 가스는 반응 챔버에서 기판 표면이 그것에 노출되기 전에 원격 플라즈마 소스를 통해 흐르지 않을 수 있다. 몇몇 실시예들에서, 캐리어 가스는 할로겐-함유 가스 및 수소-함유 가스가 원격 플라즈마 발생기 소스에 의해 활성화되지 않을 수 있는 동안 원격 플라즈마 발생기 소스에 의해 활성화될 수 있다. 예를 들면, 불소-함유 가스 및 수소 함유 가스는 플라즈마 소스를 통해 가스들을 흐르게 하지 않고 반응 챔버로 도입될 수 있다. 몇몇 실시예들에서, 예를 들면, 캐리어 가스, 할로겐-함유 가스, 및 수소-함유 가스를 포함하여, 전-세정 재료 반응 가스들의 모든 성분들은 원격 플라즈마 소스에 의해 활성화된다.
몇몇 실시예들에서, 활성화되지 않은 전-세정 재료 반응 가스는 반응 챔버로 도입되기 전에 플라즈마 소스에 의해 활성화된 하나 이상의 전-세정 반응 가스들과 결합될 수 있다. 예를 들면, 활성화되지 않은 반응 가스는 반응 챔버로 도입되기 이전에 원격 플라즈마 소스의 다운스트림(downstream)에서 원격 플라즈마 소스에 의해 활성화된 반응 가스와 결합될 수 있다. 몇몇 실시예들에서, 반응 가스의 성분들은 반응 챔버로 순차적으로 도입될 수 있다. 예를 들면, 기판은 먼저 반응 가스의 하나 이상의 활성화된 성분들에, 이어서 반응 가스의 하나 이상의 활성화되지 않은 성분들에 노출될 수 있으며, 그 역 또한 마찬가지이다. 몇몇 실시예들에서, 반응 가스의 활성화된 성분들 및/또는 활성화되지 않은 성분들은 그들 자신이 순차적으로 반응 챔버로 도입될 수 있다(예로서, 반응 가스의 제 1 활성화 성분, 이어서 반응 가스의 제 2 활성화 성분).
몇몇 실시예들에서, 원격 플라즈마 소스에 의해 활성화된 캐리어 가스(예로서, 아르곤)는 활성화된 캐리어 가스 및 활성화되지 않은 할로겐-함유 가스 및 활성화되지 않은 할로겐-함유 가스가 반응 챔버로 도입되기 전에, 원격 플라즈마 소스의 다운스트림 위치에서 활성화되지 않은 할로겐-함유 가스(예로서, 불화 수소, 이원자 불소, 및/또는 삼불화 질소) 및 활성화되지 않은 수소-함유 가스(예로서, 암모니아)와 결합될 수 있다. 몇몇 실시예들에서, 캐리어 가스(예로서, 아르곤) 및 할로겐-함유 가스(예로서, 불화 수소, 이원자 불소, 및/또는 삼불화 질소)는 원격 플라즈마 소스에 의해 결합되며 활성화되고, 그 후 활성화된 캐리어 가스, 활성화된 할로겐-함유 가스, 및 활성화되지 않은 수소-함유 가스가 반응 챔버로 도입되기 전에 원격 플라즈마의 다운스트림 위치에서 활성화되지 않은 수소-함유 가스(예로서, 암모니아)와 결합된다. 몇몇 실시예들에서, 캐리어 가스(예로서, 아르곤), 할로겐-함유 가스(예로서, 불화 수소, 이원자 불소, 및/또는 삼불화 질소) 및 수소-함유 가스(예로서, 암모니아)는 모두 원격 플라즈마 소스에 의해 활성화된다. 예를 들면, 캐리어 가스, 할로겐-함유 가스 및 수소-함유 가스는 원격 플라즈마 소스를 통해 가스들을 흐르게 하기 전에 결합될 수 있다.
몇몇 실시예들에서, 전-세정 재료의 형성은 먼저 원격 플라즈마 소스에 의해 활성화된 캐리어 가스(예로서, 아르곤) 및 할로겐-함유 가스(예로서, 불화 수소, 이원자 불소, 및/또는 삼불화 질소)의 조합, 이어서 활성화되지 않은 할로겐-함유 가스(예로서, 불화 수소) 및 수소-함유 가스(예로서, 암모니아)의 조합을 반응 챔버로 도입하는 것을 포함할 수 있다. 몇몇 실시예들에서, 전-세정 재료의 형성은 먼저 원격 플라즈마 소스에 의해 활성화된 할로겐-함유 가스 및 캐리어 가스의 조합, 그 후 활성화되지 않은 수소-함유 가스에 앞서 활성화되지 않은 할로겐-함유 가스의 순차적 흐름을 반응 챔버로 도입하는 것을 포함할 수 있다.
몇몇 실시예들에서, 하나 이상의 프로세스들은 반응 챔버로부터 가스 제거(예로서, 과도한 반응 가스 및/또는 가스 반응 부산물들을 포함할 수 있는, 기존의 반응 챔버 대기의 제거)를 위해 사용될 수 있다. 몇몇 실시예들에서, 하나 이상의 가스 제거 프로세스들은 반응 챔버로의 반응 가스의 성분들의 흐름들 사이에서 사용될 수 있다. 예를 들면, 반응 챔버는 배출되고 및/또는 퍼징(purge)될 수 있다. 예를 들면, 질소(N2), 헬륨(He), 및/또는 아르곤(Ar)을 포함한, 다양한 불활성 가스들이 퍼지 프로세스에서 사용될 수 있다. 몇몇 실시예들에서, 활성화되지 않은 불활성 가스가 퍼지 프로세스에서 사용될 수 있다(예로서, 활성화되지 않은 N2, He, 및/또는 Ar).
전-세정 프로세스에서의 가스 흐름의 시퀀스는 예를 들면, 먼저 원격 플라즈마 소스에 의해 활성화된 할로겐-함유 가스(예로서, 불화 수소, 이원자 불소, 및/또는 삼불화 질소) 및 캐리어 가스(예로서, 아르곤)의 조합을 반응 챔버로 도입하는 것, 이어서 가스 제거 프로세스, 및 그 후 이어서 활성화되지 않은 할로겐-함유 가스(예로서, 불화 수소) 및 수소-함유 가스(예로서, 암모니아)의 조합의 반응 챔버로의 흐름을 포함할 수 있다.
몇몇 실시예들에서, 가스 제거 프로세스는 반응 가스의 흐름을 시작하기 전에 및/또는 반응 챔버로의 반응 가스의 흐름을 정지시키는 것 다음에 사용될 수 있다. 몇몇 실시예들에서, 하나 이상의 퍼지 프로세스들은 반응 챔버로 반응 가스의 흐름을 시작하기 전에 및/또는 반응 챔버로의 반응 가스의 흐름을 정지시키는 것 다음에 수행될 수 있다. 예를 들면, 하나 이상의 불활성 가스들(예로서, 활성화되지 않은 아르곤을 포함한, 아르곤)은 반응 가스의 흐름을 시작하기 전에, 및 몇몇 실시예들에서, 반응 챔버로의 반응 가스의 흐름을 정지시킨 다음에 반응 챔버로 흐르게 될 수 있다. 가스 흐름의 시퀀스의 예는, 예를 들면, 하나 이상의 불활성 가스들을 반응 챔버로 흐르게 하는 것, 그 후 반응 챔버로 반응 가스의 성분들을 도입하는 것을 포함한 제 1 반응 챔버 퍼지 프로세스를 수행하는 것을 포함할 수 있다. 반응 가스의 성분들의 흐름을 정지시킨 후, 제 2 퍼지 프로세스가 수행될 수 있으며, 여기에서 제 2 퍼지 프로세스는 반응 가스 및/또는 가스 반응 부산물들의 과도한 성분들의 반응 챔버를 퍼징하기 위해 하나 이상의 불활성 가스들을 반응 챔버로 흐르게 하는 것을 포함한다.
몇몇 실시예들에서, 퍼지 프로세스의 하나 이상의 불활성 가스들은 반응 챔버로 도입되기 전에 원격 플라즈마 유닛을 통해 흐르게 될 수 있다. 퍼지 프로세스의 하나 이상의 불활성 가스들은 원격 플라즈마 유닛 내에서 활성화된 플라즈마가 아닐 수 있다(예로서, 원격 플라즈마 유닛을 통한 하나 이상의 불활성 가스들의 흐름은 플라즈마가 원격 플라즈마 유닛 내에서 점화되지 않은 동안 발생할 수 있다). 예를 들면, 하나 이상의 불활성 가스들은 원격 플라즈마 유닛을 퍼징시키기 위해 및/또는 그 다음에 원격 플라즈마 유닛에서 플라즈마를 점화시키기 위해 사용될 수 있다. 예를 들면, 플라즈마가 점화되지 않은 동안 원하는 시간 지속 기간 동안 원격 플라즈마 유닛을 통해 불활성 가스를 흐르게 한 후, 원격 플라즈마 유닛을 통한 불활성 가스의 흐름은 원격 플라즈마 유닛 내에서의 플라즈마가 원격 플라즈마 유닛을 통해 흐르는 상기 불활성 가스를 갖고 점화될 수 있도록 계속될 수 있다.
몇몇 실시예들에서, 전-세정 재료 반응 가스의 하나 이상의 성분들은 반응 챔버로 도입될 때 원하는 온도에서 유지될 수 있다. 예를 들면, 캐리어 가스, 할로겐-함유 가스, 및 수소-함유 가스 중 하나 이상은 전-세정 재료를 형성하기 위해 반응 챔버로 도입되기 전에 가열될 수 있다. 몇몇 실시예들에서, 수소-함유 가스가 가열된다. 예를 들면, 수소-함유 가스는 약 80℃ 내지 약 115℃, 약 70℃ 내지 약 110℃, 약 70℃ 내지 약 105℃, 및 약 70℃ 내지 약 100℃를 포함한, 약 30℃ 내지 약 120℃의 온도로 가열될 수 있다. 예열은 유리하게는 개선된 전-세정 프로세스 성능을 용이하게 할 수 있으며, 예를 들면, 전-세정 재료의 형성을 용이하게 할 수 있다. 몇몇 실시예들에서, 기판 표면 전-세정 프로세스는 예열된 암모니아 가스를 포함할 수 있으며, 암모니아 가스는 약 80℃ 내지 약 115℃의 온도로 가열된다. 반응 가스의 하나 이상의 다른 성분들이 또한 예열될 수 있다.
전-세정 프로세스에서 다양한 전-세정 재료 반응 가스들의 비는 실리콘 질화물에 대하여 실리콘 산화물 재료의 제거 시 선택도에 영향을 미칠 수 있다는 것이 발견되었다. 몇몇 실시예들에서, 전-세정 재료 반응 가스는 약 3:1 내지 약 10:1의 할로겐-함유 가스 대 수소-함유 가스의 몰비를 가질 수 있다. 몇몇 실시예들에서, 몰비는 약 5:1 내지 약 10:1을 포함하여, 약 4:1 내지 약 10:1일 수 있다. 예를 들면, 전-세정 재료 반응 가스는 약 4.5:1의 암모니아 대 삼불화 질소, 불화 수소 및/또는 불소 가스의 몰 비를 가질 수 있다. 몇몇 실시예들에서, 원격 플라즈마 소스에 의해 활성화된 암모니아 대 그것의 이송 튜브에서 예열된 활성화되지 않은 삼불화 질소의 몰 비는 약 3:1일 수 있다. 유리하게는, 이러한 비들은 실리콘 질화물에 대하여 산화물 재료를 제거하기 위한 높은 레벨들의 선택도를 제공할 수 있다.
다시 도 1을 참조하면, 할로겐-함유 전-세정 재료의 일 부분과 같은, 기판 표면상에 형성된 전-세정 재료의 일 부분은 승화될 수 있다(예로서, 도 1의 블록(106)). 예를 들면, 전-세정 재료의 제 1 부분은 기판 표면으로부터 산화물 재료의 제 1 부분을 제거하기 위해 승화될 수 있다. 전-세정 재료는 다양한 기술들을 사용하여 제거될 수 있다(예로서, 분해 및/또는 증발될 수 있다). 몇몇 실시예들에서, 전-세정 재료는 전-세정 재료의 하나 이상의 성분들을 증발시키기 위해 기판을 가열함으로써 제거될 수 있다. 몇몇 실시예들에서, 기판은 전-세정 재료의 하나 이상의 성분들의 승화 온도로 또는 그 이상으로 기판의 온도를 증가시키기 위해 기판에 제공되는 가열력을 개시하거나 또는 증가시킴으로써 가열될 수 있다.
여기에서 설명된 바와 같이, 실리콘 및 게르마늄을 포함한 표면 산화물 재료상에 형성된 전-세정 재료는 기판 표면상에 존재하는 재료들에 의존하여, 상이한 화합물들을 포함할 수 있다. 예를 들면, 헥사플루오로규산암모늄((NH4)2SiF6) 및 헥사플루오로게르마늄산암모늄((NH4)2GeF6)이 존재할 수 있다. 몇몇 실시예들에서, 헥사플루오로규산암모늄((NH4)2SiF6) 및 헥사플루오로게르마늄산암모늄((NH4)2GeF6)을 포함한 전-세정 재료는 기판을 가열시킴으로써, 예를 들면, 사불화 실리콘(SiF4), 사불화 게르마늄(GeF4), 암모니아(NH3) 및/또는 불화 수소(HF)를 형성하기 위해 분해함으로써 분해되고 및/또는 증발될 수 있다. 헥사플루오로게르마늄산암모늄((NH4)2GeF6)은 헥사플루오로규산암모늄((NH4)2SiF6)보다 높은 승화 온도를 가질 수 있어서, 두 개의 별개의 시간들에서 승화하는 전-세정 재료를 야기하며; 예를 들면, 기판은 (NH4)2SiF6을 포함한 전-세정 재료의 부분이 (NH4)2GeF6을 포함한 전-세정 재료의 부분 전에 승화하도록 가열될 수 있다. 몇몇 실시예들에서, (NH4)2SiF6은 약 100℃ 내지 약 150℃, 또는 약 120℃를 포함하여, 약 80℃보다 큰 온도들에서 증발될 수 있는 반면, (NH4)2GeF6은 약 350℃ 내지 약 400℃, 또는 약 380℃를 포함하여, 약 300℃ 이상의 온도들에서 증발될 수 있다. 결과적으로, 블록(106)에서, 전-세정 재료의 부분을 승화시키는 것은 실질적으로 (NH4)2GeF6을 승화시키지 않고 (NH4)2SiF6을 포함한 전-세정 재료의 부분을 승화시키는 것을 포함할 수 있다.
동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, (NH4)2SiF6을 승화시키는 것은 기판 표면상에서 실리콘 산화물의 제거를 완료할 수 있으며, 그에 의해 기판 표면상에서 전-세정된 실리콘을 노출시킨다. 몇몇 실시예들에서, 노출된 실리콘은 순수(예로서, 결정성) 실리콘일 수 있다.
노출된 실리콘은 남아있는 할로겐 및 게르마늄-함유 전-세정 재료에 의해 오염될 수 있다는 것이 발견되었다. 예를 들면, 동작의 특정한 이론 또는 모드에 의해 제한되지 않고, 노출된 실리콘은 기판 표면상에서 남아있는 (NH4)2GeF6으로 인해, 예를 들면, (NH4)2GeF6이 그 다음에 (NH4)2GeF6의 승화 온도보다 높은 온도로 기판을 가열함으로써 증발될 때 생성된 반응 부산물들로 인해 오염되고 및/또는 재-산화될 수 있다. 예를 들면, (NH4)2GeF6을 포함한 전-세정 재료의 부분을 증발시킴으로써 생성된 부산물들의 하나 이상의 성분들은 노출된 실리콘 상에서 재증착할 수 있어서, 바람직하지 않은 표면 오염물들을 생성하고 및/또는 노출된 실리콘을 재-산화시킬 수 있으며, 그에 의해 기판 표면의 품질을 바람직하지 않게 감소시킨다.
계속해서 도 1을 참조하면, 패시베이션 재료는 기판 표면, 특히 노출된 실리콘을 가진 표면의 부분들 상에 형성될 수 있다(예로서, 도 1의 블록(108)). 바람직하게는, 패시베이션 막은 노출된 실리콘을 직접 접촉한다. 동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 패시베이션 막은 노출된 실리콘을 흡착하고 및/또는 그것에 부착할 수 있어서, 할로겐 및 게르마늄-함유 전-세정 재료(예로서, (NH4)2GeF6)의 부분의 증발 동안 생성된 하나 이상의 부산물들로부터 노출된 실리콘을 차단한다. 예를 들면, 패시베이션 막은 할로겐 및 게르마늄-함유 전-세정 재료의 부분의 승화 동안 실리콘을 위한 보호 배리어를 제공할 수 있으며, 따라서 승화 동안 생성된 부산물들에 의한 실리콘의 오염 및/또는 재-산화를 회피하거나 또는 감소시킨다. 여기에서 논의된 바와 같이, 패시베이션 막은 염소로 형성될 수 있다.
패시베이션 막은 패시베이션 막 반응 가스에 기판을 노출시킴으로써 실리콘 위에 형성될 수 있다. 몇몇 실시예들에서, 염소-함유 패시베이션 막은 기판의 가열 동안(예로서, 할로겐-함유 전-세정 재료의 형성 후 기판의 온도의 증가 동안) 반응 챔버로 염소-함유 가스(예로서, 활성화되지 않은 염소-함유 가스)를 공급함으로써 기판 표면상에 형성될 수 있다.
패시베이션 막 반응 가스는 실리콘 위에 원하는 패시베이션 막을 형성할 수 있는 하나 이상의 성분들을 포함할 수 있다. 몇몇 실시예들에서, 패시베이션 막 반응 가스의 하나 이상의 성분들은 기판을 바람직하지 않게 에칭하지 않고(예로서, 기판 표면상에서 노출된 실리콘을 바람직하지 않게 에칭하지 않고), 전-세정 재료의 승화 동안 존재하는 프로세스 조건들 하에서 노출된 실리콘 상에 원하는 보호 배리어를 형성할 수 있다. 몇몇 실시예들에서, 패시베이션 막 반응 가스는, 그 다음에 기판 표면을 손상시키지 않고 원하는 용이함으로 기판 표면으로부터 제거될 수 있는 막을 제공하면서, 패시베이션 막이 노출된 실리콘을 보호하기 위해 형성될 수 있도록 노출된 실리콘을 향해 원하는 반응도를 가질 수 있다. 예를 들면, 형성된 패시베이션 막은 기판 표면의 하나 이상의 특성들에 악영향을 줄 수 있는 온도로 기판을 가열할 필요 없이 기판 표면으로부터 제거될 수 있다.
몇몇 실시예들에서, 패시베이션 막을 형성하기 위한 가스는 염소(Cl2) 가스를 포함할 수 있다. 몇몇 다른 실시예들에서, 염소-함유 가스는 염화 수소(HCl)를 포함할 수 있다. 몇몇 실시예들에서, 염소-함유 반응 가스는 디클로로실란(DCS)을 포함할 수 있다. 몇몇 실시예들에서, 염소-함유 가스는 Cl2, HCl 및/또는 DCS를 포함한 가스들의 혼합물이다. 예를 들면, 염소-함유 가스는 HCl 및 DCS의 혼합물을 포함할 수 있다. 몇몇 실시예들에서, 염소-함유 가스의 하나 이상의 성분들의 유량은 원하는 패시베이션 막이 형성될 수 있도록 선택될 수 있다. 예를 들면, DSC 및 HCl의 혼합물을 포함한 염소-함유 가스는 약 1:2 내지 약 1:5의 체적 비에서 DSC 대 HCl의 유량을 가질 수 있다. 예를 들면, 반응 챔버로의 염소-함유 가스의 흐름은 약 100 분당 표준 입방 센티미터(standard cubic centimeter per minute; sccm)의 DCS 및 약 200 sccm의 HCl을 포함할 수 있다.
몇몇 실시예들에서, 패시베이션 막 반응 가스의 공급은 전-세정 재료의 제 1 부분의 승화 이전에 시작될 수 있다. 예를 들면, 반응 챔버로의 염소-함유 가스의 공급은 패시베이션 막이 실리콘이 노출됨에 따라 노출된 실리콘 표면 위에 형성될 수 있도록 할로겐 및 실리콘-함유 전-세정 재료(예로서, (NH4)2SiF6)의 부분의 승화 이전에 시작될 수 있다. 예를 들면, 반응 챔버로의 염소-함유 가스의 흐름은 기판이 약 100℃ 내지 약 150℃, 또는 약 120℃를 포함하여, 약 80℃ 이상의 온도에 도달하기 전에 시작될 수 있다. 몇몇 다른 실시예들에서, 반응 챔버로의 염소-함유 가스의 흐름은 기판이 할로겐 및 실리콘-함유 전-세정 재료의 승화 온도에 도달함에 따라, 또는 기판이 그것에 도달한 직후 시작될 수 있다. 예를 들면, 반응 챔버로의 염소-함유 가스의 공급은 기판이 약 120℃의 온도에 도달한 직후에 또는 실질적으로 직후에 시작될 수 있다. 할로겐 및 실리콘-함유 전-세정 재료의 부분의 상당한 승화 이전에 염소-함유 가스의 공급을 개시하는 것은 그것이 노출됨에 따라 실리콘 위에 원하는 보호 배리어를 제공하도록 반응 챔버 내에 존재하는 임의의 부산물들에 대한 실리콘의 노출을 감소시킬 수 있다.
몇몇 실시예들에서, 반응 챔버로의, 염소-반응 가스와 같은, 패시베이션 막 반응 가스의 공급은 기판이 할로겐 및 실리콘을 포함한 전-세정 재료((NH4)2SiF6)의 부분의 승화 온도에 도달한 후, 및 할로겐 및 게르마늄을 포함한 전-세정 재료(예로서, (NH4)2GeF6)의 부분의 승화 온도에 도달하기 전에 시작된다. 유리하게는, 패시베이팅 가스에 대한 이러한 타이밍은 할로겐 및 게르마늄-함유 전-세정 재료의 승화로 인한 가능한 오염 전에 노출된 실리콘의 패시베이션을 제공하면서, 및 패시베이션이 전-세정 프로세스에서의 또 다른 단계(온도 증가)와 중첩하기 때문에 높은 스루풋을 용이하게 하면서, 기판이 가스에 노출되는 지속 기간을 감소시킬 수 있다.
몇몇 실시예들에서, 반응 챔버로의 염소-함유 가스와 같은, 패시베이션 막 반응 가스의 흐름은 할로겐 및 게르마늄-함유 전-세정 재료의 승화에 의해 생성된 부산물들에 대해 노출된 실리콘의 상당한 노출 이전에 개시될 수 있으며, 따라서, 실리콘 위에서의 원하는 보호 배리어의 형성이 실리콘의 바람직하지 않은 오염 및/또는 재-산화를 회피하거나 또는 감소시키도록 허용한다. 예를 들면, 반응 챔버로의 염소-함유 가스의 공급은 할로겐 및 게르마늄-함유 전-세정 재료의 부분이 승화하기 시작하기 직전에(예로서, 가열된 기판이 (NH4)2GeF6을 포함한 전-세정 재료의 부분의 승화 온도에 도달하기 전에) 개시될 수 있다.
몇몇 다른 실시예들에서, 반응 챔버로의 패시베이션 막 반응 가스의 공급은 기판이 (NH4)2GeF6을 포함한 전-세정 재료의 부분의 승화 온도에 도달함에 따라, 또는 기판이 그것에 도달한 직후 개시될 수 있다. 예를 들면, 반응 챔버로의 염소-함유 가스의 흐름은 기판이 약 380℃를 포함하여, 약 350℃ 내지 약 400℃의 온도에 도달하기 직전에 또는 실질적으로 직전에, 그 동안에, 또는 그것 바로 다음에 시작될 수 있다. 몇몇 실시예들에서, 반응 챔버로의 염소-함유 가스의 공급은 기판이 약 380℃의 온도에 도달하기 직전에 시작될 수 있다. 이러한 시간에서 염소-함유 가스의 흐름을 개시하는 것은 노출된 실리콘 위에 원하는 패시베이션 막의 형성을 용이하게 하면서 염소-함유 가스로의 기판의 바람직하지 않은 노출을 감소시킬 수 있다.
몇몇 실시예들에서, 패시베이션 막 반응 가스의 흐름은 할로겐 및 게르마늄-함유 전-세정 재료가 기판 표면으로부터 제거될 때까지 계속될 수 있다. 예를 들면, 반응 챔버로의 염소-함유 가스의 흐름은 적어도 기판이 할로겐 및 게르마늄-함유 전-세정 재료의 승화 온도로 가열될 때까지 계속될 수 있다.
염소-함유 가스와 같은, 패시베이션 막 반응 가스가 몇몇 온도들에서 기판을 에칭할 수 있다는 것이 이해될 것이다. 예를 들면, 염소-함유 가스의 흐름은 기판이, 염소-함유 가스가 기판 표면상에서 하나 이상의 재료들을 에칭할 수 있는 온도에 도달하기 전에 정지될 수 있으며 및/또는 기판 온도는 에칭이 발생하는 온도 아래로 유지될 수 있다. 몇몇 실시예들에서, 염소-함유 가스의 흐름은 기판이 약 500℃, 또는 약 475℃를 포함하여, 약 600℃의 온도에 도달하기 전에 정지될 수 있으며, 따라서 패시베이션 막은 기판을 에칭하지 않고 또는 실질적으로 그렇게 하지 않고 기판상에 형성될 수 있다. 예를 들면, 염소-함유 가스의 흐름은 기판이 약 450℃의 온도에 도달하기 전에 정지될 수 있다. 염소-함유 가스의 흐름이 기판을 에칭하는 것을 회피하거나 또는 실질적으로 회피하기 위해 정지되는 기판 온도는 염소-함유 가스의 조성에 기초하여 선택될 수 있다. 몇몇 실시예들에서, 염소 가스(Cl2)를 포함한 염소-함유 가스의 흐름은 기판이 약 500℃의 온도에 도달하기 전에 정지될 수 있다. 몇몇 다른 실시예들에서, 디클로로실란(DCS) 및/또는 염화 수소(HCl)를 포함한 염소-함유 가스의 흐름은 기판이 약 600℃의 온도에 도달하기 전에 정지될 수 있다.
몇몇 실시예들에서, 기판은 기판이 전-세정 재료를 승화시키기 위해 가열되는 전체 또는 실질적으로 전체 기간 동안 패시베이션 막 반응 가스에 노출된다. 예를 들면, 전-세정 재료를 승화시키기 위해 일단 가열이 시작되거나 또는 증가되면 염소-함유 가스는 반응 챔버로 공급될 수 있으며, 전-세정 재료의 모두 또는 실질적으로 모두가 기판 표면으로부터 승화된 후까지 흐르게 되고, 상기 기판 온도는 바람직하게는 약 500℃ 또는 약 600℃ 미만인 채로 있다.
다시 도 1을 참조하면, 전-세정 재료의 나머지 부분은 예를 들면, 원하는 패시베이션 막(예로서, 염소-함유 패시베이션 막)이 기판 표면상에 형성된 후, 기판 표면으로부터 승화될 수 있다(예로서, 도 1의 블록(110)). 여기에서 논의된 바와 같이, 전-세정 재료의 나머지 부분은 (NH4)2GeF6을 포함할 수 있다. 몇몇 실시예들에서, 기판의 온도는 할로겐 및 게르마늄-함유 전-세정 재료를 포함한 전-세정 재료의 나머지 부분을 승화시키기 위해, 블록(106) 동안 그것의 온도로부터 약 380℃를 포함하여, 약 350℃ 내지 약 400℃의 온도로 증가될 수 있다.
몇몇 실시예들에서, 기판은 (NH4)2GeF6을 포함한 전-세정 재료의 부분의 승화 온도보다 높은 온도로 가열될 수 있어서, 전-세정 재료 및 패시베이션 막 양쪽 모두가 전-세정 기판 표면을 제공하기 위해 기판 표면으로부터 제거되거나 또는 실질적으로 제거될 수 있도록 한다. 예를 들면, 패시베이션 막은 전-세정 재료의 나머지 부분의 승화 동안 제거된다. 여기에서 설명된 바와 같이, 패시베이션 막은 염소-함유 패시베이션 막을 포함할 수 있다. 몇몇 실시예들에서, 기판은 염소-함유 패시베이션 막이 기판 표면상에서 하나 이상의 재료들, 예를 들면, 실리콘의 에천트가 될 수 있는 온도로 가열될 수 있다. 몇몇 실시예들에서, 기판은 약 425℃ 내지 약 475℃를 포함하여, 약 400℃ 내지 약 500℃를 포함한, 약 500℃ 미만, 또는 약 600℃ 미만의 온도로 가열될 수 있다.
상기 재료의 제거를 야기하기 위해 전-세정 재료에 에너지를 제공하기 위한 및/또는 상기 막의 제거를 야기하기 위해 패시베이션 막에 에너지를 제공하기 위한 다른 접근법들이 또한 고려된다. 몇몇 실시예들에서, 전-세정 재료 및/또는 패시베이션 막은 원격 플라즈마 소스에 의해 활성화된 가스 종들에 노출될 수 있다. 몇몇 실시예들에서, 원격 플라즈마 소스에 의해 활성화된 종들은 예를 들면, 기판 표면으로부터 제거될 수 있는 증발성 종들을 형성하기 위해 패시베이션 막 및/또는 전-세정 재료의 하나 이상의 성분들과 상호 작용할 수 있다. 몇몇 실시예들에서, 가스 종들은 불활성 가스 종들(예로서, Ar, N2, He 등)일 수 있다.
몇몇 실시예들에서, 전-세정 재료 및/또는 패시베이션 막은 전-세정 재료 및/또는 패시베이션 막의 제거를 용이하게 하기 위해 가열된 가스(예로서, 가열된 불활성 가스)에 노출될 수 있다. 가스는 전-세정 재료 및/또는 패시베이션 막의 적어도 부분이 기체 상(vapor phase)에 진입하게 하는 온도로 전-세정 재료 및/또는 패시베이션 막을 가열하기에 충분한 온도로 가열될 수 있다. 예를 들면, 온도는 약 80℃, 약 100℃, 약 120℃, 약 150℃, 약 350℃, 380℃, 400℃ 또는 약 450℃ 이상일 수 있다.
몇몇 실시예들에서, 전-세정 재료는 전-세정 재료의 제거를 용이하게 하기 위해 자외선(UV) 및/또는 적외선(IR) 복사에 노출될 수 있다. 몇몇 실시예들에서, 패시베이션 막은 막의 제거를 용이하게 하기 위해 자외선(UV) 및/또는 적외선(IR) 복사에 노출될 수 있다. 예를 들면, UV 및/또는 IR 복사는 전-세정 재료 및/또는 패시베이션 막의 적어도 부분이 기체 상에 들어가게 하는 에너지를 제공할 수 있다.
몇몇 실시예들에서, 원격 플라즈마 소스, 가열된 반응 가스, 및/또는 자외선 복사에 의해 활성화된 가스 종들에 전-세정 재료를 노출시키는 것은 반응 챔버의 수분 함량을 감소시킬 수 있다. 유리하게는, 감소된 수분 함량은, 전-세정 프로세스가 H2O를 생성할 수 있으므로, 실리콘 및/또는 게르마늄 산화물의 제거를 촉진시킬 수 있다.
몇몇 실시예들에서, 전-세정 재료의 제거는 제 1 반응 챔버(예로서, 전-세정 재료가 형성되는 반응 챔버)로부터 제 2 상이한 반응 챔버(예로서, 기판상에서의 목표 재료의 형성과 같은, 후속 프로세싱이 수행되는 반응 챔버)로의 기판의 이송 동안 수행될 수 있다. 예를 들면, 전-세정 재료는 제 1 반응 챔버로부터 제 2 반응 챔버로 이송되는 동안 자외선 복사 및/또는 적외선 복사에 노출될 수 있다.
몇몇 실시예들에서, 여기에서 설명된 하나 이상의 프로세스들은 게르마늄 기판에 적용 가능할 수 있다. 예를 들면, 게르마늄을 포함한 기판 표면상에서의 게르마늄-함유 산화물 재료는 여기에 설명된 하나 이상의 프로세스들을 사용하여 제거될 수 있다. 할로겐 및 게르마늄-함유 전-세정 재료는 게르마늄 기판이 약 15℃ 내지 약 30℃의 온도에서 유지되는 동안 할로겐-함유 반응 가스에 게르마늄-함유 산화물 재료를 노출시킴으로써 게르마늄-함유 산화물 재료로부터 기판상에 형성될 수 있다. 할로겐 및 게르마늄-함유 전-세정 재료는 그 다음에 기판 표면으로부터 제거될 수 있으며, 그에 의해 약 350℃ 내지 약 400℃, 또는 약 380℃를 포함하여, 약 320℃ 내지 약 500℃, 약 350℃ 내지 약 500℃의 온도로 기판을 가열함으로써, 전-세정된 기판 표면을 제공하기 위해 게르마늄-함유 산화물 재료를 제거한다.
도 1에 도시된 바와 같이, 기판 표면 전-세정 프로세스(100)는 복수의 사이클들(112)을 포함할 수 있다. 몇몇 실시예들에서, 복수의 사이클들(112)을 포함한 전-세정 프로세스(100)는 바람직하게 낮은 수의 결함들을 유지하면서 표면 산화물 재료의 원하는 제거를 용이하게 할 수 있다. 동작의 임의의 특정한 이론 또는 모드에 의해 제한되지 않고, 특징부의 크기들이 계속해서 줄어듦에 따라, 기판의 표면상에서의 전-세정 재료의 형성은 특징부들의 클로깅(예로서, 트렌치 특징부들의 클로깅)에 기여할 수 있으며, 그에 의해 전-세정 재료의 후속 승화 및/또는 클로깅 특징부들 내로부터 산화물 재료의 제거를 방해한다. 특징부의 크기들이 계속해서 줄어들며 종횡비들이 증가함에 따라, 특징부들의 상부 표면들은 특징부들 내에서의(예로서, 트렌치 하부 부분들에서) 원하는 산화물 제거를 달성하기 위해 전-세정 프로세스들 동안 전-세정 화학 반응에 대한 과도 노출에 대해 경험할 수 있다. 전-세정 재료를 증착시키며 승화시키는 복수의 사이클들(예로서, 각각의 사이클은 보다 짧은 지속 기간을 가진다)을 포함한 전-세정 프로세스를 수행함으로써 산화물 재료를 제거하는 것은 기판 표면 특징부들의 클러깅을 감소시키고 및/또는 전-세정 화학 반응에 대한 특징부 상부 표면들의 과도 노출에 대해 감소시키면서(예로서, 단일의 보다 긴 사이클을 포함한 전-세정 프로세스에 비교하여) 산화물 재료의 원하는 제거를 용이하게 할 수 있다.
전-세정 프로세스(100)의 제 1 사이클(112)의 선택도가 전-세정 프로세스(100)의 후속 사이클(112)의 선택도(예로서, 실리콘 질화물 재료와 같은, 기판 표면상에서의 또 다른 재료에 대하여, 실리콘 및 게르마늄 양쪽 모두를 포함한 표면 산화물과 같은, 기판 표면 산화물의 제거의 선택도)와 상당히 상이할 수 있다는 것이 발견되었다. 몇몇 실시예들에서, 전-세정 프로세스(100)의 후속 사이클(112)은 유리하게는 전-세정 프로세스(100)의 제 1 사이클(112)에 비교하여 상당히 더 높은 선택도를 보여줄 수 있다. 몇몇 실시예들에서, 전-세정 프로세스(100)의 제 2 사이클(112)은 약 10:1 내지 약 150:1, 약 30:1 내지 약 150:1, 약 60:1 내지 약 150:1, 약 10:1 내지 약 100:1, 또는 약 60:1 내지 약 100:1의 선택도로 기판 표면상에서의 실리콘 질화물에 대해 기판 표면 산화물 재료의 선택적 제거를 보여줄 수 있다. 보다 많은 수의 사이클들(112)을 포함한 전-세정 프로세스(100)는 훨씬 더 높은 선택도 성능을 용이하게 할 수 있다.
전-세정 프로세스(100)의 복수의 사이클들(112) 중 하나 이상은 여기에 설명된 하나 이상의 프로세스들에 따라 수행될 수 있다. 예를 들면, 사이클(112)의 전-세정 재료 반응 가스(예로서, 반응 가스의 다양한 성분들의 농도, 반응 가스의 하나 이상의 성분들의 활성화 및/또는 예열), 패시베이션 막 반응 가스(예로서, 염소-함유 가스의 조성과 같은, 염소-함유 가스), 및/또는 하나 이상의 프로세스 파라미터들(예로서, 형성 동안 기판 온도 및/또는 전-세정 재료의 승화, 패시베이션 막 반응 가스로의 기판의 노출의 지속 기간)은 여기에 설명된 바와 같이 선택될 수 있다. 몇몇 실시예들에서, 사이클(112)의 전-세정 재료 반응 가스, 패시베이션 막 반응 가스, 및/또는 하나 이상의 프로세스 파라미터들은 전-세정 프로세스(100)에서 또 다른 사이클(112)의 것과 같거나 또는 상이할 수 있다. 예를 들면, 전-세정 프로세스(100)는 유사한 또는 동일한 프로세스 상태들을 가진 복수의 사이클들(112)을 포함할 수 있다.
몇몇 실시예들에서, 전-세정 프로세스는 약 2 내지 약 10개의 사이클들을 포함하여, 약 2 내지 약 20개의 사이클들을 포함할 수 있다. 몇몇 실시예들에서, 전-세정 프로세스는 약 2 내지 약 5개의 사이클들을 포함할 수 있다. 몇몇 다른 실시예들에서, 전-세정 프로세스는 단일 사이클을 포함한다.
몇몇 실시예들에서, 전-세정 프로세스의 사이클들의 수는 사이클당 산화물 재료 제거 레이트에 기초하여 선택될 수 있다. 몇몇 실시예들에서, 전-세정 프로세스의 사이클은 약 3 옹스트롬(Å) 내지 약 20Å의 산화물 재료를 제거할 수 있다. 몇몇 실시예들에서, 전-세정 프로세스의 사이클은 약 3Å 내지 약 15Å의 산화물 재료, 또는 약 3Å 내지 약 10Å의 산화물 재료를 제거할 수 있다.
몇몇 실시예들에서, 기판 표면 전-세정 프로세스(100)의 하나 이상의 사이클들(112), 또는 사이클의 부분(예로서, 도 1의 블록들(104, 106, 108, 및 110) 중 하나 이상)은 제 1 반응 챔버에서 수행될 수 있다. 몇몇 실시예들에서, 하나 이상의 다른 사이클들(112), 또는 사이클의 일 부분은 하나 이상의 상이한 반응 챔버들에서 수행될 수 있다. 예를 들면, 기판은 제 1 반응 챔버에서 전-세정 프로세스(100)의 하나 이상의 사이클들(112)을 수행한 후, 또는 제 1 반응 챔버에서 전-세정 프로세스(100)의 사이클(112)의 부분을 수행한 후 제 1 반응 챔버로부터 제 2 반응 챔버로 이송될 수 있어서, 전-세정 프로세스(100)의 최종 사이클(112)에서의 전-세정 재료의 최종 제거가 제 1 반응 챔버가 아닌 다른 반응 챔버에서 수행되도록 한다. 몇몇 실시예들에서, 전-세정 프로세스(100)의 모든 사이클들(112)은 동일한 반응 챔버에서 수행될 수 있다.
몇몇 실시예들에서, 전-세정 기판 표면상에서 목표 재료(예로서, 단-결정 실리콘을 포함한 에피택셜 층)의 형성은 최종 전-세정 재료의 제거가 수행되는 동일한 반응 챔버에서 수행될 수 있다. 몇몇 실시예들에서, 전-세정 재료는 전-세정 재료가 제거되며 목표 재료가 증착되는 제 1 반응 챔버에서 제 2 반응 챔버로의 기판의 이송에 앞서, 제 1 반응 챔버에서 기판상에서 증착될 수 있다. 예를 들면, 전-세정 재료의 제 1 부분의 승화, 패시베이션 막의 형성 및 전-세정 재료의 제 2 부분 및 패시베이션 막의 제거가 제 2 반응 챔버에서 수행될 수 있다. 몇몇 실시예들에서, 제 2 반응 챔버로의 염소-함유 가스의 흐름이 염소-함유 패시베이션 막을 형성하기 위해 개시되는 온도는 여기에서 설명된 바와 같이 선택될 수 있다. 예를 들면, 염소-함유 가스의 흐름은 일단 기판이 제 2 반응 챔버에 위치된다면, 할로겐 및 실리콘-함유 전-세정 재료의 승화 온도에서 또는 그 주위에서의 온도로 제 2 반응 챔버에서 기판을 가열한 후, 또는 할로겐 및 실리콘-함유 전-세정 재료의 승화 온도 및 할로겐 및 게르마늄-함유 전-세정 재료의 승화 온도 사이에서의 온도로 제 2 반응 챔버에서 기판을 가열한 후 시작될 수 있다. 몇몇 실시예들에서, 제 2 반응 챔버로의 염소-함유 가스의 흐름은 할로겐 및 게르마늄-함유 전-세정 재료의 원하는 승화가 완료될 때까지 계속될 수 있다.
도 2는 여기에 설명된 바와 같이 기판 표면 전-세정 프로세스의 적어도 일 부분을 수행하기 위해 사용될 수 있는 장치(500)의 실시예를 도시한다. 장치(500)는 기판 표면으로부터 산화물 재료(예로서, 실리콘 및 게르마늄 양쪽 모두를 포함한 산화물)의 제거를 용이하게 하기 위해 전-세정 재료의 형성 및/또는 제거를 포함하여, 전-세정 재료의 형성 및/또는 제거를 위해 구성될 수 있다. 장치(500)는 예를 들면, 이송 튜브(506)를 통해, 원격 플라즈마 유닛(504)과 유체 연통하는 반응 챔버(502)를 포함할 수 있다. 몇몇 실시예들에서, 이송 튜브(506)는 전-세정 재료 반응 가스(예로서, 암모니아 가스, 불소-함유 가스, 및/또는 캐리어 가스를 포함한 전-세정 재료 반응 가스) 및/또는 패시베이션 막 반응 가스(예로서, 염소-함유 가스)를 반응 챔버(502) 내로 전달하도록 구성될 수 있다. 예를 들면, 이송 튜브(506)는 이송 튜브(506)의 원위 부분에 위치된 반응 챔버 가스 유입구(508)를 통해 전-세정 재료 반응 가스 및/또는 패시베이션 막 반응 가스를 반응 챔버(502)로 도입할 수 있다. 몇몇 실시예들에서, 원격 플라즈마 유닛(504)은 전-세정 재료 반응 가스의 성분들이 플라즈마 유닛(504)에 의해 활성화될 수 있도록 원격 플라즈마 유닛(504)을 통한 전-세정 재료 반응 가스의 성분들의 흐름을 허용하도록 구성된 가스 유입구(510)를 포함할 수 있다. 몇몇 실시예들에서, 패시베이션 막 반응 가스는 이송 튜브(506)를 통하지 않고 반응 챔버(502)로 도입될 수 있다. 예를 들면, 패시베이션 막 반응 가스는 전-세정 재료 반응 가스가 반응 챔버(502)로 도입되는 것과 상이한 가스 유입구를 통해 반응 챔버(502)로 도입될 수 있다. 몇몇 실시예들에서, 패시베이션 막 반응 가스는 샤워헤드(518)에 대해 근접하여 위치된(예로서, 샤워헤드(518) 위) 유입구를 통해 반응 챔버(502)로 도입될 수 있다.
몇몇 실시예들에서, 이송 튜브(506)는 원격 플라즈마 유닛(504) 및 패시베이션 막 반응 가스에 의해 활성화되지 않은 전-세정 재료 반응 가스의 하나 이상의 성분들의 반응 챔버(502)로의 도입을 허용하도록 구성된 이송 튜브 가스 유입구(512)를 포함할 수 있다. 도 5에 도시된 바와 같이, 이송 튜브 가스 유입구(512)는 반응 챔버 가스 유입구(508)에 근접하여 위치될 수 있다. 몇몇 실시예들에서, 이송 튜브 가스 유입구(512)는 이송 튜브(506) 상에서의 또 다른 위치에 위치될 수 있다. 몇몇 실시예들에서, 이송 튜브(506)의 적어도 일 부분은 원하는 온도에서 유지될 수 있다. 예를 들면, 이송 튜브 가스 유입구(512) 및 반응 챔버 가스 유입구(508) 사이에서의 부분에 인접한 및/또는 그것을 둘러싸는 이송 튜브(506)의 부분을 포함하여, 이송 튜브(506)의 일 부분이 가열될 수 있다(예로서, 약 80℃ 내지 약 115℃의 온도로). 예를 들면, 이송 튜브 유입구(512) 및 반응 챔버 가스 유입구(508) 사이에서의 이송 튜브(506)의 적어도 일 부분은 원하는 온도로 가열될 수 있다. 몇몇 실시예들에서, 이송 튜브(506)의 전체 길이 또는 실질적으로 전체 길이는 원하는 온도에서 유지될 수 있다(예로서, 약 30℃ 내지 약 120℃의 온도로 가열될 수 있다). 이송 튜브(506)가 가열되는 온도는 다양한 인자들에 기초하여 선택될 수 있다. 몇몇 실시예들에서, 이송 튜브(506)의 적어도 일 부분은 히터 재킷(예로서, 히터 재킷을 갖고 이송 튜브(506)의 외부 표면의 적어도 일 부분을 커버하는) 및/또는 재료 코팅(예로서, 열분해 알루미나를 포함하여, 알루미나를 포함한 재료 코팅과 같은, 재료 코팅을 갖고 이송 튜브(506)의 외부 표면의 적어도 일 부분을 코팅하는)을 사용함으로써 원하는 온도에서 유지될 수 있다. 몇몇 실시예들에서, 이송 튜브(506)의 온도는 이송 튜브(506)를 따라 하나 이상의 위치들에 위치된 하나 이상의 열전대들을 사용하여 모니터링될 수 있다. 이송 튜브(506)의 가열된 부분을 따르는 온도는 균일하거나 또는 균일하지 않을 수 있다. 몇몇 실시예들에서, 이송 튜브(506)의 가열된 부분을 따르는 온도는 하나 또는 실질적으로 하나의 원하는 온도에서 유지될 수 있다. 몇몇 실시예들에서, 이송 튜브(506)의 하나의 가열된 부분의 온도는 이송 튜브(506)의 또 다른 가열된 부분의 것과 상당히 상이할 수 있다.
몇몇 실시예들에서, 반응 챔버(502)는 기판(516)(예로서, 웨이퍼)을 수용하기 위한 서셉터(susceptor)(514)를 포함할 수 있다. 몇몇 실시예들에서, 반응 챔버(502)는 반응 챔버(502)의 반응 가스 유입구의 다운스트림에 위치된 샤워헤드(518)(예로서, 가스 분배 판)를 포함할 수 있다. 샤워 헤드(518)는 반응 챔버(502)에 위치된 기판(516) 위에서 가스 종들의 분배시 개선된 균일성을 가능하게 하도록 구성될 수 있다. 기판(516)은 기판(516)이 하나 이상의 높은 위치들(예로서, 기판(516)이 서셉터(514)에 의해 수용되는 위치에 대하여)에 위치될 수 있도록 서셉터(514)로부터 상승되고 및/또는 그로부터 다시 하강될 수 있다. 예를 들면, 샤워헤드(512)는 기판(516)이 기판(516) 및 샤워헤드(518) 사이에서 원하는 분리 거리를 제공하기 위해 서셉터(514)로부터 상승될 수 있도록 서셉터(514) 위에 및 그 반대편에 위치될 수 있다.
몇몇 실시예들에서, 캐리어 가스(예로서, 아르곤), 및 염소-함유 가스(예로서, 삼불화 질소)는, 각각 캐리어 가스 및 염소-함유 가스 소스들로부터(도시되지 않음), 원격 프라즈마 유닛(504)에 의한 활성화를 위해, 원격 플라즈마 유닛 가스 유입구(510)를 통해 원격 플라즈마 유닛(504)으로 도입될 수 있다. 원격 플라즈마 유닛(504)에 의해 활성화된 캐리어 가스 및 염소-함유 가스는 원격 플라즈마 유닛(504)을 통해 그리고 이송 튜브(506)를 통해 반응 챔버(502)로 흐를 수 있다. 몇몇 실시예들에서, 예를 들면, 암모니아 소스(도시되지 않음)로부터의 암모니아와 같은 수소-함유 가스를 포함한, 원격 플라즈마 유닛(504)에 의해 활성화되지 않은 전-세정 재료 반응 가스의 하나 이상의 성분들은 이송 튜브 가스 유입구(512)를 통해 이송 튜브(506)를 따르는 위치에서 원격 플라즈마 유닛(504)의 다운스트림으로 도입될 수 있다. 몇몇 실시예들에서, 패시베이션 막 반응 가스는 이송 튜브 가스 유입구(512)를 통해 이송 튜브(506)를 따르는 위치에서 원격 플라즈마 유닛(504)의 다운스트림으로 도입될 수 있다. 이송 튜브 가스 유입구(512)에 근접한 이송 튜브의 부분들 및/또는 이송 튜브 가스 유입구(512) 자체는 활성화되지 않은 암모니아와 같은, 전-세정 재료 반응 가스의 활성화되지 않은 성분들 중 하나 이상이 원하는 온도에서 반응 챔버(502)로 전달될 수 있도록 가열될 수 있다.
몇몇 실시예들에서, 기판 표면은 동시에 또는 실질적으로 동시에 전-세정 재료 반응 가스의 활성화된 반응 종들 및 활성화되지 않은 반응 종들, 예를 들면, 반응 챔버 가스 유입구(508)를 통한 전달 이전과 같은, 반응 챔버로의 전달 이전에 활성화된 반응 종들 및 활성화되지 않은 반응 종들을 조합한 것에 노출될 수 있다. 몇몇 실시예들에서, 기판 표면은 활성화된 반응 종들 및/또는 활성화되지 않은 반응 종들에 순차적으로 노출될 수 있다. 예를 들면, 기판 표면은, 전-세정 재료 반응 가스의 하나 이상의 성분들의 또 다른 것의 제 2 활성화된 또는 활성화되지 않은 반응 종들(예로서, 원격 플라즈마 유닛에 의해 활성화되지 않은)에 대한 노출에 앞서, 먼저 전-세정 재료 반응 가스의 하나 이상의 성분들의 활성화된 반응 종들(예로서, 원격 플라즈마 유닛에 의해 활성화된)에 노출될 수 있다. 몇몇 실시예들에서, 기판 표면은 먼저 활성화된 반응 종들에 대한 노출에 앞서 활성화되지 않은 반응 종들에 노출될 수 있다. 노출의 시퀀스는 원하는 전-세정 프로세스 성능을 제공하기 위해 선택될 수 있다. 예를 들면, 기판 표면은 활성화되지 않은 수소-함유 가스(예로서, 암모니아) 및 염소-함유 가스의 조합에 대한 노출, 또는 먼저 활성화된 수소-함유 가스로의 및 그 후 활성화되지 않은 염소-함유 가스에 대한 노출에 앞서, 먼저 원격 플라즈마 유닛에 의해 활성화된 염소-함유 가스 및 캐리어 가스에 노출될 수 있다.
패시베이션 막 반응 가스 및/또는 전-세정 재료 반응 가스의 반응 종들은 샤워헤드(518)를 통해 패시베이션 막 반응 가스 및/또는 반응 종들을 흐르게 함으로서 서셉터(514) 상에 유지된 기판(516) 위에 분배될 수 있다. 몇몇 실시예들에서, 기판(516)은 전-세정 프로세스의 적어도 일 부분 동안 서셉터(514)로부터 원하는 위치로 상승될 수 있다. 몇몇 실시예들에서, 기판(516)은 전-세정 재료 형성 프로세스의 적어도 일 부분 및/또는 전-세정 재료 제거 프로세스의 적어도 일 부분 동안(예로서, 전-세정 재료를 승화시키기 위한 프로세스 동안) 높은 위치에 있을 수 있다. 몇몇 실시예들에서, 기판(516)은 서셉터(514) 상에서 정지된 채로 있거나 또는 실질적으로 정지된 채로 있다.
반응 챔버(502)는 다중-챔버 프로세싱 시스템의 일부이거나 또는 일부가 아닐 수 있으며, 따라서 반응 챔버(502)에 의해 프로세싱된 기판은 주변 공기에 노출되지 않거나 또는 실질적으로 노출되지 않고 제 2 반응 챔버로 이송될 수 있다. 예를 들면, 반응 챔버(502)는 클러스터 툴 시스템의 부분일 수 있다.
전-세정 프로세스의 예시적인 시퀀스는 제 1 반응 챔버(예로서, 도 2를 참조하여 설명된 반응 챔버(502))로 세정될 표면을 가진 기판을 제공하는 것을 포함할 수 있다. 기판은 제 1 반응 챔버 내에서 약 15℃ 내지 약 30℃의 온도에서 유지될 수 있다. 예를 들면, 기판은 약 18℃의 온도에서 유지될 수 있다. 제 1 퍼지 프로세스는 제 1 반응 챔버로 전-세정 재료 반응 가스의 흐름을 시작하기 전에 수행될 수 있다. 예를 들면, 활성화되지 않은 아르곤 가스는, 기판이 제 1 반응 챔버 내에서 제공된 후, 및 전-세정 재료 반응 가스의 흐름을 시작하기 전에, 제 1 반응 챔버로 흐르게 될 수 있다. 활성화되지 않은 아르곤 가스는 제 1 반응 챔버로 활성화되지 않은 아르곤 가스를 도입하기 전에 원격 플라즈마 유닛을 통해 흐르게 될 수 있다. 예를 들면, 활성화되지 않은 아르곤 가스는 어떤 플라즈마도 원격 플라즈마 유닛에서 점화되지 않는 동안 원격 플라즈마 유닛을 통해 흐르게 될 수 있다. 일단 제 1 반응 챔버의 원하는 퍼징이 완료되면, 활성화되지 않은 수소-함유 가스(예로서, 활성화되지 않은 암모니아(NH3))가 제 1 반응 챔버로 도입될 수 있다. 활성화되지 않은 수소-함유 가스는 제 1 반응 챔버로 도입되기 전에 예열되지 않을 수 있다(예로서, 제 1 반응 챔버로 도입된 활성화되지 않은 NH3는 예열될 수 없다). 그 다음에, 원격 플라즈마 유닛 내에서의 플라즈마는 상기 유닛을 통해 및 제 1 반응 챔버로 흐르는 아르곤 가스를 갖고 점화될 수 있다. 삼불화 질소(NF3)와 같은, 할로겐-함유 가스는 그 다음에 원격 플라즈마 유닛을 통해 반응 챔버로 할로겐-함유 가스를 흐르게 함으로써 원격 플라즈마 유닛에 의해 활성화될 수 있다. 기판 표면 전-세정 재료의 원하는 형성 후, 전-세정 재료 반응 가스의 흐름은 정지될 수 있으며 원격 플라즈마 유닛에서의 플라즈마는 턴 오프될 수 있다. 제 2 퍼지 프로세스는 전-세정 재료 반응 가스의 흐름을 정지시킨 후 수행될 수 있다. 예를 들면, 활성화되지 않은 아르곤 가스는 제 2 퍼지 프로세스에서 반응 챔버로 흐르게 될 수 있다. 활성화되지 않은 아르곤 가스는 제 1 반응 챔버로 도입되기 전에 제 2 퍼지 프로세스 동안 원격 플라즈마 유닛을 통해 흐르게 될 수 있다.
기판은 그 다음에 전-세정 재료가 제 2 반응 챔버 내에서 기판으로부터 제거될 수 있도록 제 2 반응 챔버로 이송될 수 있다. 예를 들면, 그것 상에 형성된 전-세정부를 가진 기판은 에피택셜 증착 챔버로 이송될 수 있다. 여기에서 설명된 바와 같이 전-세정 재료는 승화 프로세스를 통해 제거될 수 있다. 염소-함유 패시베이션 막은, 예를 들면, 전-세정 재료가 승화됨에 따라 노출된 기판의 부분들 위에서, 전-세정 재료의 승화 동안 기판상에 형성될 수 있다. 패시베이션 막을 형성하기 위한 제 2 반응 챔버로의 염소-함유 가스의 흐름은, 기판이 기판 온도의 증가 동안 염소-함유 패시베이션 막을 형성하기 위해 염소-함유 가스에 노출되도록 전-세정 재료를 승화시키기 위해 기판에 공급된 가열력을 개시하거나 또는 가열력을 증가시킬 때 개시될 수 있다. 기판의 가열은 에피택셜 증착 프로세스의 준비로 수행될 수 있다. 예를 들면, 염소-함유 가스에 대한 기판의 노출은, 후속 증착 프로세스의 준비로, 및 전-세정 재료를 승화시키는 동안, 약 350℃ 내지 약 600℃의 온도로 기판의 가열 동안 발생할 수 있다. 몇몇 실시예들에서, 기판은 약 400℃ 내지 약 500℃의 온도로 가열되는 동안 염소-함유 가스에 노출될 수 있다. 반응 챔버로의 염소-함유 가스의 흐름은 전-세정 재료의 원하는 승화가 완료될 때(예로서, 기판이 약 350℃ 내지 약 600℃의 온도에 도달한 후) 정지될 수 있다. 여기에 설명된 바와 같이, 염소-함유 패시베이션 막은 할로겐 및 게르마늄을 포함한 전-세정 재료의 부분을 제거하기 위해 승화 프로세스 동안 제거될 수 있으며, 따라서 전-세정 표면은 약 350℃ 내지 약 600℃의 온도로 기판을 가열한 후 제공된다. 몇몇 실시예들에서, 에피택셜 재료 층을 포함한 목표 재료는 제 2 반응 챔버에서 전-세정된 기판 표면상에 형성될 수 있다.
몇몇 실시예들에서, 이러한 시퀀스를 가진 전-세정 프로세스는 유리하게는 약 14:1의 선택도를 포함하여, 높은 선택도(예로서, 실리콘 질화물 재료와 같은, 기판 표면상에서의 또 다른 재료에 대한 기판 표면 산화물의 제거의 선택도)를 달성하는 것을 용이하게 할 수 있다. 몇몇 실시예들에서, 이러한 시퀀스를 가진 전-세정 프로세스는 유리하게는 여기에서 설명된 바와 같이 개선된 선택도를 달성하는 것을 용이하게 할 수 있다.
도 3은 상이한 기판들의 제곱 센티미터당 원자들(at/㎠)로 표현된, 계면 산소 함량을 비교하는 그래프(300)를 도시한다. 기판들은 전-세정 산화물 재료 프로세스를 겪은, 실리콘 및 게르마늄 산화물들을 포함하였다. 계면 산소의 존재는 기판 표면상에서 오염들의 존재를 표시하는 것으로 이해된다. 각각의 기판에 대한 계면 산소 함량은 기판이 각각의 산화물 제거 프로세스에 노출된 후 측정되었으며, 2차 이온 질량 분석(Secondary Ion Mass Spectroscopy; SIMS) 기술을 사용하여 측정되었다. 동일한 전-세정 프로세스(할로겐-함유 전-세정 재료의 증착, 할로겐 및 실리콘-함유 재료의 승화, 및 할로겐 및 게르마늄-함유 재료의 후속 승화를 포함한)는 모든 기판들에 적용되었으며, 차이는 패시베이션 막이 상이한 재료들의 승화들 사이에서 형성되었는지 여부이다. "베이스라인"으로 라벨링된 바는 염소-함유 패시베이션 막의 형성을 포함하지 않은 전-세정 프로세스를 겪은 기판들에 대응한다. "DCS/HCl" 및 "Cl2"로 라벨링된 바들은 유사한 전-세정 프로세스에 노출되었지만, 각각 라벨링된 염소-함유 가스들을 사용하여 염소-함유 패시베이션 막들의 형성을 포함한 기판들에 대응한다. 특히, 디클로로실란 및 염화 수소, 또는 염소 가스(Cl2)는 할로겐 및 게르마늄-함유 재료의 승화 전에 반응 챔버로 공급되었다.
도 3은 베이스라인 프로세스에 노출된 기판에 대한 계면 산소 함량이 염소-함유 패시베이션 막들의 형성을 포함한 전-세정 프로세스들에 노출된 기판들 중 하나에 대한 계면 산소 함량보다 상당히 더 높았다는 것을 보여준다. 부가적으로, DCS/HCl 및 Cl2를 포함한 전-세정 프로세스들에 노출된 기판들은 유사한 계면 산소 함량을 보여주었다. 예를 들면, 베이스라인 프로세스에 노출된 기판은 약 5×10^12 at/㎠의 계면 산소 함량을 보여주는 반면, DCS/HCl 및 Cl2를 사용하여 전-세정된 기판들은 약 0.25×10^12 at/㎠보다 작은 계면 산소 함량을 보여주었다.
도 4는 상기 논의된 바와 같이 프로세싱된 상이한 기판들의 옹스트롬(Å)으로 표현된, 표면 거칠기를 비교하는 그래프(400)를 도시한다. 각각의 기판에 대한 표면 거칠기는 기판이 각각의 산화물 제거 프로세스에 노출된 후 측정되었으며, 원자력 현미경(Atomic Force Microscopy; AFM) 기술을 사용하여 측정되었다. 상기 논의된 바와 같이, "베이스라인"으로 라벨링된 표면 거칠기에 대응하는 기판은 염소-함유 패시베이션 막의 형성을 포함하지 않은 전-세정 프로세스를 겪었다. "DCS/HCl" 및 "Cl2"로 라벨링된 표면 거칠기에 대응하는 기판들은 각각 라벨링된 염소-함유 가스들을 사용하여 염소-함유 패시베이션 막들의 형성을 포함한 전-세정 프로세스들에 노출되었다.
도 4는 염소 함유 패시베이션 막을 포함한 전-세정 프로세스에 노출된 기판들에 대한 표면 거칠기가 유리하게는 염소-함유 패시베이션 막을 포함하지 않은 산화물 제거 프로세스를 겪은 기판에 비교하여 표면 거칠기에서 상당한 감소를 보여주었음을 보여준다. 예를 들면, 베이스라인 프로세스를 사용하여 전-세정된 기판에 대한 표면 거칠기는 약 9 옹스트롬(Å)으로 측정된 반면, 염소-함유 패시베이션 막을 포함한 프로세스들을 사용하여 전-세정된 기판들에 대한 표면 거칠기는 약 2Å 이하였다.
본 개시는 특정한 실시예들 및 예들의 맥락에서 제공되었지만, 개시는 구체적으로 설명된 실시예들을 넘어 다른 대안적인 실시예들 및/또는 실시예들 및 그것의 명백한 수정들 및 등가물들의 사용들로 연장된다는 것이 이 기술분야의 숙련자들에 의해 이해될 것이다. 예를 들면, 실리콘 및 게르마늄 양쪽 모두를 포함한 기판들에 적용될 때 다양한 이점들을 제공하는 동안, 여기에 개시된 전-세정 프로세스는 또한 게르마늄 없이, 실리콘 기판들에, 또는 실리콘 없이, 게르마늄 기판에 적용될 수 있다는 것이 이해될 것이다. 예를 들면, 전-세정 프로세스는 각각 실리콘 또는 게르마늄 기판에 적용될 수 있으며, 여기에서 기판의 패시베이션은 각각 실리콘 산화물 또는 게르마늄 산화물의 제거 후 요구된다. 또한, 개시의 실시예들의 여러 변화들이 도시되고 상세히 설명되었지만, 본 개시의 범위 내에 있는 다른 수정들이 본 개시에 기초하여 이 기술분야의 숙련자들에게 쉽게 명백할 것이다. 실시예들의 특정 특징들 및 양상들의 다양한 조합들 또는 서브-조합들이 이루어질 수 있으며 여전히 본 개시의 범위 내에 있다는 것이 또한 고려된다. 개시된 실시예들의 다양한 특징들 및 양상들은 개시의 실시예들의 가변적인 모드들을 형성하기 위해 서로 조합되거나 또는 대체될 수 있다는 것이 이해되어야 한다. 따라서, 개시의 범위는 상기 설명된 특정한 실시예들에 의해 제한되지 않아야 한다는 것이 의도된다.
만약에 있다면, 여기에 제공된 표제들은 단지 편리함을 위한 것이며 여기에 개시된 디바이스들 및 방법들의 범위 또는 의미에 반드시 영향을 미치는 것은 아니다.

Claims (34)

  1. 집적 회로 제작을 위한 방법에 있어서,
    기판의 표면으로부터 산화물 재료를 제거하는 단계로서, 상기 표면은 실리콘 및 게르마늄을 포함하며, 상기 산화물 재료를 제거하는 단계는:
    상기 표면상에 할로겐-함유 전-세정 재료를 증착시키는 단계;
    상기 표면상에서 상기 실리콘을 노출시키기 위해 상기 할로겐-함유 전-세정 재료의 일 부분을 승화시키는 단계; 및
    상기 노출된 실리콘 상에 패시베이션 재료를 증착시키는 단계를 포함하는, 상기 산화물 재료를 제거하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  2. 청구항 1에 있어서,
    상기 할로겐-함유 전-세정 재료의 상기 부분을 승화시키는 단계는 상기 기판의 상기 표면을 80℃ 내지 150℃의 온도로 가열하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  3. 청구항 2에 있어서,
    상기 할로겐-함유 전-세정 재료를 증착시킨 후 상기 기판의 상기 표면의 온도를 증가시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  4. 청구항 3에 있어서,
    상기 패시베이션 재료는 염소를 포함하며, 상기 패시베이션 재료를 증착시키는 단계는 상기 증가시키는 단계 동안 상기 기판을 염소-함유 가스에 노출시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  5. 청구항 1에 있어서,
    상기 할로겐-함유 전-세정 재료를 증착시키는 단계 및 상기 부분을 승화시키는 단계는 상기 표면으로부터 실리콘 및 산소-함유 종들을 제거하는, 집적 회로 제작을 위한 방법.
  6. 청구항 5에 있어서,
    상기 패시베이션 재료를 증착시키는 단계 후, 상기 표면으로부터 게르마늄 및 산소-함유 종들을 제거하기 위해 상기 할로겐-함유 전-세정 재료의 나머지 부분을 승화시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  7. 청구항 6에 있어서,
    상기 할로겐-함유 전-세정 재료의 상기 부분을 승화시키는 단계는 상기 기판의 상기 표면을 80℃ 내지 150℃의 온도로 가열하는 단계를 포함하며; 및
    상기 할로겐-함유 전-세정 재료의 상기 나머지 부분을 승화시키는 단계는 상기 기판의 상기 표면을 320℃ 내지 500℃의 온도로 가열하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  8. 청구항 1에 있어서,
    상기 패시베이션 재료를 증착시키는 단계는 상기 기판을 염소 가스, 디클로로실란, 및 염화 수소 중 적어도 하나에 노출시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  9. 청구항 8에 있어서,
    상기 할로겐-함유 전-세정 재료의 상기 부분을 승화시키는 단계 동안 상기 기판을 상기 염소 가스, 디클로로실란, 및 염화 수소 중 적어도 하나에 노출시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  10. 청구항 1에 있어서,
    상기 패시베이션 재료를 승화시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  11. 청구항 10에 있어서,
    상기 할로겐-함유 전-세정 재료의 상기 나머지 부분을 승화시키는 단계는 상기 패시베이션 재료를 승화시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  12. 청구항 10에 있어서,
    상기 패시베이션 재료를 승화시키는 단계는 1 mTorr 및 50 Torr 사이에서의 압력에서 수행되는, 집적 회로 제작을 위한 방법.
  13. 청구항 1에 있어서,
    상기 할로겐-함유 전-세정 재료를 증착시키는 단계는 상기 원격 플라즈마 유닛에 의해 활성화된 할로겐-함유 가스에 상기 기판을 노출시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  14. 청구항 13에 있어서,
    상기 할로겐-함유 가스는 삼불화 질소, 불화 수소, 및 이원자 불소 중 적어도 하나를 포함하는, 집적 회로 제작을 위한 방법.
  15. 청구항 13에 있어서,
    상기 할로겐-함유 전-세정 재료를 증착시키는 단계는 상기 기판을 수소-함유 가스에 노출시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  16. 청구항 15에 있어서,
    상기 수소-함유 가스는 암모니아를 포함하는, 집적 회로 제작을 위한 방법.
  17. 청구항 15에 있어서,
    상기 기판을 상기 수소-함유 가스에 노출시키는 단계는:
    상기 기판을 상기 수소-함유 가스에 노출시키는 단계 이전에 이송 튜브를 통해 상기 수소-함유 가스를 흐르게 하는 단계; 및
    상기 이송 튜브의 적어도 일 부분을 약 30℃ 내지 약 120℃의 온도로 가열하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  18. 청구항 1에 있어서,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하며, 상기 산화물을 제거하는 단계는 7:1 내지 20:1의 선택도(selectivity)로 상기 실리콘 질화물에 대해 상기 산화물 재료를 선택적으로 제거하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  19. 청구항 1에 있어서,
    상기 기판의 상기 표면으로부터 제 2 산화물 재료를 제거하는 단계를 더 포함하며, 상기 제 2 산화물 재료를 제거하는 단계는:
    상기 기판의 상기 표면상에 제 2 할로겐-함유 전-세정 재료를 증착시키는 단계;
    상기 제 2 할로겐-함유 전-세정 재료의 일 부분을 승화시키는 단계; 및
    제 2 패시베이션 재료를 증착시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  20. 청구항 19에 있어서,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하며, 상기 제 2 산화물을 제거하는 단계는 20:1 내지 100:1의 선택도로 상기 실리콘 질화물에 대해 상기 제 2 산화물 재료를 선택적으로 제거하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  21. 청구항 1에 있어서,
    상기 산화물 재료를 제거하는 단계 다음에 상기 기판의 상기 표면상에 에피택셜 층을 증착시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  22. 집적 회로 제작을 위한 방법에 있어서,
    기판의 표면으로부터 산화물 재료를 제거하는 단계로서, 상기 기판은 실리콘 및 게르마늄을 포함하며, 상기 산화물 재료를 제거하는 단계는:
    상기 기판의 상기 표면상에 염소-함유 패시베이션 재료를 증착시키는 단계; 및
    실질적으로 상기 기판을 에칭하지 않고 상기 염소-함유 패시베이션 재료를 제거하는 단계를 포함하는, 상기 산화물 재료를 제거하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  23. 청구항 22에 있어서,
    제거하는 단계는 상기 염소-함유 패시베이션 재료를 600℃ 미만의 온도로 가열하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  24. 청구항 23에 있어서,
    상기 온도는 380℃보다 높은, 집적 회로 제작을 위한 방법.
  25. 청구항 22에 있어서,
    상기 염소-함유 패시베이션 재료를 증착시키는 단계는 상기 기판을 염소 가스, 디클로로실란, 및 염화 수소 중 적어도 하나를 포함한 염소-함유 가스에 노출시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  26. 청구항 22에 있어서,
    상기 염소-함유 패시베이션 재료를 증착시키는 단계 이전에 상기 기판의 상기 표면상에 할로겐-함유 전-세정 재료를 증착시키는 단계 및 상기 할로겐-함유 전-세정 재료의 일 부분을 승화시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  27. 청구항 26에 있어서,
    상기 할로겐-함유 전-세정 재료를 증착시키는 단계는 상기 기판을 할로겐-함유 가스 및 수소-함유 가스에 노출시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  28. 청구항 26에 있어서,
    상기 할로겐-함유 전-세정 재료의 상기 부분을 승화시키는 단계는 상기 기판의 상기 표면을 80℃ 내지 150℃의 온도로 가열하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  29. 청구항 28에 있어서,
    상기 할로겐-함유 전-세정 재료의 나머지 부분을 승화시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  30. 청구항 29에 있어서,
    상기 할로겐-함유 전-세정 재료의 상기 나머지 부분을 승화시키는 단계는 상기 기판의 상기 표면을 380℃ 내지 600℃의 온도로 가열하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  31. 청구항 29에 있어서,
    상기 나머지 부분을 승화시키는 단계는 상기 염소-함유 패시베이션 막을 제거하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  32. 집적 회로 제작을 위한 방법에 있어서,
    기판의 표면으로부터 게르마늄-함유 산화물 재료를 제거하는 단계로서, 상기 기판은 게르마늄을 포함하며, 상기 게르마늄-함유 산화물 재료를 제거하는 단계는:
    상기 게르마늄-함유 산화물 재료로부터 할로겐 및 게르마늄-함유 전-세정 재료를 형성하는 단계; 및
    상기 할로겐 및 게르마늄-함유 전-세정 재료를 승화시키는 단계를 포함하는, 상기 게르마늄-함유 산화물 재료를 제거하는 단계를 포함하는, 집적 회로 제작을 위한 방법.
  33. 청구항 32에 있어서,
    상기 할로겐 및 게르마늄-함유 전-세정 재료를 승화시키는 단계 이전에 염소-함유 패시베이션 재료를 증착시키는 단계를 더 포함하는, 집적 회로 제작을 위한 방법.
  34. 청구항 33에 있어서,
    상기 표면은 실리콘을 더 포함하며, 상기 염소-함유 패시베이션 재료를 증착시키는 단계는 상기 표면상의 노출된 실리콘 상에 상기 염소-함유 패시베이션 재료를 증착시키는 단계를 포함하는, 집적 회로 제작을 위한 방법.
KR1020150188479A 2014-12-30 2015-12-29 게르마늄 산화물 전-세정 모듈 및 프로세스 KR102358262B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/586,438 US9474163B2 (en) 2014-12-30 2014-12-30 Germanium oxide pre-clean module and process
US14/586,438 2014-12-30

Publications (2)

Publication Number Publication Date
KR20160082476A true KR20160082476A (ko) 2016-07-08
KR102358262B1 KR102358262B1 (ko) 2022-02-04

Family

ID=56166064

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150188479A KR102358262B1 (ko) 2014-12-30 2015-12-29 게르마늄 산화물 전-세정 모듈 및 프로세스

Country Status (4)

Country Link
US (1) US9474163B2 (ko)
KR (1) KR102358262B1 (ko)
CN (1) CN105742157B (ko)
TW (1) TWI669996B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019059620A1 (ko) * 2017-09-19 2019-03-28 무진전자 주식회사 기판 처리 방법 및 장치

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020004041A1 (ja) * 2018-06-29 2020-01-02 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7312008B2 (ja) * 2018-06-29 2023-07-20 株式会社Screenホールディングス 基板処理方法および基板処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
FR3090013B1 (fr) 2018-12-17 2020-12-25 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de fabrication d’une structure monocristalline
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11056347B2 (en) * 2019-05-28 2021-07-06 Tokyo Electron Limited Method for dry etching compound materials
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11328959B2 (en) * 2020-07-22 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and related methods
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20220041358A (ko) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115881621A (zh) * 2023-01-10 2023-03-31 广州粤芯半导体技术有限公司 浅沟槽隔离结构及其制备方法、半导体结构和芯片

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459071A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
KR20130135404A (ko) * 2007-07-26 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 기판 표면을 세정하기 위한 방법 및 장치
US20140252565A1 (en) * 2013-03-08 2014-09-11 Intermolecular, Inc. Nucleation Interface for High-K Layer on Germanium
US20140273493A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Hydrogen Plasma Cleaning of Germanium Oxide Surfaces

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
FR2675309A1 (fr) 1991-03-22 1992-10-16 Siemens Ag Procede pour eliminer localement des couches isolantes transparentes aux ultraviolets, situees sur un substrat semiconducteur.
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW406861U (en) 1994-07-28 2000-09-21 Semiconductor Energy Lab Laser processing system
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6077353A (en) 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
JP2005158761A (ja) * 2003-11-20 2005-06-16 Ulvac Japan Ltd 薄膜製造方法、半導体装置の製造方法、及び半導体装置
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7132372B2 (en) * 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN100422394C (zh) * 2006-03-20 2008-10-01 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008088529A (ja) 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8551880B2 (en) 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009194216A (ja) 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
TW201210028A (en) * 2010-05-28 2012-03-01 Corning Inc Light scattering inorganic substrates by soot deposition
CN102427027A (zh) * 2011-07-22 2012-04-25 上海华力微电子有限公司 一种改善半导体自动对准镍硅化物热稳定性的工艺方法
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8632691B2 (en) * 2012-05-18 2014-01-21 Peking University Interface treatment method for germanium-based device
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140011339A1 (en) * 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103943494A (zh) * 2014-03-24 2014-07-23 上海华力微电子有限公司 选择性外延生长工艺的前处理方法及半导体器件制造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130135404A (ko) * 2007-07-26 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 기판 표면을 세정하기 위한 방법 및 장치
CN101459071A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
US20140252565A1 (en) * 2013-03-08 2014-09-11 Intermolecular, Inc. Nucleation Interface for High-K Layer on Germanium
US20140273493A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Hydrogen Plasma Cleaning of Germanium Oxide Surfaces

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019059620A1 (ko) * 2017-09-19 2019-03-28 무진전자 주식회사 기판 처리 방법 및 장치

Also Published As

Publication number Publication date
CN105742157B (zh) 2019-08-27
TW201635874A (zh) 2016-10-01
TWI669996B (zh) 2019-08-21
KR102358262B1 (ko) 2022-02-04
US20160192502A1 (en) 2016-06-30
US9474163B2 (en) 2016-10-18
CN105742157A (zh) 2016-07-06

Similar Documents

Publication Publication Date Title
KR102358262B1 (ko) 게르마늄 산화물 전-세정 모듈 및 프로세스
US9514927B2 (en) Plasma pre-clean module and process
US9653318B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US9202745B2 (en) Directional SiO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI588887B (zh) 磊晶鍺錫合金表面處理的方法
US11264255B2 (en) Pre-clean chamber and process with substrate tray for changing substrate temperature
US9177780B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
CN111095481A (zh) 使用保形掺杂物膜沉积在3d结构中的保形卤素掺杂
TW202220052A (zh) 基材處理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant