TWI641046B - 積體電路的製造方法 - Google Patents

積體電路的製造方法 Download PDF

Info

Publication number
TWI641046B
TWI641046B TW104108394A TW104108394A TWI641046B TW I641046 B TWI641046 B TW I641046B TW 104108394 A TW104108394 A TW 104108394A TW 104108394 A TW104108394 A TW 104108394A TW I641046 B TWI641046 B TW I641046B
Authority
TW
Taiwan
Prior art keywords
halogen
reaction chamber
substrate
integrated circuit
containing gas
Prior art date
Application number
TW104108394A
Other languages
English (en)
Other versions
TW201537638A (zh
Inventor
約翰 塔勒
馬修G 古德曼
羅伯特 麥克 凡
艾瑞克R 希爾
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201537638A publication Critical patent/TW201537638A/zh
Application granted granted Critical
Publication of TWI641046B publication Critical patent/TWI641046B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0014Cleaning by methods not provided for in a single other subclass or a single group in this subclass by incorporation in a layer which is removed with the contaminants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0071Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by heating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/025Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

積體電路的製造方法可以包含以預清潔製程移除氧化矽。預清潔製程可以包含於第一反應室中在基底的表面上沉積含鹵素材料,並將具有含鹵素材料的基底傳送到第二反應室。氧化矽材料在第二反應室中可以藉由昇華含鹵素材料而從基底的表面移除。目標材料(如傳導性材料)可在第二反應室中隨後沉積到基底表面上。

Description

積體電路的製造方法
本發明是關於積體電路製造,特別是關於基底表面預清潔的方法和裝置。
積體電路(integrated circuit)的製造通常涉及一或多個材料層於基底(substrate)表面的形成。這些材料層可包含例如單晶(mono-crystalline)、多晶(polycrystalline)及/或非晶(amorphous)材料層。這些材料層的形成可使用各種薄膜沉積技術來達成,包括各種物理性(如物理濺鍍(physical sputtering))及/或化學性(如化學氣相沉積(chemical vapor deposition)、原子層沉積法(atomic layer deposition)及/或磊晶沉積(epitaxial deposition))的沉積技術。舉例來說,可以使用磊晶沉積製程於基底表面上形成單晶材料,如形成單晶半導體材料(如單晶矽(mono-crystalline silicon))。
基底表面上出現的干涉材料(如原生氧化層(native oxide layer),如矽基底上的氧化矽材料層)會干擾預期材料層於基底表面 上之形成。舉例來說,干涉材料可能引起預期材料層結構中缺陷(defect)數量的增加及/或不利地影響預期材料層的電性表現。在一些實施例中,於積體電路製程期間,因為基底暴露到氧氣中(如在製造系統間的基底傳送時暴露到大氣中及/或製造系統殘留的氧化劑),因此干涉材料(如原生氧化材料)可能形成於基底表面上。
根據以上,製程中對於基底表面上高品質層的形成有持續性的需求。
一種積體電路製造方法,可包含從基底表面移除氧化矽材料。在一些實施例中,移除氧化矽材料可以包含於第一反應室中在基底表面上沉積含鹵素材料,以及傳送具有含鹵素材料的基底到第二反應室。含鹵素材料可以在第二反應室昇華。隨後,在第二反應室中將傳導性材料沉積於基底表面上。
在一些實施例中,昇華含鹵素材料可以包含加熱基底表面到大約80℃或更高的溫度。在一些實施例中,昇華含鹵素材料可以包含加熱基底表面到大約80℃或大約100℃的溫度。
在一些實施例中,基底表面更進一步包含氮化矽,而且移除氧化矽材料可以包含相對於氮化矽以大約7:1到大約60:1的選擇比來選擇性移除氧化矽材料。
在一些實施例中,沉積含鹵素材料可以包含導入被遠端電漿單元(remote plasma unit)活化的載體氣體(carrier gas)和含鹵 素氣體到第一反應室。載體氣體可包含惰性氣體,包括如氬(Ar)。
在一些實施例中,上述方法可以包含藉由使含鹵素氣體流過遠端電漿單元而活化含鹵素氣體。
在一些實施例中,沉積含鹵素材料可以進一步包含隨後將未被電漿單元活化的含鹵素氣體和未被電漿單元活化的含氫氣體導入到第一反應室。在一些實施例中,上述方法可以包含在導入未活化含鹵素氣體和未活化含氫氣體到第一反應室前從第一反應室移除活化含鹵素氣體。
在一些實施例中,沉積含鹵素材料可以包含隨後導入未被電漿單元活化的含鹵素氣體且接著導入未被電漿單元活化的含氫氣體到第一反應室。在一些實施例中,上述方法可以包括在導入未活化的含鹵素氣體至第一反應室前從第一反應室移除活化的含鹵素氣體,和在導入未活化含氫氣體前從第一反應室移除未活化的含鹵素氣體。
在一些實施例中,含鹵素氣體可以包括含氟氣體。含氟氣體可包括三氟化氮(nitrogen trifluoride)。在一些實施例中,含鹵素氣體可以包含氟化氫(hydrogen fluoride)和雙原子氟(diatomic fluorine)中的至少一者。
在一些實施例中,沉積含鹵素材料可以包括導入含氫氣體到第一反應室。在一些實施例中,上述方法可以包含藉由使含氫氣體和含鹵素氣體中的至少一者流過遠端電漿單元來活化含氫氣體和含鹵素氣體中的至少一者。含氫氣體可包含氨(ammonia)。
在一些實施例中,導入含氫氣體到第一反應室可以包含使含氫氣體流經遠端電漿單元和第一反應室間的傳送管,並且加熱至少一部分的傳送管到大約30℃到大約120℃的溫度。
在一些實施例中,含氫氣體和含鹵素氣體可以以大約3:1到大約10:1的莫耳比導入第一反應室。
在一些實施例中,含鹵素材料可包含六氟矽酸銨(ammonium hexafluorosilicate,(NH4)2SiF6)。
在一些實施例中,昇華含鹵素材料可包括暴露含鹵素材料到遠端電漿單元產生的電漿。昇華含鹵素材料可包括暴露含鹵素材料到加熱氣體,其中加熱氣體被加熱至高於約150℃的溫度。在一些實施例中,昇華含鹵素材料可包括暴露含鹵素材料到紫外光輻射中。
在一些實施例中,基底在沉積含鹵素材料的期間可以被維持在大約21℃到大約28℃的溫度。
在一些實施例中,第二反應室可以包括磊晶沉積室,且沉積傳導性材料可以包括進行矽的磊晶沉積。
在一些其他的實施例中,積體電路製造方法可以包括從基底表面移除氧化矽材料。移除氧化矽材料可以包含於第一反應室中沉積第一含鹵素材料在基底表面上,然後在第一反應室中昇華第一含鹵素材料。第二含鹵素材料於第一反應室中沉積在基底表面上並且隨後被昇華。
在一些實施例中,上述方法可以包含傳送具有第二含鹵 素材料的基底到第二反應室中。在一些實施例中,昇華第二含鹵素材料可以在第二反應室中進行。
在一些實施例中,上述方法可以包括於第二反應室中沉積傳導性材料在基底表面上。沉積傳導性材料可以包含進行矽的磊晶沉積。
在一些實施例中,基底表面進一步可以包含氮化矽,而且移除第一氧化矽材料包括相對於氮化矽以大約7:1到大約20:1的選擇比來選擇性移除第一氧化矽材料。
在一些實施例中,移除第二氧化矽材料可以包含相對於氮化矽以大約10:1到大約100:1的選擇比來選擇性移除第二氧化矽材料。在一些實施例中,移除第二氧化矽材料可以包含相對於氮化矽以大約40:1到大約100:1的選擇比來選擇性移除第二氧化矽材料。
在一些實施例中,沉積第一含鹵素材料和沉積第二含鹵素材料中的至少一者可以包含導入載體氣體到第一反應室。在一些實施例中,上述方法可以包含藉由使載體氣體流過遠端電漿單元來活化載體氣體。
在一些實施例中,沉積第一含鹵素材料和沉積第二含鹵素材料可以包括導入含鹵素氣體和含氫氣體到第一反應室。含鹵素氣體和含氫氣體中的至少一者可藉由使含鹵素氣體和含氫氣體中的至少一者流過遠端電漿單元來活化。
在一些實施例中,含鹵素氣體可以包含三氟化氮、氟化 氫和雙原子氟中的至少一者,其中含氫氣體可以包括氨,且其中載體氣體可以包含氬。
在一些實施例中,第一含鹵素材料和第二含鹵素材料中的至少一者可以包含六氟矽酸銨。在一些實施例中,第一含鹵素材料和第二含鹵素材料可以含有相同材料。
100、200、300、400‧‧‧製程
102、104、106、108、110、202、204、206、208、210、212、214、302、304、306、402、404、406、408、410、412、414‧‧‧方塊
500‧‧‧裝置
502‧‧‧反應室
504‧‧‧電漿單元
506‧‧‧傳送管
508、510、512‧‧‧進氣口
514‧‧‧接受器
516‧‧‧基底
518‧‧‧噴淋頭
本發明之各種特徵、態樣和優點參考特定實施例的圖式來描述,其欲說明特定實施例並且不對本發明做限定。
圖1展示用於預清潔基底表面和形成目標材料於基底表面上的製程範例。
圖2展示用於預清潔基底表面和形成目標材料於基底表面上的另一製程範例。
圖3展示預清潔基底表面的另一製程範例
圖4展示用於預清潔基底表面和形成目標材料於基底表面上的製程的額外範例。
圖5展示配置成進行基底表面預清潔製程及/或在基底表面形成目標材料的裝置的範例。
本文描述了與從基底的暴露表面移除氧化矽材料之預清潔製程相關的各種實施例。可知被預清潔後的表面可提供促成後 續高品質材料層的形成(如矽之磊晶生長)的表面。
在一些實施例中,積體電路製程可以包含預清潔基底表面而移除非預期材料。非預期材料在一些實施例中可包括氧化材料(例如矽基底上形成的原生氧化矽材料)。預清潔材料的沉積和之後的預清潔材料的揮發可利於自基底表面移除氧化材料。
不限於理論,人們相信為了形成預清潔材料,預清潔製程中流到反應室中的反應物物質可以與基底表面上的非預期材料產生化學性交互作用。在一些實施例中,預清潔材料可含有自反應物物質與基底表面氧化材料之間的化學反應和/或這些反應物物質自身之間的化學反應生成之一或多種成分。舉例來說,預清潔製程可使用含鹵素的反應物氣體,使得含鹵素反應物氣體與基底表面氧化矽化學性反應以形成含有鹵素和矽的預清潔材料。
在一些實施例中,預清潔材料可以在反應室中形成,所述反應室跟隨後將預清潔材料從基底表面移除所在的反應室不同。在一些實施例中,預清潔材料可形成於第一反應室中,隨後傳送到移除預清潔材料的第二反應室而得到預清潔後的基底表面。在一些實施例中,揮發預清潔材料可以完成上述的移除,如昇華上述材料。第二反應室可以是讓目標材料形成於預清潔後基底表面上的反應室,包含如傳導性材料的沉積。這些傳導性材料可以包括但不限於:含半導體材料(如含矽材料)、含金屬材料或是其組合。如本文中使用的,目標材料是一種直接沉積與預清潔後基底表面接觸的材料。除此之外,移除預清潔材料可以包括從基 底移除部分或全部的預清潔材料。在一些實施例中,上述已經移除氧化材料的基底可圖案化(如表面上有像凹槽(trench)的凹陷圖案)。在一些實施例中,所述基底可包括暴露的電子裝置(如電晶體結構)。
在一些實施例中,第二反應室可以是磊晶沉積室而目標材料可以是單晶矽。舉例來說,藉由第二反應室中的昇華,預清潔材料可以自基底表面移除而得到預清潔後的基底表面,之後在第二反應室中,矽的磊晶成長進行於預清潔後基底表面上,以在基底上形成單晶矽層。
在之後將沉積目標材料於基底上的相同反應室中,預清潔材料的移除可利於提供高品質表面做後續目標材料的沉積。舉例來說,預清潔材料可在基底表面上提供保護層,減少及/或防止或實質上防止當基底為了目標材料沉積傳送到反應室期間發生在基底表面上的進一步氧化。在一些實施例中,在隨後形成目標材料的相同反應室中,預清潔材料的移除可促進具有缺陷數減少及/或電性表現改善的目標材料的沉積。在一些實施例中,在隨後形成目標材料的相同反應室中,預清潔材料的移除可幫助基底於預清潔製程後的真空環境外傳送,及/或用互相耦接的非真空反應室(例如使用經由各種閘控閥(gating valve)而不互相耦接的反應室,如群組工具(cluster tool)中的反應室)
在一些實施例中,預清潔製程可以包括多個循環,其中每一循環可以包含形成預清潔材料和移除預清潔材料。包含超過 一個循環的預清潔製程可利於展現特別高的選擇比。舉例來說,與預清潔製程的第一個循環的選擇比表現相比,預清潔製程的第二個循環及/或之後的循環相對於自基底表面的另一種材料(如氮化矽)的移除可以表現顯著性地更高的自基底表面的氧化矽的選擇性移除。
參照圖1,所示為預清潔基底表面和之後形成目標材料在預清潔後基底表面上之製程100的範例。方塊102中,表面上有氧化矽材料的基底提供給第一反應室。方塊104中,預清潔材料(如含有鹵素的材料)可以在第一反應室中形成於基底表面上。在一些實施例中,含鹵素材料可以藉由將基底表面上的氧化矽暴露到預清潔製程的反應物氣體來形成。舉例來說,含鹵素材料可包含一或多個來自上述反應物氣體和氧化矽交互作用的產物。方塊106中,基底可接著傳送到第二反應室。方塊108中,可以在第二反應室中將預清潔材料移除來提供具有預清潔後表面的基底。舉例來說,預清潔材料(如含鹵素材料)可在第二反應室中昇華(例如藉由加熱基底到或高於一或多個預清潔材料成分的昇華溫度)。預清潔材料的昇華可促進氧化矽於基底表面上的移除。方塊110中,目標材料隨後可以在第二反應室中形成於預清潔後基底表面上。在一些實施例中,目標材料可以是磊晶層,如磊晶矽層。
在一些實施例中,基底表面可包含氮化矽材料(如用於在基底表面上形成各種電子裝置的氮化矽材料,包括電子裝置的間隙壁特徵(spacer feature))和將藉由預清潔製程移除的氧化矽材 料。在一些實施例中,基底表面上的氧化矽材料可以相對於基底表面上的氮化矽材料高於大約7:1的選擇比來選擇性地移除。在一些實施例中,用以移除氧化矽的預清潔製程相對於氮化矽的選擇比可以是大約6:1到大約150:1。舉例來說,選擇比可以是大約6:1到大約60:1,或是大約7:1到大約15:1,包含大約8:1到大約15:1或大約8:1到大約12:1。
在一些實施例中,基底可以在預清潔材料形成的期間(包含例如當基底表面暴露到預清潔製程的反應物氣體)維持預期溫度。在一些實施例中,基底可在預清潔材料形成的期間維持高於反應物氣體的冷凝溫度。舉例來說,在一些實施例中,基底可以維持在高於大約15℃的溫度,或高於大約20℃。在一些實施例中,基底可以維持在大約15℃到50℃的溫度,包含大約15℃到大約30℃,大約25℃到大約30℃。舉例來說,基底可以維持在大約22℃到28℃的溫度,其可以在基底表面上促進相對於氮化矽材料的移除氧化矽的高選擇比。
預清潔製程之反應物氣體的成分包含一或多種載體氣體。適合的載體氣體可以包括任何數量的惰性氣體。在一些實施例中,載體氣體可以包含氬氣。在一些實施例中,反應物氣體也可以包括含鹵素氣體。舉例來說,含鹵素氣體可以是含氟氣體。適合的含氟氣體可以包含但不限於三氟化氮、氟化氫及/或雙原子氟。在一些實施例中,反應物氣體也可以包括含氫氣體。適合的含氫氣體可以包含例如氨。
如本文描述,預清潔材料可以包含一或多種由反應物氣體和基底表面氧化矽反應形成的成分。舉例來說,含有氨的反應物氣體和一或多種含氟化合物可以與氧化矽化學性反應,以產生水蒸氣和含有氮、氫和矽的預清潔材料。在一些實施例中,氨和三氟化氮、氟化氫及/或氟可以和氧化矽反應來提供含有六氟矽酸銨的預清潔材料。在一些實施例中,預清潔材料可以包含如氟化氨(ammonium fluoride,NH4F)及/或氟化氫氨(ammonium hydrogen fluoride,NH4HF2)。
不限於任何特定的理論或操作模式,相信當來自反應物氣體(如NF3、HF及/或F2)的含鹵素成分的氟原子和氨反應形成氟化氫(HF)時氟化氨被可以形成,其中氟化氫可與氨結合形成氟化氨。在一些實施例中,氟化氨可以藉由分解以及與氧化矽反應形成四氟化矽(silicon tetrafluoride,SiF4)和水蒸氣(H2O)來移除氧化矽,四氟化矽(SiF4)可以和氟化氨結合形成六氟矽酸銨((NH4)2SiF6),六氟矽酸銨((NH4)2SiF6)在基底表面上形成薄膜層。舉例來說,氟化氨的負電性的氟(F)可以被吸引至氧化矽的相對較正電性的矽(Si),同時氨(NH4 +)可以被吸引至氧化矽的氧(O)。在一些實施例中,含有六氟矽酸銨((NH4)2SiF6)的預清潔材料可以藉由加熱基底被分解及/或揮發,例如分解成四氟化矽(SiF4)、氨(NH3)及/或氟化氫(HF)。
以各種技術可將預清潔材料移除(如分解或揮發)。在一些實施例中,預清潔材料可以透過加熱基底至一個接近、到或超過 預清潔材料成分的昇華溫度的溫度來移除。舉例來說,基底可以在促進預清潔材料昇華的條件下加熱到大約80℃到500℃的溫度(包含大約80℃到100℃)。例如,基底可以加熱到大約100℃的溫度來造成六氟矽酸銨((NH4)2SiF6)的昇華。
亦考慮到其他供能給預清潔材料來移除材料的途徑。在一些實施例中,預清潔材料可以暴露到被遠端電漿源活化的氣體物質。在一些實施例中,由遠端電漿源活化的物質可以和一或多種預清潔材料的成分交互作用,以形成例如可以從基底表面移除的揮發性物質。在一些實施例中,氣體物質可以是惰性氣體物質(如氬氣、氮氣、氦氣等)。
在一些實施例中,預清潔材料可以暴露到加熱的氣體(如加熱的惰性氣體)以促進預清潔材料的移除。上述氣體可以加熱到足以加熱預清潔材料到造成至少部分預清潔材料進入氣化相的溫度。舉例來說,上述溫度可高於大約80℃、大約100℃、大約120℃或大約150℃。
在一些實施例中,預清潔材料可以暴露在紫外線(ultra-violet,UV)及/或紅外線(infrared,IR)輻射以促進預清潔材料的移除。舉例來說,紫外線和紅外線輻射可以提供造成至少一部分預清潔材料進入氣化相的能量。
在一些實施例中,暴露預清潔材料到由遠端電漿活化的氣體物質、加熱的反應物氣體及/或紫外線輻射,可以減少反應室的水分含量。有利的是,減少水分含量可以促進預清潔材料的昇 華,因為昇華可產生水。
在一些實施例中,預清潔材料的移除可進行在基底從第一反應室(例如,預清潔材料形成的反應室)傳送到第二不同反應室(例如,進行後續製程如形成目標材料於基底上的反應室)期間。舉例來說,當從第一反應室傳送到第二反應室時,預清潔材料可以暴露到紫外線和/或紅外線輻射。
預清潔製程反應物氣體的一或多種成分可用電漿源活化。舉例來說,反應物氣體的一或多種成分可用遠端電漿源活化(如遠端電漿單元,RPU),比如藉由使上述一或多種成分流動通過遠端電漿源以產生一或多種活化反應物質(如產生帶電荷離子及/或中性原子及/或自由基)。在一些實施例中,反應物氣體中至少一種成分沒有以電漿源活化。
在一些實施例中,基底表面預清潔製程可以包含載體氣體(如氬)、含鹵素氣體及/或含氫氣體(如提供包含含氬、含鹵素及/或含氫帶電離子、原子及/或自由基的反應物質),上述至少一種被電漿活化。舉例來說,包含氬(Ar)的反應物氣體可被遠端電漿源活化,如藉由使氬氣流過遠端電漿單元。在一些實施例中,含氟氣體可以在導入反應室前藉由流過遠端電漿單元來活化。在一些實施例中,含氫氣體(如氨)可以藉由使含氫氣體流過遠端電漿單元被活化。
在一些實施例中,載體氣體、含鹵素氣體和含氫氣體中的一或多種可不被電漿活化。舉例來說,未活化的反應物氣體可 不在基底表面於反應室中暴露於其前流過遠端電漿源。在一些實施例中,載體氣體可以藉由遠端電漿源來活化,但含鹵素氣體和含氫氣體可不以遠端電漿源來活化。舉例來說,含氟氣體和含氫氣體可以在氣體不流過電漿源的狀態下導入反應室。在一些實施例中,將反應物氣體的所有成分都以遠端電漿源來活化,包含例如載體氣體、含鹵素氣體和含氫氣體。
在一些實施例中,未活化的反應物氣體可以在導入反應室之前結合一或多種被電漿源活化的反應物氣體。舉例來說,在導入反應室前,未活化反應物氣體可以在遠端電漿源下游與由遠端電漿源活化的反應物氣體結合。在一些實施例中,反應物氣體的成分可以隨後導入反應室。舉例來說,基底可先暴露到一或多種反應物氣體的活化成分,後續為一或多種反應物氣體的未活化成分,或反之亦然。在一些實施例中,活化及/或未活化的反應物氣體成分可接著自行導入反應室(如反應物氣體的第一活化成分,跟著反應物氣體的第二活化成分)。
在一些實施例中,在活化的載體氣體(如氬)、未活化的含鹵素氣體(如氟化氫、雙原子氟及/或三氟化氮)與未活化的含氫氣體(如氨)導入反應室前,由遠端電漿源活化的載體氣體可以在遠端電漿源下游位置結合未活化的含鹵素氣體和未活化的含氫氣體。在一些實施例中,載體氣體(如氬)和含鹵素氣體(如氟化氫、雙原子氟及/或三氟化氮)結合並且由遠端電漿源活化,接著在活化的反應物氣體、活化的含鹵素氣體和未活化的含氫氣體被導入反應室 之前,和未活化的含氫氣體(如氨)結合於遠端電漿源下游位置。在一些實施例中,載體氣體(如氬)、含鹵素氣體(如氟化氫、雙原子氟及/或三氟化氮)和含氫氣體(如氨)都被遠端電漿源活化。舉例來說,載體氣體、含鹵素氣體和含氫氣體可在上述氣體通過遠端電漿源前結合。
在一些實施例中,預清潔材料的形成可以包括先把載體氣體(如氬)和遠端電漿源活化的含鹵素氣體(如氟化氫、雙原子氟及/或三氟化氮)的組合導入反應室,接著導入未活化的含鹵素氣體(如氟化氫)和含氫氣體(如氨)的組合。在一些實施例中,預清潔材料的形成可以包含先導入載體氣體和遠端電漿源活化的含鹵素氣體之組合,接著流入未活化的含鹵素氣體,隨後為未活化的含氫氣體。
在一些實施例中,一或多個製程可以用於從反應室移除氣體(如移除存在的反應室大氣,其可包含過量的反應物氣體及/或氣體反應的副產物)。在一些實施例中,一或多種移除氣體製程可用於多種反應物氣體成分流入反應室之間。舉例來說,可將反應室抽真空及/或吹氣清淨(purged)。各種惰性氣體可用在吹氣清淨製程,包含例如氮氣(N2)、氦氣(He)及/或氬氣(Ar)。在一些實施例中,未活化惰性氣體可以用於吹氣清淨製程(如未活化N2、He及/或Ar)。
舉例來說,預清潔製程中氣體流動順序可以包含先導入載體氣體(如氬)和遠端電漿源活化的含鹵素氣體(如氟化氫、雙原 子氟及/或三氟化氮)之組合至反應室,接著是氣體移除製程,然後反應室流入未活化含鹵素氣體(如氟化氫)和含氫氣體(如氨)的組合。
在一些實施例中,氣體移除製程可以使用於開始流反應物氣體之前及/或在停止將反應物氣體流動入反應室之後。在一些實施例中,一或多個吹氣清淨製程可以進行在開始將反應物氣體流動到反應室之前及/或在停止將反應物氣體流動入反應室之後。舉例來說,一或多種惰性氣體(如氬,包含未活化的氬)可以在反應物氣體開始流動到反應室之前流入反應室,且在一些實施例中,在停止將反應物氣體流入反應室之後。氣體流動順序的實例可以包括如進行第一反應室吹氣清淨製程,其包含反應室流入一或多種惰性氣體,然後導入反應物氣體成分到反應室。停止反應物氣體成分的流入後,可以進行第二吹氣清淨製程,在此第二吹氣清淨製程包含流入一或多種惰性氣體至反應室中來吹氣清淨過量的反應物氣體成分及/或氣體反應副產物。
在一些實施例中,一或多種吹氣清淨製程的惰性氣體可以在導入反應室前先流過遠端電漿單元。上述一或多種吹氣清淨製程的惰性氣體可不在遠端電漿單元內被電漿活化(如當電漿在遠端電漿單元內未被點燃時,上述一或多種惰性氣體流過遠端電漿單元)。舉例來說,上述一或多種惰性氣體可用於吹氣清淨遠端電漿單元及/或用於後續點燃遠端電漿單元中的電漿。舉例來說,當電漿未點燃時在惰性氣體流過遠端電漿單元一段預期的期間 後,惰性氣體可以持續流過遠端電漿單元,使得遠端電漿單元中的電漿可以在惰性氣體流過遠端電漿單元時被點燃。
在一些實施例中,當一或多種反應物氣體的成分被導入反應室時可以維持在預期的溫度。舉例來說,一或多種載體氣體、含鹵素氣體和含氫氣體可以在被導入反應室前先加熱以形成預清潔材料。在一些實施例中,含氫氣體被加熱。舉例來說,含氫氣體可被加熱到大約30℃到大約120℃的溫度,包含大約80℃到大約115℃、大約70℃到大約110℃、大約70℃到大約105℃和大約70℃到大約100℃。預加熱可利於促進改善預清潔製程表現,例如促進預清潔材料的形成。在一些實施例中,基底表面預清潔製程可以包含預加熱的氨氣,此氨氣加熱到大約80℃到大約115℃的溫度。一或多種其他的反應物氣體成分也可被預加熱。
已發現在預清潔製程中各種反應物氣體的比例可以影響氧化矽材料相對氮化矽的移除選擇比。在一些實施例中,預清潔製程的反應物氣體可以有含鹵素氣體相對含氫氣體大約3:1到大約10:1的莫耳比。在一些實施例中,莫耳比可以是大約4:1到大約10:1,包含大約5:1到大約10:1。舉例來說,預清潔製程的反應物氣體可以具有氨相對三氟化氮、氟化氫及/或氟氣大約4:5:1的莫耳比。在一些實施例中,被遠端電漿源活化的氨對於傳送管中預加熱的未活化三氟化氮的莫耳比可以是大約3:1。有利地,此比例可以提供相對氮化矽移除氧化矽的高程度的選擇比。
圖2展示了一個基底表面預清潔的製程200的實例。在 方塊202中,表面上具有氧化矽材料的基底可以提供於第一反應室中。在方塊204中,載體氣體和含鹵素氣體可以被導入到第一反應室,例如藉由在導入上述氣體到第一反應室前先使載體氣體和含鹵素氣體流過遠端電漿源。含鹵素氣體(例如含氟氣體,如三氟化氮)和包括氬的載體氣體可以藉由在導入第一反應室前被遠端電漿單元活化。在方塊206中,可將含氫氣體導入第一反應室。含氫氣體會或不會以遠端電漿源活化。在一些實施例中,含氫氣體被預加熱,如藉由至少加熱一部分的傳送通道(如傳送管),來傳送含氫氣體到反應室(如加熱傳送通道最接近反應室的位置)。舉例來說,基底預清潔製程的反應物氣體可以包含載體氣體和被遠端電漿活化的含鹵素氣體和預加熱的含氫氣體。在方塊208中,含有鹵素的預清潔材料可以在第一反應室中形成,這是因為基底表面氧化矽材料暴露到反應物氣體。在方塊210中,可將基底傳送到第二反應室。在方塊212中,含有鹵素的預清潔材料可以在第二反應室中昇華,促進基底表面氧化矽材料的移除和提供預清潔後表面。在方塊214中,目標材料可以在第二反應室中形成於預清潔後的表面上,如藉由利用磊晶生長製程的傳導性材料的形成。
預清潔製程的順序的實例可以包含提供具有要清潔的表面的基底至第一反應室中。基底可以在第一反應室維持大約15℃到大約30℃的溫度。舉例來說,基底可以維持在大約17℃到大約29℃。第一吹氣清淨製程可以在反應物氣體開始流入第一反應室前進行。舉例來說,在第一反應室中提供基底之後且在開始流動 反應物氣體之前,未活化的氬氣可以流到第一反應室中。在未活化的氬氣導入第一反應室之前,未活化的氬氣可流過遠端電漿單元。舉例來說,未活化氬氣可在遠端電漿單元中電漿未點燃時流經遠端電漿單元。一旦第一反應室的所需的吹氣清淨完成,未活化的含氫氣體(如未活化的氨(NH3))可導入第一反應室。未活化含氫氣體在導入第一反應室前可以不預加熱(如被導入第一反應室的未活化的氨可以不預加熱)。隨後,利用流經上述單元且流入第一反應室的氬氣,可點燃遠端電漿單元中的電漿。經由使含鹵素氣體流經遠端電漿單元且流入反應室,含鹵素氣體(如三氟化氮(NF3))可隨後被遠端電漿單元活化。預期的基底表面預清潔材料形成後,可將反應物氣體的流動停止而且將遠端電漿單元中的電漿熄滅。在反應物氣體停止流動後,可進行第二吹氣清淨製程。舉例來說,未活化的氬氣可以在第二吹氣清淨製程中流到反應室中。在導入第一反應室前,未活化的氬氣可以在第二吹氣清淨製程期間流過遠端電漿單元。具有基底表面預清潔材料形成其上的基底可自第一反應室移除,且傳送到第二(不同的)反應室,其中基底表面預清潔材料可被移除。如本文所述,上述基底表面預清潔材料的移除可包含基底表面預清潔材料藉由加熱基底表面預清潔材料到高於大約80℃的溫度而昇華。在一些實施例中,目標材料可以於第二反應室中形成在預清潔後基底表面上,其包含磊晶材料層的形成。在一些實施例中,具有這樣的程序的預清潔製程可以利於促進達成高選擇比(例如基底表面氧化矽相對其他在基底 表面上的材料(如氮化矽材料)的選擇比),包含大約14:1的選擇比。在一些實施例中,具有這樣的程序的預清潔製程可以利於促進達成上述改善的選擇比。
圖3展示另一個基底表面預清潔製程300的例子。在方塊302中,提供表面上有氧化矽材料的基底。在方塊304中,可進行移除基底表面氧化材料的基底表面預清潔製程,其中預清潔製程包含有多個重複循環。在一些實施例中,預清潔製程的每一個循環可以包含形成和移除預清潔材料(如形成和移除含鹵素材料來移除基底表面氧化矽材料)。舉例來說,預清潔製程的第一個循環可以包括形成第一含鹵素材料在具有氧化矽的基底表面上和自基底表面昇華第一含鹵素材料,以移除至少一部分的基底表面氧化矽材料。在一些實施例中,提供預清潔後基底表面的製程包含進行兩個自基底表面形成和移除含鹵素材料的循環。在方塊306中,目標材料可以形成在預清潔後基底表面上。
一或多個預清潔製程300的所述多個循環可以根據本文敘述之一或多個製程來進行。舉例來說,一個循環的反應物氣體(如反應物氣體各成分的濃度、一或多種反應物氣體的活化及/或預加熱)及/或一或多個製程參數(如預清潔材料形成及/或昇華期間的基底溫度)可以如上述來選擇。在一些實施例中,在預清潔製程中,一個循環的反應物氣體及/或一或多個反應參數可以與另一個循環相同或相異。
在一些實施例中,基底表面預清潔製程的所述多個循環 的一或多個循環或一個循環的一部分可以在第一反應室中進行。在一些實施例中,上述多個循環的一或多個其他循環或一個循環的一部分可以在一或多個不同的反應室中進行。舉例來說,在第一反應室中進行預清潔製程的一或多個循環後或是在第一反應室中進行預清潔製程的一個循環的一部分後,基底可以從第一反應室被送到第二反應室,使得預清潔製程的最終循環中預清潔材料的最後移除在第一反應室之外的反應室中進行。在一些實施例中,預清潔製程的所有循環可以在相同的反應室中進行。
在一些實施例中,目標材料(如包括單晶矽的磊晶層)在預清潔後基底表面上的形成可以在進行移除最終預清潔材料的相同反應室中進行。舉例來說,預清潔循環可以包含兩個循環,其包含第一預清潔材料的形成與移除和第二清潔材料的形成與移除。在一些實施例中,第一預清潔材料的形成與移除及/或第二預清潔材料可以在相同的反應室中進行。在一些實施例中,第二預清潔材料的移除可以在和進行第一預清潔材料之形成與移除及/或第二預清潔材料形成之反應室不同的反應室中進行。舉例來說,第一預清潔材料的形成與移除和第二預清潔材料的形成可在和移除第二預清潔材料的反應室不同的反應室中進行。在一些實施例中,第二預清潔材料的移除可以在第二反應室中進行,而且目標材料可以在第二反應室中形成於預清潔後的基底表面上(如磊晶沉積製程沉積的矽材料)。
圖4展示基底表面預清潔製程400的一個例子,其中預 清潔製程中包含多個循環。在方塊402中,具有氧化矽於表面上的基底可以提供於第一反應室中。在方塊404中,第一含鹵素材料可以在第一反應室中形成在基底表面上。在方塊406中,舉例來說,藉由在第一反應室中昇華第一含鹵素材料,第一含鹵素材料可以從基底表面移除,以促進自基底表面移除氧化矽的至少第一部分。在方塊408中,第二含鹵素材料可以在第一反應室中形成於基底表面上。在方塊410中,上述基底可以被傳送到第二反應室。在方塊412中,舉例來說,藉由在第二反應室中昇華第二含鹵素材料,第二含鹵素材料可以從基底表面移除,以促進自基底表面移除氧化矽的至少第一部分和提供具有預清潔後表面的基底。在方塊414中,目標材料在第二反應室中可以形成於預清潔後的基底表面上。舉例來說,單晶矽材料可以利用磊晶沉積製程形成在預清潔後的基底表面上。在一些實施例中,可以形成多晶材料(如多晶矽)。在一些實施例中,進行方塊408前,方塊406和方塊408可以重複一或多次。
已發現,預清潔製程的第一循環的選擇比(如基底表面上的基底表面氧化矽材料對其他材料(如氮化矽材料)的移除選擇比)可以和預清潔製程之後續循環的選擇比顯著地不同。在一些實施例中,預清潔製程之後續循環可以有利地展現顯著高於預清潔製程的第一循環的選擇比。在一些實施例中,預清潔製程的第二循環可以展現在基底表面上基底表面氧化矽材料相對氮化矽的選擇性移除的選擇比是大約30:1到大約150:1、大約60:1到大約150:1 或大約60:1到大約100:1。包括大量循環的預清潔製程甚至可促進更高的選擇比表現。
圖5展示可以用於進行至少一部分的在此描述之基底表面預清潔製程的裝置500之實施例。此裝置500可經設置以形成及/或移除預清潔材料,其包含形成及/或移除預清潔材料以促進自基底表面移除氧化矽材料。裝置500可包含與遠端電漿單元504液體交流的反應室502,例如透過傳送管506。在一些實施例中,傳送管506可經設置以傳遞反應物氣體到反應室502中(如包括氬氣、含氟氣體及/或載體氣體的反應物氣體)。舉例來說,傳送管506可經由位於傳送管506的末端部分的反應室進氣口508導入反應物氣體到反應室502。在一些實施例中,遠端電漿單元504可包含進氣口510,其經設置以允許反應物氣體成分流過遠端電漿單元504,使反應物氣體的成分可以被電漿單元504活化。
在一些實施例中,傳送管506可以包括傳送管進氣口512,其經設置以允許導入一或多種未以遠端電漿單元504活化的反應物氣體成分到反應室502。如圖5所示,傳送管進氣口512可位置靠近反應室進氣口508。在一些實施例中,傳送管進氣口512可位在傳送管506上的另一個位置。在一些實施例中,傳送管506的至少一部分可以維持在預期溫度。舉例來說,可將傳送管506的一部分加熱(例如至大約80℃到大約115℃),包含傳送管506的鄰近及/或圍繞在傳送管進氣口512和反應物進氣口508之間的部分的部分。舉例來說,至少可將傳送管506在傳送管進氣口512 和反應物進氣口508之間的部分加熱到預期溫度。在一些實施例中,傳送管506的總長或是實質上總長維持在預期溫度(例如加熱到大約30℃到大約120℃)。傳送管506的加熱溫度可以根據各個因子而選擇。在一些實施例中,傳送管506的至少一部分可以藉由使用加熱套(heater jacket)(如以加熱套包覆傳送管506的至少一部分的外表面)及/或是材料塗層(如以材料塗層塗佈傳送管506的至少一部分的外表面,像是包括鋁的材料塗層,其包含熱分解鋁(pyrolytic alumina))維持在預期溫度。在一些實施例中,傳送管506的溫度可以用一或多種沿著傳送管506在一或多處的熱電偶(more thermocouple)來監控。沿著傳送管506的被加熱部分的溫度可以是均一或不均一的。在一些實施例中,沿著傳送管506的加熱部分的溫度可以被維持在一個或實質上一個預期溫度。在一些實施例中,傳送管506的一個加熱部分的溫度可以顯著地和傳送管506的另一個加熱部分相異。
在一些實施例中,反應室502可以包含接收基底516(如晶圓)的接受器514。在一些實施例中,反應室502可以包含位在反應室502的反應物進氣口下游的噴淋頭(showerhead)518(如氣體分配板)。噴淋頭518可經設置以促進改善氣體物質在位於反應室502中的基底516上的分佈均勻度。可將基底516自接受器514升起及/或降回接受器514上,使得基底516可以位在一或多個升高位置(如相對於基底516被接受器514接收的位置)。舉例來說,噴淋頭518可以位於接受器514上且和接受器514相對,使得基 底516可以從接受器514被升起,以在基底516和噴淋頭518之間提供預期分離距離。
在一些實施例中,分別來自載體氣體和含氟氣體來源(未繪示)的載體氣體(如氬)和含氟氣體(如三氟化氮)可以經由遠端電漿單元進氣口510導入到遠端電漿單元504,以由遠端電漿單元504活化。由遠端電漿單元504活化的載體氣體和含氟氣體可以流過遠端電漿單元504和透過傳送管506到反應室502。在一些實施例中,未被遠端電漿單元504活化的反應物氣體的一或多種成分(包含例如含氫氣體(如來自氨來源(未繪示)的氨)可以經過傳送管進氣口512沿著傳送管506被導入遠端電漿單元504下游。傳送管靠近傳送管進氣口512及/或傳送管進氣口512自身的部分可以被加熱,使得一或多種反應物氣體的未活化成分(如未活化的氨)可以在預期溫度下傳送到反應室502中。
在一些實施例中,基底表面可以同時或實質上同時暴露到活化反應物物質和未活化反應物物質,例如在傳送到反應室前(如在透過反應物進氣口508傳送前)結合活化反應物物質和未活化反應物物質。在一些實施例中,基底表面可以相繼暴露到活化反應物物質及/或未活化反應物物質。舉例來說,基底表面可先暴露到反應物氣體的一或多種成分的活化反應物物質(如被遠端電漿單元活化),之後暴露到反應物氣體的一或多種成分的另一種第二活化或未活化反應物物質(如未被遠端電漿單元活化)。在一些實施例中,基底表面可以先暴露到未活化的反應物物質,隨後暴露 到活化的反應物物質。暴露的順序可選擇來提供所需的預清潔製程表現。舉例來說,基底表面可以先暴露到由遠端電漿單元活化的載體氣體和含氟氣體,隨後暴露到未活化含氫氣體(如氨)和含氟氣體的組合,或是先暴露到未活化含氫氣體再暴露到未活化含氟氣體。
反應物物質藉由使反應物物質流過噴淋頭518而可以分佈在維持在接受器514上的基底516上。在一些實施例中,在至少一部分的預清潔製程期間,可將基底516自接受器514升到預期的位置。在一些實施例中,於至少一部分預清潔材料形成製程期間及/或至少一部分預清潔材料移除製程期間(如在昇華預清潔材料的製程期間),基底516可位於升高位置。
反應室502可為或不為多室製程系統的一部分,使得由反應室502處理的基底可在不暴露或實質上不暴露於大氣下傳送到第二反應室。舉例來說,反應室502可是群組工具系統的一部分。
雖然已以本文中特定實施例和範例揭露,但此技術領域中具有通常知識者可以理解此揭露延伸至特定描述的實施例到其他選擇性的實施例及/或實施例與明顯修改與相關等效物。另外,本文揭露的實施例的幾個變化已詳細展示與描述,在本文揭露的範圍內的其他修改基於本文揭露對此技術領域中具有通常知識者輕易地明顯。亦考慮的是,各種特性的結合或是次結合和實施例的外觀可被製作並且仍然落在本文揭露的範圍。需瞭解的是,本 文揭露中實施例的各種特徵和態樣可以結合或被取代,以形成本文揭露中實施例的變化模式。如此一來,預期本文揭露範圍不應該被限於以上描述的特定實施例。
本文如果有提供任何的主題,是為了便利並且不必然影響本文揭露的裝置與方法的範圍或意義。

Claims (39)

  1. 一種積體電路的製造方法,包括:從基底的表面移除氧化矽材料,其中移除所述氧化矽材料包括:於第一反應室中沉積含鹵素材料在所述基底的表面上;傳送具有所述含鹵素材料的所述基底到第二反應室;以及於所述第二反應室中昇華所述含鹵素材料,其中昇華所述含鹵素材料包含將所述含鹵素材料暴露到由遠端電漿單元產生的電漿所活化的物種;以及隨後在所述第二反應室中沉積傳導性材料在所述基底的表面上。
  2. 如申請專利範圍第1項所述的積體電路的製造方法,其中昇華所述含鹵素材料包含加熱所述基底的表面到大約80℃或更高的溫度。
  3. 如申請專利範圍第1項所述的積體電路的製造方法,其中沉積所述含鹵素材料包含導入以下氣體到所述第一反應室:被所述遠端電漿單元活化的載體氣體;以及含鹵素氣體。
  4. 如申請專利範圍第3項所述的積體電路的製造方法,其中所述載體氣體包含惰性氣體。
  5. 如申請專利範圍第4項所述的積體電路的製造方法,其中所述惰性氣體包含氬。
  6. 如申請專利範圍第3項所述的積體電路的製造方法,進一步包含藉由使所述含鹵素氣體流過所述遠端電漿單元來活化所述含鹵素氣體。
  7. 如申請專利範圍第6項所述的積體電路的製造方法,其中沉積所述含鹵素材料進一步包含接著導入未被電漿單元活化的所述含鹵素氣體和未被電漿單元活化的含氫氣體至所述第一反應室。
  8. 如申請專利範圍第7項所述的積體電路的製造方法,進一步包含在將未活化的所述含鹵素氣體和未活化的所述含氫氣體導入所述第一反應室前,從所述第一反應室移除活化的所述含鹵素氣體。
  9. 如申請專利範圍第6項所述的積體電路的製造方法,其中沉積所述含鹵素材料進一步包含接著將未被電漿單元活化的所述含鹵素氣體且接著為未被電漿單元活化的含氫氣體導入所述第一反應室。
  10. 如申請專利範圍第9項所述的積體電路的製造方法,進一步包含在將未活化的所述含鹵素氣體導入所述第一反應室前從所述第一反應室移除活化的所述含鹵素氣體,以及在導入未活化的所述含氫氣體前從所述第一反應室移除未活化的所述含鹵素氣體。
  11. 如申請專利範圍第3項所述的積體電路的製造方法,其中所述含鹵素氣體包含含氟氣體。
  12. 如申請專利範圍第11項所述的積體電路的製造方法,其中所述含氟氣體包含三氟化氮。
  13. 如申請專利範圍第11項所述的積體電路的製造方法,其中所述含氟氣體包含氟化氫和雙原子氟中的至少一者。
  14. 如申請專利範圍第3項所述的積體電路的製造方法,其中沉積所述含鹵素材料進一步包含導入含氫氣體到所述第一反應室。
  15. 如申請專利範圍第14項所述的積體電路的製造方法,進一步包含藉由將所述含氫氣體和所述含鹵素氣體中的至少一者流過所述遠端電漿單元來活化所述含氫氣體和所述含鹵素氣體中的至少一者。
  16. 如申請專利範圍第14項所述的積體電路的製造方法,其中所述含氫氣體包含氨。
  17. 如申請專利範圍第14項所述的積體電路的製造方法,其中導入所述含氫氣體到所述第一反應室包括:使所述含氫氣體流過在所述遠端電漿單元和所述第一反應室之間的傳送管;以及加熱至少一部分的所述傳送管到大約30℃到大約120℃的溫度。
  18. 如申請專利範圍第17項所述的積體電路的製造方法,進一步包含導入莫耳比為大約3:1到大約10:1的所述含氫氣體和所述含鹵素氣體到所述第一反應室。
  19. 如申請專利範圍第1項所述的積體電路的製造方法,其中所述含鹵素材料包含六氟矽酸銨。
  20. 如申請專利範圍第1項所述的積體電路的製造方法,其中昇華所述含鹵素材料包含將所述含鹵素材料暴露到加熱氣體,其中所述加熱氣體被加熱到高於大約150℃的溫度。
  21. 如申請專利範圍第1項所述的積體電路的製造方法,進一步包含在沉積所述含鹵素材料的期間維持所述基底在大約21℃到大約28℃的溫度。
  22. 如申請專利範圍第1項所述的積體電路的製造方法,其中所述第二反應室包含磊晶沉積室,且其中沉積所述傳導性材料包含進行矽的磊晶沉積。
  23. 一種積體電路的製造方法,包括:從基底的表面移除氧化矽材料,其中移除所述氧化矽材料包含:於第一反應室中在所述基底的表面上沉積含鹵素材料,其中沉積所述含鹵素材料包含導入以下氣體到所述第一反應室:被所述遠端電漿單元活化的載體氣體;含鹵素氣體;以及含氫氣體;傳送具有所述含鹵素材料的所述基底到第二反應室;以及於所述第二反應室中昇華所述含鹵素材料,其中昇華所述含鹵素材料包含加熱所述基底的表面到大約80℃到大約100℃的溫度;以及隨後在所述第二反應室中沉積傳導性材料在所述基底的表面上。
  24. 一種積體電路的製造方法,包括:從基底的表面移除氧化矽材料,其中移除所述氧化矽材料包含:於第一反應室中在所述基底的表面上沉積含鹵素材料;傳送具有所述含鹵素材料的所述基底到第二反應室;以及於所述第二反應室中昇華所述含鹵素材料,其中所述基底的表面進一步包含氮化矽,並且其中移除所述氧化矽材料包含相對於所述氮化矽以大約7:1到大約60:1的選擇比來選擇性移除所述氧化矽材料;以及隨後在所述第二反應室中沉積傳導性材料在所述基底的表面上。
  25. 一種積體電路的製造方法,包括:從基底的表面移除氧化矽材料,其中移除所述氧化矽材料包含:於第一反應室中在所述基底的表面上沉積含鹵素材料;傳送具有所述含鹵素材料的所述基底到第二反應室;以及於所述第二反應室中昇華所述含鹵素材料,其中昇華所述含鹵素材料包含將所述含鹵素材料暴露到紫外光輻射;以及隨後在所述第二反應室中沉積傳導性材料在所述基底的表面上。
  26. 一種積體電路的製造方法,包括:從基底的表面移除氧化矽材料,其中移除所述氧化矽材料包含:於第一反應室中在所述基底的表面上沉積第一含鹵素材料;於所述第一反應室中昇華所述第一含鹵素材料,以移除所述氧化矽材料的第一部分;於第一反應室中在所述基底的表面上沉積第二含鹵素材料,以移除所述氧化矽材料的第二部分;以及昇華所述第二含鹵素材料,其中所述基底的表面進一步包含氮化矽,並且其中移除所述氧化矽材料的所述第一部分包含相對於所述氮化矽以大約7:1到大約20:1的選擇比來選擇性移除所述氧化矽材料的所述第一部分。
  27. 如申請專利範圍第26項所述的積體電路的製造方法,進一步包含傳送具有所述第二含鹵素材料的所述基底到第二反應室中。
  28. 如申請專利範圍第27項所述的積體電路的製造方法,進一步包含於所述第二反應室中昇華所述第二含鹵素材料。
  29. 如申請專利範圍第27項所述的積體電路的製造方法,進一步包含於所述第二反應室中沉積傳導性材料在所述基底的表面上。
  30. 如申請專利範圍第29項所述的積體電路的製造方法,其中沉積所述傳導性材料包含進行矽的磊晶沉積。
  31. 如申請專利範圍第26項所述的積體電路的製造方法,其中昇華所述第二含鹵素材料包含相對於所述氮化矽以大約10:1到大約100:1的選擇比來選擇性移除所述氧化矽材料的所述第二部分。
  32. 如申請專利範圍第31項所述的積體電路的製造方法,其中昇華所述第二含鹵素材料包含相對於所述氮化矽以大約40:1到大約100:1的選擇比來選擇性移除所述氧化矽材料的所述第二部分。
  33. 如申請專利範圍第26項所述的積體電路的製造方法,其中沉積所述第一含鹵素材料和沉積所述第二含鹵素材料中的至少一者包含導入載體氣體到所述第一反應室。
  34. 如申請專利範圍第33項所述的積體電路的製造方法,進一步包含藉由使所述載體氣體流過遠端電漿單元來活化所述載體氣體。
  35. 如申請專利範圍第34項所述的積體電路的製造方法,其中沉積所述第一含鹵素材料和沉積所述第二含鹵素材料包含導入含鹵素氣體和含氫氣體到所述第一反應室。
  36. 如申請專利範圍第35項所述的積體電路的製造方法,進一步包含藉由使所述含鹵素氣體和所述含氫氣體中的至少一者流過所述遠端電漿單元來活化所述含鹵素氣體和所述含氫氣體中的至少一者。
  37. 如申請專利範圍第36項所述的積體電路的製造方法,其中所述含鹵素氣體包含三氟化氮、氟化氫和雙原子氟中的至少一者,其中所述含氫氣體包含氨,並且其中所述載體氣體包含氬。
  38. 如申請專利範圍第37項所述的積體電路的製造方法,其中所述第一含鹵素材料和所述第二含鹵素材料中的至少一者包含六氟矽酸銨。
  39. 如申請專利範圍第26項所述的積體電路的製造方法,其中所述第一含鹵素材料和所述第二含鹵素材料包含相同材料。
TW104108394A 2014-03-19 2015-03-17 積體電路的製造方法 TWI641046B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/220,001 US9299557B2 (en) 2014-03-19 2014-03-19 Plasma pre-clean module and process
US14/220,001 2014-03-19

Publications (2)

Publication Number Publication Date
TW201537638A TW201537638A (zh) 2015-10-01
TWI641046B true TWI641046B (zh) 2018-11-11

Family

ID=52780801

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104108394A TWI641046B (zh) 2014-03-19 2015-03-17 積體電路的製造方法

Country Status (4)

Country Link
US (2) US9299557B2 (zh)
EP (1) EP2922083B1 (zh)
KR (1) KR102167162B1 (zh)
TW (1) TWI641046B (zh)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299557B2 (en) * 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
DE112016005550T5 (de) 2015-12-04 2018-08-16 Applied Materials, Inc. Verfahren und Lösungen zur Reinigung von Ingaas (Oder III-V) Substraten
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10504717B2 (en) * 2016-09-16 2019-12-10 Applied Materials, Inc. Integrated system and method for source/drain engineering
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102003361B1 (ko) * 2017-09-19 2019-07-24 무진전자 주식회사 인시튜 건식 세정 방법 및 장치
KR101981738B1 (ko) * 2017-09-19 2019-05-27 무진전자 주식회사 기판 처리 방법 및 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10535736B2 (en) * 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10879124B2 (en) * 2017-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a fully strained channel region
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR102018075B1 (ko) * 2017-11-30 2019-09-04 무진전자 주식회사 폴리 실리콘을 선택적으로 제거하는 건식 세정 장치 및 방법
KR102003362B1 (ko) * 2017-11-30 2019-10-17 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 장치 및 방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
KR102140722B1 (ko) * 2018-08-22 2020-08-04 무진전자 주식회사 대기압 플라즈마와 스팀을 이용한 건식 세정 장치 및 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102044763B1 (ko) * 2018-08-22 2019-11-15 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020150100A1 (en) * 2019-01-18 2020-07-23 Tokyo Electron Limited Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102179717B1 (ko) * 2019-05-17 2020-11-17 무진전자 주식회사 플라즈마와 증기를 이용한 건식 세정 장치
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
JP7451436B2 (ja) * 2020-02-14 2024-03-18 芝浦メカトロニクス株式会社 成膜装置及び成膜装置の水分除去方法
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11373905B2 (en) 2020-09-01 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device pre-cleaning
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100504A (en) * 1988-07-29 1992-03-31 Mitsubishi Denki Kabushiki Kaisha Method of cleaning silicon surface
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
CN101459071A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
US20100240218A1 (en) * 2009-03-19 2010-09-23 Tokyo Electron Limited Substrate etching method and system
US20120196447A1 (en) * 2010-12-14 2012-08-02 Applied Materials, Inc. Uniform dry etch in two stages
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
FR2675309A1 (fr) * 1991-03-22 1992-10-16 Siemens Ag Procede pour eliminer localement des couches isolantes transparentes aux ultraviolets, situees sur un substrat semiconducteur.
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW406861U (en) 1994-07-28 2000-09-21 Semiconductor Energy Lab Laser processing system
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6077353A (en) 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7132372B2 (en) 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8551880B2 (en) 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009194216A (ja) 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US8632691B2 (en) 2012-05-18 2014-01-21 Peking University Interface treatment method for germanium-based device
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8901677B2 (en) 2013-03-08 2014-12-02 Intermolecular, Inc. Nucleation interface for high-k layer on germanium
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9299557B2 (en) * 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100504A (en) * 1988-07-29 1992-03-31 Mitsubishi Denki Kabushiki Kaisha Method of cleaning silicon surface
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
CN101459071A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
US20100240218A1 (en) * 2009-03-19 2010-09-23 Tokyo Electron Limited Substrate etching method and system
US20120196447A1 (en) * 2010-12-14 2012-08-02 Applied Materials, Inc. Uniform dry etch in two stages
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing

Also Published As

Publication number Publication date
EP2922083A3 (en) 2015-12-16
KR20150109288A (ko) 2015-10-01
US20150270122A1 (en) 2015-09-24
EP2922083B1 (en) 2018-10-24
TW201537638A (zh) 2015-10-01
EP2922083A2 (en) 2015-09-23
US9514927B2 (en) 2016-12-06
US9299557B2 (en) 2016-03-29
US20160254137A1 (en) 2016-09-01
KR102167162B1 (ko) 2020-10-19

Similar Documents

Publication Publication Date Title
TWI641046B (zh) 積體電路的製造方法
TWI669996B (zh) 用於積體電路製造之方法
CN111247269B (zh) 介电膜的几何选择性沉积
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
US11264255B2 (en) Pre-clean chamber and process with substrate tray for changing substrate temperature
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20140079431A (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
CN109844904A (zh) 通过等离子体处理的氟化铝减少
CN111095481A (zh) 使用保形掺杂物膜沉积在3d结构中的保形卤素掺杂
TWI719768B (zh) 成長摻雜iv族材料的方法
CN115552567A (zh) 清洁高深宽比结构的方法及系统
JP2008187190A5 (zh)