KR102167162B1 - 플라즈마 전-세정 모듈 및 공정 - Google Patents

플라즈마 전-세정 모듈 및 공정 Download PDF

Info

Publication number
KR102167162B1
KR102167162B1 KR1020150037539A KR20150037539A KR102167162B1 KR 102167162 B1 KR102167162 B1 KR 102167162B1 KR 1020150037539 A KR1020150037539 A KR 1020150037539A KR 20150037539 A KR20150037539 A KR 20150037539A KR 102167162 B1 KR102167162 B1 KR 102167162B1
Authority
KR
South Korea
Prior art keywords
halogen
reaction chamber
substrate
gas
containing gas
Prior art date
Application number
KR1020150037539A
Other languages
English (en)
Other versions
KR20150109288A (ko
Inventor
존 톨레
매튜 쥐. 굿맨
로버트 마이클 바인
에릭 알. 힐
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20150109288A publication Critical patent/KR20150109288A/ko
Application granted granted Critical
Publication of KR102167162B1 publication Critical patent/KR102167162B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0014Cleaning by methods not provided for in a single other subclass or a single group in this subclass by incorporation in a layer which is removed with the contaminants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0071Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by heating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/025Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

집적 회로 제조를 위한 방법은 전-세정 공정에 의해 실리콘 산화물을 제거하는 단계를 포함할 수 있다. 상기 전-세정 공정은 제1 반응 챔버 안에서 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적하는 단계, 그리고 상기 할로겐 함유 물질을 가지는 상기 기판을 제2 반응 챔버에 이송하는 단계를 포함할 수 있다. 실리콘 산화물 물질은 상기 제2 반응 챔버 안에서 상기 할로겐 함유 물질을 승화시켜 상기 기판의 표면으로부터 제거될 수 있다. 도전 물질과 같은 표적 물질은 그 후 상기 제2 반응 챔버 안에서 상기 기판 표면 상에 퇴적될 수 있다.

Description

플라즈마 전-세정 모듈 및 공정{PLASMA PRE-CLEAN MODULE AND PROCESS}
본 발명은 집적 회로들(integrated circuits)의 제조에 관한 것으로, 특히 기판 표면의 전-세정(pre-cleaning)을 위한 방법 및 장치에 관한 것이다.
집적 회로들의 제조는 종종 기판 표면 상의 하나 또는 그 이상의 물질 층들의 형성을 포함할 수 있다. 이 물질 층들은 예를 들면 단결정(mono-crystalline), 다결정(polycrystalline), 및/또는 비정질 물질(amorphous material) 층들을 포함할 수 있다. 상기 물질 층들의 형성은 다양한 물리적(예를 들면, 물리적 스퍼터링(sputtering)) 및/또는 화학적(예를 들면, 화학기상퇴적(chemical vapor deposition), 원자층 퇴적(atomic layer deposition), 및/또는 에피택셜(epitaxial) 퇴적) 퇴적 기법들을 포함하는 다양한 박막 퇴적 기법들을 이용하여 이루어질 수 있다. 예를 들어, 기판 표면 상의 단결정 물질 형성은 예를 들어 단결정 반도체 물질들(예를 들면, 단결정 실리콘)의 형성을 위하는 것과 같은 에피택셜 퇴적 공정을 이용하여 수행될 수 있다.
상기 기판 표면 상의 개입(intervening) 물질(예를 들면, 실리콘 기판 상의 실리콘 산화물 물질층과 같은 자연 산화물 층)의 존재는 그 기판 표면 위의 원하는 물질층의 형성을 방해할 수 있다. 예를 들어, 상기 개입 물질은 상기 원하는 물질층의 구조 안 결함들의 개수 증가를 야기할 수 있고, 및/또는, 상기 원하는 물질층의 전기적 성능에 부정적인 영향을 미칠 수 있다. 일부 실시예들에서, 자연 산화물 물질과 같은 개입 물질은 상기 집적 회로 제조 공정 동안에 상기 기판이 산소에 노출(예를 들어, 제조 시스템들 사이에서 상기 기판의 이송 동안 대기(ambient air)에의 노출, 및/또는 제조 시스템들 내의 잔류 산화제에의 노출)되어 기판 표면 상에 형성될 수 있다.
따라서, 기판 표면 상에 높은 품질의 층들을 형성하기 위한 공정들에 대한 요구가 계속적으로 존재한다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 기판 표면 상에 높은 품질의 층들의 형성할 수 있는 집적 회로 제조 방법을 제공하는 것이다.
집적 회로 제조를 위한 방법은 기판의 표면으로부터 실리콘 산화물(silicon oxide) 물질을 제거하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 실리콘 산화물 물질을 제거하는 단계는 제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계, 및 상기 할로겐 함유 물질을 가지는 상기 기판을 제2 반응 챔버로 이송시키는 단계를 포함할 수 있다. 상기 할로겐 함유 물질은 상기 제2 반응 챔버 안에서 승화(sublimating)될 수 있다. 그 후, 도전 물질이 상기 제2 반응 챔버 안에서 상기 기판 표면 상에 퇴적될 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질을 승화시키는 단계는 대략 80 ℃ 또는 그 이상의 온도로 상기 기판의 상기 표면을 가열하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 할로겐 함유 물질을 승화시키는 단계는 대략 80 ℃ 내지 대략 100 ℃ 의 온도로 상기 기판의 상기 표면을 가열하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 기판의 상기 표면은 실리콘 질화물(silicon nitride)을 더 포함할 수 있고, 상기 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 대략 7:1 내지 대략 60:1의 선택비(selectivity)를 가지는 상기 실리콘 산화물 물질을 선택적으로 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질을 퇴적시키는 단계는 할로겐 함유 가스 및 원격 플라즈마 유닛에 의해 활성화된 캐리어 가스를 상기 제1 반응 챔버로 유입시키는 단계를 포함할 수 있다. 상기 캐리어 가스는 예를 들면 아르곤을 포함하는 비활성 가스(inert gas)를 포함할 수 있다.
일부 실시예들에서, 상기 방법은 상기 원격 플라즈마 유닛을 통과하도록 상기 할로겐 함유 가스를 플로우(flow)시켜 상기 할로겐 함유 가스를 활성화시키는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질을 퇴적시키는 단계는 그 후 플라즈마 유닛에 의해 활성화되지 않은 할로겐 함유 가스 및 플라즈마 유닛에 의해 활성화되지 않은 수소 함유 가스를 제1 반응 챔버 안으로 유입시키는 단계를 더 포함할 수 있다. 일부 실시예들에서, 상기 방법은 상기 활성화되지 않은 할로겐 함유 가스 및 상기 활성화되지 않은 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입하기 이전에 상기 활성화된 할로겐 함유 가스를 상기 제1 반응 챔버로부터 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질을 퇴적시키는 단계는 그 후 플라즈마 유닛에 의해 활성화되지 않은 할로겐 함유 가스에 뒤따라 플라즈마 유닛에 의해 활성화되지 않은 수소 함유 가스를 제1 반응 챔버 안으로 유입하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 방법은 상기 활성화되지 않은 할로겐 함유 가스를 상기 제1 반응 챔버 안으로 유입하는 단계 이전에 상기 활성화된 할로겐 함유 가스를 상기 제1 반응 챔버로부터 제거하는 단계, 및 상기 활성화되지 않은 수소 함유 가스를 유입하는 단계 이전에 상기 활성화되지 않은 할로겐 함유 가스를 상기 제1 반응 챔버로부터 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 할로겐 함유 가스는 불소 함유 가스를 포함할 수 있다. 상기 불소 함유 가스는 삼플루오르화질소(nitrogen trifluoride)를 포함할 수 있다. 일부 실시예들에서, 상기 할로겐 함유 가스는 플루오르화수소(hydrogen fluoride) 및 이원자 불소(diatomic fluorine) 중 적어도 하나를 포함할 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질을 퇴적시키는 단계는 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입시키는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 방법은 상기 원격 플라즈마 유닛을 통과하도록 상기 수소 함유 가스 및 상기 할로겐 함유 가스 중 적어도 하나를 플로우시켜 상기 수소 함유 가스 및 상기 할로겐 함유 가스 중 적어도 하나를 활성화시키는 단계를 포함할 수 있다. 상기 수소 함유 가스는 암모니아(ammonia)를 포함할 수 있다.
일부 실시예들에서, 상기 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입시키는 단계는 원격 플라즈마 유닛 및 상기 제1 반응 챔버 사이의 트랜스퍼 튜브(transfer tube)를 통하여 상기 수소 함유 가스를 플로우시키는 단계와, 상기 트랜스퍼 튜브의 적어도 일부 부분을 대략 30 ℃ 내지 120 ℃의 온도로 가열하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 수소 함유 가스 및 상기 할로겐 함유 가스는 대략 3:1 내지 대략 10:1의 몰비(molar ratio)를 가지고 상기 제1 반응 챔버 안으로 유입될 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질은 헥사플루오로규산암모늄((NH4)2SiF6)을 포함할 수 있다.
일부 실시예들에서, 상기 할로겐 함유 물질을 승화시키는 단계는 상기 할로겐 함유 물질을 원격 플라즈마 유닛에 의해 생성된 플라즈마에 노출시키는 단계를 포함할 수 있다. 상기 할로겐 함유 물질을 승화시키는 단계는 상기 할로겐 함유 물질을 가열된 가스에 노출시키는 단계를 포함하고, 상기 가열된 가스는 대략 150 ℃보다 높은 온도로 가열된다. 일부 실시예들에서, 상기 할로겐 함유 물질을 승화시키는 단계는 상기 할로겐 함유 물질을 자외선 방사(ultra-violet radiation)에 노출시키는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 기판은 상기 할로겐 함유 물질을 퇴적시키는 단계 동안 대략 21 ℃ 내지 대략 28 ℃의 온도로 유지될 수 있다.
일부 실시예들에서, 상기 제2 반응 챔버는 에피택셜(epitaxial) 퇴적 챔버를 포함할 수 있고 상기 도전 물질을 퇴적시키는 단계는 실리콘의 에피택셜 퇴적을 수행하는 단계를 포함할 수 있다.
일부 실시예들에서, 집적 회로 제조를 위한 방법은 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계를 포함할 수 있다. 상기 실리콘 산화물 물질을 제거하는 단계는 제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 제1 할로겐 함유 물질을 퇴적시키는 단계, 및 상기 제1 반응 챔버 안에서 상기 제1 할로겐 함유 물질을 승화시키는 단계를 포함할 수 있다. 제2 할로겐 함유 물질은 상기 제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 퇴적되고 그 후 승화될 수 있다.
일부 실시예들에서, 상기 방법은 상기 제2 할로겐 함유 물질을 가지는 상기 기판을 제2 반응 챔버 안으로 이송시키는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 제2 할로겐 함유 물질을 승화시키는 단계는 상기 제2 반응 챔버 안에서 수행될 수 있다.
일부 실시예들에서, 상기 방법은 상기 제2 반응 챔버 안에서 상기 기판 표면 상에 도전 물질을 퇴적시키는 단계를 포함할 수 있다. 상기 도전 물질을 퇴적시키는 단계는 실리콘의 에피택셜 퇴적을 수행하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고, 상기 제1 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 대략 7:1 내지 대략 20:1의 선택비를 가지는 상기 제1 실리콘 산화물 물질을 선택적으로 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 제2 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 대략 10:1 내지 대략 100:1의 선택비를 가지는 상기 제2 실리콘 산화물 물질을 선택적으로 제거하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 제2 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 대략 40:1 내지 대략 100:1의 선택비를 가지는 상기 제2 실리콘산화물 물질을 선택적으로 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 제1 할로겐 함유 물질을 퇴적시키는 단계 및 상기 제2 할로겐 함유 물질을 퇴적시키는 단계 중 적어도 하나는 상기 제1 반응 챔버 안으로 캐리어 가스를 유입시키는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 방법은 원격 플라즈마 유닛을 통과하도록 상기 캐리어 가스를 플로우시켜 상기 캐리어 가스를 활성화시키는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 제1 할로겐 함유 물질을 퇴적시키는 단계 및 상기 제2 할로겐 함유 물질을 퇴적시키는 단계는 상기 제1 반응 챔버 안으로 할로겐 함유 가스 및 수소 함유 가스를 유입시키는 단계를 포함할 수 있다. 상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 적어도 하나는 상기 원격 플라즈마 유닛을 통과하도록 상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 적어도 하나를 플로우시켜 활성화될 수 있다.
일부 실시예들에서, 상기 할로겐 함유 가스는 삼플루오르화질소, 플루오르화수소, 및 이원자 불소 중 적어도 하나를 포함할 수 있으며, 상기 수소 함유 가스는 암모니아를 포함할 수 있고, 상기 캐리어 가스는 아르곤을 포함할 수 있다.
일부 실시예들에서, 상기 제1 할로겐 함유 물질 및 상기 제2 할로겐 함유 물질 중 적어도 하나는 헥사플루오로규산암모늄((NH4)2SiF6)을 포함할 수 있다. 일부 실시예들에서, 상기 제1 할로겐 함유 물질 및 상기 제2 할로겐 함유 물질은 동일한 물질을 포함할 수 있다.
본 발명에 따르면, 개선된 품질을 가지는 층으로 이루어진 집적 회로를 제조할 수 있다.
본 발명의 다양한 특징들, 관점들, 및 이점들은 특정 실시예들을 예시하기 위한 것으로서 본 발명을 제한하지 않는 특정 실시예들의 도면들을 참조하여 설명된다.
도 1은 기판 표면의 전-세정 및 상기 기판 표면 상에 표적 물질의 형성을 위한 공정의 일 예를 나타낸다.
도 2는 기판 표면의 전-세정 및 상기 기판 표면 상에 표적 물질의 형성을 위한 공정의 다른 예를 나타낸다.
도 3은 기판 표면의 전-세정을 위한 공정의 또 다른 예를 나타낸다. 도 4는 기판 표면의 전-세정 및 상기 기판 표면 상에 표적 물질의 형성을 위한 추가적 예를 나타낸다.
도 5는 기판 표면에 전-세정 공정을 수행 및/또는 상기 기판 표면 상에 표적 물질을 형성하기 위해 구성된 장치의 일 예를 나타낸다.
기판의 노출된 표면으로부터 실리콘 산화물 물질을 제거하기 위한 전-세정(pre-cleaning) 공정에 관련된 다양한 실시예들이 여기에 설명된다. 전-세정된 결과물 표면은 실리콘의 에피택셜 성장과 같은 물질들의 고품질 층들의 후속 형성을 용이하게 하는 표면을 제공할 수 있다.
일부 실시예들에서, 집적 회로 제조를 위한 공정은 원하지 않는 물질을 제거하기 위한 기판 표면의 전-세정을 포함할 수 있다. 상기 원하지 않는 물질은 일부 실시예들에서는 산화물 물질(예를 들면, 실리콘 기판 상에 형성된 자연 실리콘 산화물 물질)을 포함할 수 있다. 전-세정 물질의 퇴적 및 그 후 상기 전-세정 물질의 기화(volatilization)는 상기 기판 표면으로부터 상기 산화물 물질의 제거에 유리할 수 있다.
이론에 의해 한정되지 않고, 상기 전-세정 물질을 형성하기 위해 상기 반응 챔버 안으로 플로우되는 반응 종들(reactant species)은 상기 전-세정 공정 동안 상기 기판 표면 상의 상기 원하지 않는 물질과 화학적 상호 작용을 할 수 있다고 여겨진다. 일부 실시예들에서, 상기 전-세정 물질은 상기 반응 종들 및 기판 표면 산화물 물질 사이의 화학 반응들 및/또는 반응 종들끼리의 화학 반응들로부터 생성된 하나 또는 그 이상의 성분들을 포함할 수 있다. 예를 들어, 전-세정 공정은 할로겐 및 실리콘을 포함하는 전-세정 물질을 형성하기 위해 상기 할로겐 함유 반응물 가스가 상기 기판 표면 실리콘 산화물과 화학 반응을 하도록 할로겐을 포함하는 반응 가스를 사용할 수 있다.
일부 실시예들에서, 상기 전-세정 물질은 상기 전-세정 물질이 그 후 상기 기판의 상기 표면으로부터 제거되는 곳과 다른 반응 챔버 안에서 형성될 수 있다. 일부 실시예들에서, 상기 전-세정 물질은 제1 반응 챔버 안에서 형성될 수 있고, 그 후 전-세정된 기판 표면을 제공하기 위해 상기 전-세정 물질이 제거되는 제2 반응 챔버로 이송될 수 있다. 일부 실시예들에서, 상기 제거는 상기 물질을 승화시키는 것과 같이 상기 전-세정 물질을 휘발시킴으로써 수행된다. 상기 제2 반응 챔버는, 예를 들어 도전 물질의 퇴적을 포함하는, 상기 전-세정된 기판 표면 상에 표적 물질이 형성될 수 있는 챔버일 수 있다. 상기 도전 물질은, 제한되지 않으나, 반도체 함유 물질(예를 들어, 실리콘 함유 물질), 금속 함유 물질, 또는 그것의 조합들을 포함할 수 있다. 여기에 사용된 바와 같이, 표적 물질은 상기 전-세정된 기판 표면과 직접 접촉하여 퇴적된 물질이다. 게다가, 상기 전-세정 물질 제거는 상기 기판으로부터 상기 전-세정 물질의 일부 또는 전부 제거를 포함할 수 있다. 일부 실시예들에서, 상기 산화물 물질이 제거된 상기 기판은 패터닝될 수 있다(예를 들어, 그것의 표면 상의 트렌치들과 같은 리세스들의 패턴을 가진다). 일부 실시예들에서, 상기 기판은 노출된 전자 장치들(예를 들어, 트랜지스터 구조들)을 포함할 수 있다.
일부 실시예들에서, 제2 반응 챔버는 에피택셜 퇴적 챔버일 수 있고, 상기 표적 물질은 단결정 실리콘일 수 있다. 예를 들어, 상기 전-세정 물질은 전-세정된 기판 표면을 제공하기 위해 제2 반응 챔버 안에서의 승화에 의해 상기 기판 표면으로부터 제거될 수 있고, 실리콘의 에피택셜 성장은 그 후 상기 기판 상에 단결정 실리콘 층을 형성하기 위해 제2 반응 챔버 안에서 상기 전-세정된 기판 표면 상에서 수행될 수 있다.
상기 기판 상에 표적 물질이 그 후 형성되는 챔버와 동일한 반응 챔버 안에서의 상기 전-세정 물질의 제거는 그 후의 상기 표적 물질의 퇴적을 위한 고품질 표면을 제공함에 유리할 수 있다. 예를 들어, 상기 전-세정 물질은 상기 표적 물질 퇴적을 위한 상기 반응 챔버로의 상기 기판의 이송 동안에 상기 기판 표면 상에서 발생하는 추가적 산화를 감소 및/또는 방지 또는 실질적으로 방지하는 상기 기판 표면 위의 보호 층을 제공할 수 있다. 일부 실시예들에서, 상기 기판 상에 표적 물질이 그 후 형성되는 챔버와 동일한 반응 챔버 안에서의 상기 전-세정 물질의 제거는 결함 수가 감소된 및/또는 전기적 성능이 향상된 표적 물질의 퇴적을 용이하게 할 수 있다. 일부 실시예들에서, 표적 물질이 그 후 형성되는 반응 챔버와 동일한 반응 챔버 안에서의 상기 전-세정 물질의 제거는 상기 전-세정 공정 이후 진공 환경 외부로의 상기 기판의 수송 및/또는 서로 진공 결합되지 않은 반응 챔버들의 사용(예를 들어, 클러스터 툴(cluster tool) 안의 반응 챔버들과 같이 다양한 게이트 밸브들을 통해 결합되지 않은 반응 챔버들의 사용)을 용이하게 할 수 있다.
일부 실시예들에서, 전-세정 공정은 각각의 사이클이 전-세정 물질의 형성 및 상기 전-세정 물질의 제거를 포함하는 복수의 사이클들을 포함할 수 있다. 하나 보다 많은 사이클을 포함하는 전-세정 공정은 매우 높은 선택비를 보여주는 데에 유리할 수 있다. 예를 들어, 상기 전-세정 공정의 제1 사이클의 선택비 성능에 비하여, 상기 전-세정 공정의 제2 사이클 및/또는 다른 후속 사이클들은 실리콘 질화물과 같은 다른 물질의 상기 기판 표면으로부터의 제거에 비해 상당히 높은 실리콘 산화물의 상기 기판 표면으로부터의 선택적 제거를 보여줄 수 있다.
도 1을 참조하면, 기판 표면의 전-세정 및 그 후 상기 전-세정된 기판 표면 상에 표적 물질 형성을 위한 예시적인 공정(100)이 도시된다. 블록(102)에서, 그것의 표면 상에 실리콘 산화물 물질을 가지는 기판이 제1 반응 챔버 안에 제공된다. 블록(104)에서, 할로겐을 함유하는 물질과 같은 전-세정 물질이 상기 제1 반응 챔버 안의 상기 기판 표면 상에 형성될 수 있다. 일부 실시예들에서, 상기 할로겐 함유 물질은 상기 기판 표면 상의 실리콘 산화물이 상기 전-세정 공정의 반응 가스에 노출됨으로써 형성될 수 있다. 예를 들어, 상기 할로겐 함유 물질은 상기 반응 가스 및 상기 실리콘 산화물 사이의 상호 작용에 의한 하나 또는 그 이상의 결과물을 포함할 수 있다. 블록(106)에서, 상기 기판은 다음에 제2 반응 챔버로 이송될 수 있다. 블록(108)에서, 상기 전-세정 물질은 전-세정된 표면을 가지는 기판을 제공하기 위해 상기 제2 반응 챔버 안에서 제거될 수 있다. 예를 들어, 할로겐 함유 물질과 같은 상기 전-세정 물질은 제2 반응 챔버 안에서 승화될 수 있다(예를 들어, 상기 기판을 상기 전-세정 물질의 하나 또는 그 이상의 성분들의 승화 온도 또는 그 이상으로 가열하여). 상기 전-세정 물질의 승화는 상기 기판 표면 상의 실리콘 산화물의 제거를 용이하게 할 수 있다. 블록(110)에서, 표적 물질은 그 후 상기 제2 반응 챔버 안의 상기 전-세정된 기판 표면 상에 형성될 수 있다. 일부 실시예들에서, 상기 표적 물질은 에피택셜 실리콘 층과 같은 에피택셜 층일 수 있다.
일부 실시예들에서, 기판 표면은 실리콘 질화물 물질(예를 들어, 전자 장치들을 위한 스페이서 부분들을 포함하는 상기 기판 표면 상의 다양한 상기 전자 장치들의 형성을 위해 사용되는 실리콘 질화물 물질) 및 상기 전-세정 공정에 의해 제거될 실리콘 산화물 물질을 포함할 수 있다. 일부 실시예들에서, 기판 표면 상의 실리콘 산화물 물질은 상기 기판 표면 상의 실리콘 질화물 물질에 대해 대략 7:1 보다 큰 선택비로 선택적으로 제거될 수 있다. 일부 실시예들에서, 실리콘 산화물의 제거를 위한 전-세정 공정의 실리콘 질화물에 대한 상기 선택비는 대략 6:1 내지 대략 150:1 일 수 있다. 예를 들어, 상기 선택비는 대략 8:1 내지 대략 15:1 또는 대략 8:1 내지 대략 12:1을 포함하는 대략 6:1 내지 대략 60:1, 또는 대략 7:1 내지 대략 15:1일 수 있다.
일부 실시예들에서, 상기 기판은 예를 들면 상기 기판 표면이 상기 전-세정 공정의 반응 가스에 노출되는 동안을 포함하는 상기 전-세정 물질의 형성 동안에 원하는 온도로 유지될 수 있다. 일부 실시예들에서, 상기 기판은 상기 전-세정 물질의 형성 동안 상기 반응 가스의 응축 온도(condensation temperature) 이상의 온도로 유지될 수 있다. 예를 들어, 상기 기판은 일부 실시예들에서 대략 15 ℃보다 높은, 또는 대략 20 ℃보다 높은 온도로 유지될 수 있다. 일부 실시예들에서, 상기 기판은 대략 15 ℃ 내지 대략 30 ℃, 대략 25 ℃ 내지 대략 30 ℃를 포함하는 대략 15 ℃ 내지 대략 50 ℃의 온도로 유지될 수 있다. 예를 들어, 상기 기판은 기판 표면 상의 실리콘 질화물 물질에 대한 실리콘 산화물 제거를 위한 높은 선택비를 용이하게 할 수 있는, 대략 22 ℃ 내지 대략 28 ℃의 온도로 유지될 수 있다.
상기 전-세정 공정의 상기 반응 가스의 조성물은 하나 또는 그 이상의 캐리어 가스들을 포함할 수 있다. 적절한 캐리어 가스는 임의의 수의 비활성 가스들을 포함할 수 있다. 일부 실시예들에서, 상기 캐리어 가스는 아르곤(Ar)을 포함할 수 있다. 일부 실시예들에서, 상기 반응 가스는 또한 할로겐 함유 가스를 포함할 수 있다. 예를 들어, 상기 할로겐 함유 가스는 불소 함유 가스일 수 있다. 적절한 불소 함유 가스들은 삼플루오르화질소 (NF3), 플루오르화수소 (HF), 및/또는 이원자 불소(F2)를 포함할 수 있지만 여기에 한정되는 것은 아니다. 일부 실시예들에서, 상기 반응 가스는 수소 함유 가스를 포함할 수 있다. 적절한 수소 함유 가스는 예를 들면 암모니아 (NH3)를 포함할 수 있다.
여기서 설명한 것과 같이, 상기 전-세정 물질은 상기 반응 가스 및 기판 표면 실리콘 산화물의 반응으로 형성된 하나 또는 그 이상의 성분들을 포함할 수 있다. 예를 들어, 하나 이상의 불소 함유 화합물들 및 암모니아를 포함하는 반응 가스는 실리콘 산화물과 화학적으로 반응하여, 질소, 수소 및 실리콘을 포함하는 전-세정 물질 및 수증기를 생성할 수 있다. 일부 실시예들에서, 암모니아 및 삼플루오르화질소, 플루오르화수소, 및/또는 불소는 헥사플루오로규산암모늄((NH4)2SiF6)을 포함하는 전-세정 물질을 제공하기 위해 실리콘 산화물과 반응할 수 있다. 일부 실시예들에서, 상기 전-세정 물질은 예를 들면 플루오르화암모늄 (NH4F) 및/또는 플루오르화수소암모늄 (NH4HF2)을 포함할 수 있다.
임의의 특정 이론 또는 동작 모드에 의해 한정되지 않고, 플루오르화암모늄 (NH4F)은 상기 반응 가스 (예를 들어, NF3, HF, 및/또는 F2)의 할로겐 함유 구성 성분으로부터 나온 불소(F) 원자가 암모니아 (NH3)와 반응함으로써, 플루오르화암모늄 (NH4F)을 형성하기 위해 암모니아 (NH3)와 결합할 수 있는 플루오르화수소 (HF)를 형성하는 경우 형성될 수 있다. 일부 실시예들에서, 플루오르화암모늄은 4플루오르화규소 (SiF4) 및 수증기 (H2O)를 형성하기 위해 실리콘 산화물과 분해 및 반응하여 실리콘 산화물을 제거할 수 있고, 4플루오르화규소 (SiF4)는 상기 기판 표면 상에 막층을 형성하는 헥사플루오로규산암모늄 ((NH4)2SiF6)을 형성하기 위해 플루오르화암모늄 (NH4F)과 결합할 수 있다. 예를 들어, 암모늄 (NH4 +)이 상기 실리콘 산화물의 산소(O)에 끌어당겨질 수 있는 동안, 플루오르화암모늄의 상기 전기음성(electronegative)의 불소(F)는 실리콘 산화물의 상대적으로 더 전기양성(electropositive)인 실리콘(Si)에 끌어당겨질 수 있다. 일부 실시예들에서, 헥사플루오로규산암모늄 ((NH4)2SiF6)을 포함하는 전-세정 물질은 상기 기판을 가열하여 분해 및/또는 휘발되어, 예를 들면 4플루오르화규소 (SiF4), 암모니아 (NH3) 및/또는 플루오르화수소 (HF)를 형성하기 위해 분해될 수 있다.
상기 전-세정 물질은 다양한 기법들을 이용하여 제거(예를 들면, 분해 및/또는 휘발)될 수 있다. 일부 실시예들에서, 상기 전-세정 물질은 상기 전-세정 물질의 성분들의 승화 온도, 그에 근접한 온도, 또는 그 이상의 온도로 상기 기판을 가열하여 제거될 수 있다. 예를 들어, 상기 기판은 상기 전-세정 물질의 승화를 용이하게 할 수 있는 환경에서, 대략 80 ℃ 내지 대략 100 ℃를 포함하는 대략 80 ℃ 내지 대략 500 ℃의 온도로 가열될 수 있다. 예를 들어, 상기 기판은 상기 헥사플루오로규산암모늄 ((NH4)2SiF6)의 승화를 유발하는 대략 100 ℃의 온도로 가열될 수 있다.
상기 전-세정 물질의 제거를 야기하기 위해 상기 전-세정 물질에 에너지를 제공하기 위한 다른 접근들 또한 고려된다. 일부 실시예들에서, 상기 전-세정 물질은 원격 플라즈마 소스에 의해 활성화된 가스 종들에 노출될 수 있다. 일부 실시예들에서, 상기 원격 플라즈마 소스에 의해 활성화된 상기 종들은 예를 들면 상기 기판 표면으로부터 제거될 수 있는 휘발성 종들을 형성하기 위해 상기 전-세정 물질의 하나 또는 그 이상의 성분들과 작용할 수 있다. 일부 실시예들에서, 상기 가스 종들은 비활성 가스 종들(예를 들면, Ar, N2, He 등)일 수 있다.
일부 실시예들에서, 전-세정 물질은 상기 전-세정 물질의 제거를 용이하게 하기 위해 가열된 가스(예를 들면, 가열된 비활성 가스)에 노출될 수 있다. 상기 가스는 상기 전-세정 물질을 가열하기에 충분한 온도로 상기 전-세정 물질의 적어도 일부가 기상(vapor phase)에 진입하도록 하는 온도로 가열될 수 있다. 예를 들어, 상기 온도는 대략 80 ℃, 대략 100 ℃, 대략 120 ℃, 또는 대략 150 ℃ 보다 높을 수 있다.
일부 실시예들에서, 전-세정 물질은 상기 전-세정 물질의 제거를 용이하게 하기 위해 자외선(UV) 및/또는 적외선(IR) 방사에 노출될 수 있다. 예를 들어, 상기 자외선 및/또는 적외선 방사는 상기 전-세정 물질의 적어도 일부가 기상에 진입하도록 하는 에너지를 제공할 수 있다.
일부 실시예들에서, 원격 플라즈마 소스, 가열된 반응 가스, 및/또는 자외선 방사에 의해 활성화된 가스 종들에 상기 전-세정 물질을 노출시키는 것은 상기 반응 챔버의 수분 함량을 감소시킬 수 있다. 유리하게도, 상기 승화가 수증기 (H2O)를 생성하기 때문에, 상기 감소된 수분 함량은 전-세정 물질의 상기 승화를 촉진할 수 있다.
일부 실시예들에서, 상기 전-세정 물질의 제거는 제1 반응 챔버(예를 들면, 상기 전-세정 물질이 형성되는 반응 챔버)로부터 제2 다른 반응 챔버(예를 들면, 상기 기판 상에의 표적 물질 형성과 같은 후속 공정이 수행되는 반응 챔버)로 상기 기판을 이송하는 동안에 수행될 수 있다. 예를 들어, 전-세정 물질은 상기 제1 반응 챔버로부터 상기 제2 반응 챔버로 이송되는 동안 자외선 방사 및/또는 적외선 방사에 노출될 수 있다.
상기 전-세정 공정 반응 가스의 하나 또는 그 이상의 구성 성분들은 플라즈마 소스에 의해 활성화될 수 있다. 예를 들어, 하나 또는 그 이상의 활성화된 반응 종들을 생성하기 위해(예를 들면, 대전된 이온들(charged ions), 및/또는 중성 원자들 및/또는 라디칼들(radicals)을 생성) 상기 하나 또는 그 이상의 구성 성분들을 상기 원격 플라즈마 소스를 통과하도록 플로우시키는 것과 같이 상기 반응 가스의 하나 또는 그 이상의 구성 성분들은 원격 플라즈마 소스(예를 들어, 원격 플라즈마 유닛(remote plasma unit), 또는 RPU)에 의해 활성화될 수 있다. 일부 실시예들에서, 상기 반응 가스의 상기 구성 성분들의 적어도 하나는 플라즈마 소스에 의해 활성화되지 않는다.
일부 실시예들에서, 기판 표면 전-세정 공정은 (예를 들면, 아르곤 함유, 할로겐 함유, 및/또는 수소 함유하는 대전된 이온들, 원자들, 및/또는 라디칼들을 포함하는 반응 종들을 제공하기 위해) 캐리어 가스(예를 들면, 아르곤), 할로겐 함유 가스, 및/또는 수소 함유 가스를 포함할 수 있으며, 이들 중 적어도 하나는 플라즈마 활성화된다. 예를 들어, 아르곤(Ar)을 포함하는 반응 가스는 원격 플라즈마 유닛을 통과하도록 상기 아르곤 가스를 플로우시키는 것과 같이 원격 플라즈마 소스에 의해 활성화될 수 있다. 일부 실시예들에서, 불소 함유 가스는 반응 챔버 안으로 유입되기 이전에 상기 원격 플라즈마 유닛을 통과하여 플로우됨으로써 활성화될 수 있다. 일부 실시예들에서, 상기 수소 함유 가스(예를 들면, 암모니아)는 상기 수소 함유 가스가 원격 플라즈마 유닛을 통과하여 플로우됨으로써 활성화될 수 있다.
일부 실시예들에서, 하나 또는 그 이상의 캐리어 가스, 할로겐 함유 가스, 및 수소 함유 가스는 플라즈마 활성화되지 않을 수 있다. 예를 들어, 활성화되지 않은 반응 가스는 상기 기판 표면이 상기 반응 챔버 안의 그것에 노출되기 전에 원격 플라즈마 소스를 통과하여 플로우되지 않을 수 있다. 일부 실시예들에서, 상기 할로겐 함유 가스 및 상기 수소 함유 가스가 원격 플라즈마 소스에 의해 활성화되지 않는 반면 상기 캐리어 가스는 원격 플라즈마 소스에 의해 활성화될 수 있다. 예를 들어, 불소 함유 가스 및 수소 함유 가스는 상기 가스들이 플라즈마 소스를 통과하여 플로우되지 않은 채 상기 반응 챔버 안으로 유입될 수 있다. 일부 실시예들에서, 예를 들어 상기 캐리어 가스, 상기 할로겐 함유 가스, 및 상기 수소 함유 가스를 포함하는 상기 반응 가스들의 모든 구성 성분들은 원격 플라즈마 소스에 의해 활성화될 수 있다.
일부 실시예들에서, 활성화되지 않은 반응 가스는 상기 반응 챔버 안으로 유입되기 이전에 플라즈마 소스에 의해 활성화된 하나 또는 그 이상의 반응 가스들과 결합할 수 있다. 예를 들어, 활성화되지 않는 반응 가스는 상기 반응 챔버 안으로 유입되기 이전에 상기 원격 플라즈마 소스의 하류 쪽으로 원격 플라즈마 소스에 의해 활성화된 반응 가스들과 결합할 수 있다. 일부 실시예들에서, 반응 가스의 구성 성분들은 그 후 상기 반응 챔버 안으로 유입될 수 있다. 예를 들어, 상기 기판은 첫째로 상기 반응 가스의 하나 또는 그 이상의 활성화된 구성 성분들에 노출되고, 그 후 상기 반응 가스의 하나 또는 그 이상의 활성화되지 않은 성분들에 노출될 수 있거나, 그 반대일 수 있다. 일부 실시예들에서, 상기 반응 가스의 활성화된 구성 성분들 및/또는 활성화되지 않는 구성 성분들은 상기 반응 챔버 안으로 순차적으로 유입될 수 있다(예를 들어, 상기 반응 가스의 제1 활성화된 구성 성분에 뒤따르는 상기 반응 가스의 제2 활성화된 구성 성분).
일부 실시예들에서, 원격 플라즈마 소스에 의해 활성화된 캐리어 가스(예를 들면 아르곤)는 상기 활성화된 캐리어 가스와 활성화되지 않은 할로겐 함유 가스 및 활성화되지 않은 수소 함유 가스가 반응 챔버 안으로 유입되기 전에 상기 원격 플라즈마 소스의 하류 위치에서 활성화되지 않은 할로겐 함유 가스(예를 들면, 플루오르화수소, 이원자 불소, 및/또는 삼플루오르화질소) 및 활성화되지 않은 수소 함유 가스(예를 들면 암모니아)와 결합할 수 있다. 일부 실시예들에서, 캐리어 가스(예를 들면 아르곤) 및 할로겐 함유 가스(예를 들면, 플루오르화수소, 이원자 불소, 및/또는 삼플루오르화질소)는 원격 플라즈마 소스에 의해 결합 및 활성화되고, 그 다음 상기 활성화된 캐리어 가스, 활성화된 할로겐 함유 가스 및 활성화되지 않은 수소 함유 가스가 반응 챔버 안으로 유입되기 전에 상기 원격 플라즈마 소스의 하류 위치에서 활성화되지 않은 수소 함유 가스(예를 들면 암모니아)와 결합할 수 있다. 일부 실시예들에서, 캐리어 가스(예를 들면 아르곤), 할로겐 함유 가스(예를 들면, 플루오르화수소, 이원자 불소, 및/또는 삼플루오르화질소) 및 수소 함유 가스(예를 들면 암모니아)는 모두 원격 플라즈마 소스에 의해 활성화된다. 예를 들어, 상기 캐리어 가스, 상기 할로겐 함유 가스 및 상기 수소 함유 가스는 상기 가스들이 상기 원격 플라즈마 소스를 통과하여 플로우되기 이전에 결합될 수 있다.
일부 실시예들에서, 전-세정 물질의 형성은 첫째로 원격 플라즈마 소스에 의해 활성화된 캐리어 가스(예를 들면 아르곤) 및 할로겐 함유 가스(예를 들면, 플루오르화수소, 이원자 불소, 및/또는 삼플루오르화질소)의 조합에 의한 반응 챔버 안으로의 유입과, 그에 뒤따르는 활성화되지 않은 할로겐 함유 가스(예를 들면 플루오르화수소) 및 수소 함유 가스(예를 들면 암모니아)의 조합에 의한 유입을 포함할 수 있다. 일부 실시예들에서, 전-세정 물질의 형성은 첫째로 원격 플라즈마 소스에 의해 활성화된 할로겐 함유 가스 및 캐리어 가스의 조합에 의한 반응 챔버 안으로의 유입과, 그 다음의 활성화되지 않은 할로겐 함유 가스 및 그에 뒤따르는 활성화되지 않은 수소 함유 가스의 순차적인 플로우를 포함할 수 있다.
일부 실시예들에서, 하나 또는 그 이상의 공정들은 상기 반응 챔버로부터의 가스 제거(예를 들면, 과잉 반응 가스 및/또는 가스 반응 부산물들을 포함할 수 있는 기존 반응 챔버 분위기의 제거)에 사용될 수 있다. 일부 실시예들에서, 하나 또는 그 이상의 가스 제거 공정들은 상기 반응 챔버 안으로의 상기 반응 가스의 구성 성분들의 플로우들 사이에 사용될 수 있다. 예를 들어, 상기 반응 챔버는 비워지거나(evacuated) 및/또는 퍼지(purged)될 수 있다. 예를 들어 질소 (N2), 헬륨 (He), 및/또는 아르곤 (Ar)을 포함하는 다양한 비활성 가스들이 상기 퍼지 공정에 사용될 수 있다. 일부 실시예들에서, 활성화되지 않은 비활성 가스(예를 들어, 활성화되지 않은 N2, He, 및/또는 Ar)는 상기 퍼지 공정에 사용될 수 있다.
전-세정 공정에서의 순차적인 가스 플로우는, 예를 들면 첫째로 원격 플라즈마 소스에 의해 활성화된 할로겐 함유 가스(예를 들면, 플루오르화수소, 이원자 불소, 및/또는 삼플루오르화질소) 및 캐리어 가스(예를 들면 아르곤)의 조합에 의한 상기 반응 챔버 안으로의 유입과, 그에 뒤따르는 가스 제거 공정과, 그 다음에 뒤따르는 활성화되지 않은 할로겐 함유 가스(예를 들면 플루오르화수소) 및 수소 함유 가스(예를 들면 암모니아)의 조합에 의한 상기 반응 챔버 안으로의 플로우를 포함할 수 있다.
일부 실시예들에서, 가스 제거 공정은 상기 반응 챔버 안으로의 반응 가스의 플로우 시작 이전 및/또는 반응 가스의 플로우 중지 이후 이용될 수 있다. 일부 실시예들에서, 하나 또는 그 이상의 퍼지 공정들은 상기 반응 챔버 안으로의 반응 가스의 플로우 시작 이전 및/또는 상기 반응 챔버 안으로의 반응 가스의 플로우 중지 이후 수행될 수 있다. 예를 들어, 하나 또는 그 이상의 비활성 가스들(예를 들면, 활성화되지 않은 아르곤을 포함하는, 아르곤)은 반응 가스의 플로우 시작 이전에 상기 반응 챔버 안으로 플로우될 수 있고, 일부 실시예들에서, 반응 챔버 내부로의 반응 가스의 플로우 중지 이후에 상기 반응 챔버 안으로 플로우될 수 있다. 가스 플로우의 시퀀스의 예는, 예를 들어 하나 또는 그 이상의 비활성 가스들을 상기 반응 챔버 안으로의 플로잉을 포함하는 제1 반응 챔버 퍼지 공정의 수행과, 그 다음의 상기 반응 챔버 안으로의 상기 반응 가스의 구성 성분들의 유입을 포함할 수 있다. 상기 반응 가스의 구성 성분들의 플로우가 중지된 후, 제2 퍼지 공정이 수행될 수 있으며, 상기 제2 퍼지 공정은 상기 반응 가스의 과잉 구성 성분들 및/또는 가스 반응 부산물들을 상기 반응 챔버에서 퍼지하기 위한 하나 또는 그 이상의 비활성 가스들을 상기 반응 챔버 안으로 플로잉하는 것을 포함한다.
일부 실시예들에서, 퍼지 공정의 하나 또는 그 이상의 비활성 가스들은 상기 반응 챔버 안으로 유입되기 전에 상기 원격 플라즈마 유닛을 통과하여 플로우될 수 있다. 상기 퍼지 공정의 상기 하나 또는 그 이상의 비활성 가스들은 상기 원격 플라즈마 유닛 안에서 플라즈마 활성화되지 않을 수 있다(예를 들어, 상기 원격 플라즈마 유닛을 통과하는 상기 하나 또는 그 이상의 비활성 가스들의 플로우는 상기 플라즈마가 상기 원격 플라즈마 유닛 안에서 점화되지 않는 동안 발생할 수 있다). 예를 들어, 상기 하나 또는 그 이상의 비활성 가스들은 상기 원격 플라즈마 유닛을 퍼지하기 위해 및/또는 그 후 상기 원격 플라즈마 유닛 안의 상기 플라즈마를 점화하기 위해 이용될 수 있다. 예를 들어, 상기 플라즈마가 점화되지 않은 동안 비활성 가스가 상기 원격 플라즈마 유닛을 통과하여 원하는 지속 시간으로 플로우된 이후에, 상기 원격 플라즈마 유닛을 통과하는 상기 비활성 가스의 플로우는 상기 원격 플라즈마 유닛을 통과하는 비활성 가스 플로잉과 함께 상기 원격 플라즈마 유닛 안의 플라즈마가 점화될 수 있도록 계속될 수 있다.
일부 실시예들에서, 상기 반응 가스의 하나 또는 그 이상의 구성 성분들은 상기 반응 챔버 안으로 유입될 때 원하는 온도로 유지될 수 있다. 예를 들어, 하나 또는 그 이상의 상기 캐리어 가스, 상기 할로겐 함유 가스, 및 상기 수소 함유 가스는 상기 전-세정 물질을 형성하기 위한 상기 반응 챔버 안으로의 유입 이전에 가열될 수 있다. 일부 실시예들에서, 상기 수소 함유 가스는 가열된다. 예를 들어, 상기 수소 함유 가스는 대략 80 ℃ 내지 대략 115 ℃, 대략 70 ℃ 내지 대략 110 ℃, 대략 70 ℃ 내지 대략 105 ℃, 및 대략 70 ℃ 내지 대략 100 ℃를 포함하는 대략 30 ℃ 내지 대략 120 ℃의 온도로 가열될 수 있다. 전-가열(pre-heating)은 향상된 전-세정 공정 성능, 예를 들어 상기 전-세정 물질의 형성을 용이하게 하는 데에 유리할 수 있다. 일부 실시예들에서, 기판 표면 전-세정 공정은 전-가열된 암모니아 가스를 포함할 수 있고, 상기 암모니아 가스는 대략 80 ℃ 내지 대략 115 ℃의 온도로 가열된다. 상기 반응 가스의 하나 또는 그 이상의 구성 성분들 또한 전-가열될 수 있다.
상기 전-세정 공정 안의 다양한 반응 가스들의 비율은 실리콘 질화물에 대한 상기 실리콘 산화물의 제거에서의 선택비에 영향을 줄 수 있음이 밝혀졌다. 일부 실시예들에서, 전-세정 공정을 위한 반응 가스는 대략 3:1 내지 대략 10:1의 할로겐 함유 가스 및 수소 함유 가스의 몰비를 가질 수 있다. 일부 실시예들에서, 상기 몰비는 대략 5:1 내지 대략 10:1을 포함하는 대략 4:1 내지 대략 10:1일 수 있다. 예를 들어, 상기 전-세정 공정의 상기 반응 가스는 대략 4.5:1의 암모니아 및 삼플루오르화질소, 플루오르화수소 및/또는 불소 가스 몰비를 가질 수 있다. 일부 실시예들에서, 원격 플라즈마 소스에 의해 활성화된 암모니아 및 트랜스퍼 튜브 안에서 전-가열된 활성화되지 않은 삼플루오르화질소의 몰비는 대략 3:1 일 수 있다. 유리하게, 이러한 비율은 실리콘 질화물에 대해 실리콘 산화물을 제거하기 위한 높은 레벨의 선택비를 제공한다.
도 2는 기판 표면의 전-세정을 위한 공정(200)의 일 예를 나타낸다. 블록(202)에서, 표면 상에 실리콘 산화물 물질을 가지는 기판은 제1 반응 챔버에 제공될 수 있다. 블록(204)에서, 예를 들어 캐리어 가스 및 할로겐 함유 가스를 상기 가스들이 상기 제1 반응 챔버 안으로 유입하기 이전에 원격 플라즈마 소스를 통과하도록 플로잉하여, 캐리어 가스 및 할로겐 함유 가스는 상기 제1 반응 챔버 안으로 유입될 수 있다. 상기 할로겐 함유 가스(예를 들면, 삼플루오르화질소와 같은 불소 함유 가스) 및 아르곤을 포함하는 캐리어 가스는 상기 제1 반응 챔버 안으로 유입하기 이전에 원격 플라즈마 유닛에 의해 활성화될 수 있다. 블록(206)에서, 수소 함유 가스는 상기 제1 반응 챔버 안으로 유입될 수 있다. 상기 수소 함유 가스는 원격 플라즈마 소스에 의해 활성화되거나 활성화되지 않을 수 있다. 일부 실시예들에서, 상기 수소 함유 가스는 상기 수소 함유 가스를 상기 반응 챔버 안으로 배달하기 위한 배달 채널(예를 들면 트랜스퍼 튜브)의 적어도 일부를 전-가열하는 것과 같이 전-가열된다(예를 들어, 상기 반응 챔버에 근접한 상기 배달 채널의 일부 가열). 예를 들어, 상기 기판 전-세정 공정을 위한 상기 반응 가스는 원격 플라즈마에 의해 활성화된 할로겐 함유 가스 및 캐리어 가스, 및 전-가열된 수소 포함 가스를 포함할 수 있다. 블록(208)에서, 할로겐을 포함하는 전-세정 물질은 상기 기판 표면 실리콘 산화물 물질의 상기 반응 가스 노출 때문에 제1 반응 챔버 안에 형성될 수 있다. 블록(210)에서, 상기 기판은 제2 반응 챔버로 이송될 수 있다. 블록(212)에서, 할로겐을 포함하는 상기 전-세정 물질은 상기 기판 표면 실리콘 산화물의 제거를 용이하게 하고 전-세정된 기판 표면을 제공하는 제2 반응 챔버 안에서 승화될 수 있다. 블록(214)에서, 표적 물질은 예를 들어 에피택셜 성장 공정을 이용한 도전 물질의 형성에 의해 제2 반응 챔버 안에서 상기 전-세정된 기판 표면 상에 형성될 수 있다.
전-세정 공정의 일 예시적 시퀀스는 제1 반응 챔버 안으로 크리닝될 표면을 가지는 기판을 제공하는 단계를 포함할 수 있다. 상기 기판은 제1 반응 챔버 안에서 대략 15 ℃ 내지 대략 30 ℃의 온도로 유지될 수 있다. 예를 들어, 상기 기판은 대략 17 ℃ 에서 대략 29 ℃의 온도로 유지될 수 있다. 제1 퍼지 공정은 상기 제1 반응 챔버 안으로의 반응 가스 플로우 시작 전에 수행될 수 있다. 예를 들어, 활성화되지 않은 아르곤 가스는 상기 기판이 제1 반응 챔버 내에 제공된 이후, 및 반응 가스의 플로우 시작 전에 상기 제1 반응 챔버 안으로 플로우될 수 있다. 상기 제1 반응 챔버 안으로 상기 활성화되지 않은 아르곤 가스가 유입되기 전에 상기 활성화되지 않은 아르곤 가스는 상기 원격 플라즈마 유닛을 통과하도록 플로우될 수 있다. 예를 들어, 상기 활성화되지 않은 아르곤 가스는 상기 원격 플라즈마 유닛 안에 플라즈마가 점화되지 않은 동안 상기 원격 플라즈마 유닛을 통과하도록 플로우될 수 있다. 일단 상기 제1 반응 챔버의 원하는 퍼지가 완료되면, 활성화되지 않은 수소 함유 가스(예를 들면 활성화되지 않은 암모니아 (NH3))가 상기 제1 반응 챔버로 유입될 수 있다. 상기 활성화되지 않은 수소 함유 가스는 상기 제1 반응 챔버 안으로 유입되기 이전에 전-가열되지 않을 수 있다(예를 들어, 제1 반응 챔버 안으로 유입된 활성화되지 않은 NH3는 전-가열되지 않을 수 있다). 그 후, 상기 원격 플라즈마 유닛 안의 플라즈마는 그 유닛을 통과하여 상기 제1 반응 챔버 안으로 플로잉되는 상기 아르곤 가스와 함께 점화될 수 있다. 삼플루오르화질소(NF3)와 같은 할로겐 함유 가스는 그 후 상기 할로겐 함유 가스를 상기 원격 플라즈마 유닛을 통과하여 상기 반응 챔버 안으로 플로잉하여 상기 원격 플라즈마 유닛에 의해 활성화될 수 있다. 기판 표면 전-세정 물질의 원하는 형성 후에, 반응 가스의 상기 플로우는 중단될 수 있고 상기 원격 플라즈마 유닛 안의 상기 플라즈마는 턴 오프될 수 있다. 제2 퍼지 공정은 상기 반응 가스의 플로우가 중단된 후에 수행될 수 있다. 예를 들어, 활성화되지 않은 아르곤 가스는 상기 제2 퍼지 공정에서 상기 반응 챔버 안으로 플로우될 수 있다. 상기 활성화되지 않은 아르곤 가스는 상기 제1 반응 챔버 안으로 유입되기 전에 상기 제2 퍼지 공정 동안 상기 원격 플라즈마 유닛을 통과하도록 플로우될 수 있다. 그 위에 형성된 상기 기판 표면 전-세정 물질을 가지는 상기 기판은 제1 반응 챔버로부터 제거될 수 있고 상기 기판 표면 전-세정 물질이 제거되는 다른 제2 챔버로 이송될 수 있다. 여기서 설명한 것처럼 상기 기판 표면 전-세정 물질의 제거는 대략 80 ℃보다 높은 온도로 상기 기판 표면 전-세정 물질을 가열하여 수행되는 상기 기판 표면 전-세정 물질의 승화를 포함할 수 있다. 일부 실시예들에서, 표적 물질은 에피택셜 물질층의 형성을 포함하여 상기 제2 반응 챔버 안의 상기 전-세정된 기판 표면 상에 형성될 수 있다. 일부 실시예들에서, 이와 같은 시퀀스를 가지는 전-세정 공정은 대략 14:1의 선택비를 포함하는 높은 선택비(예를 들어, 실리콘 질화물 물질과 같은 상기 기판 표면 상의 다른 물질에 대한 기판 표면 실리콘 산화물의 제거 선택비)를 획득하는 것을 용이하게 하는 데에 유리할 수 있다. 일부 실시예들에서, 이와 같은 시퀀스를 가지는 전-세정 공정은 여기서 설명한 것과 같이 향상된 선택비를 획득하는 것을 용이하게 하는 데에 유리할 수 있다.
도 3은 기판 표면 전-세정 공정(300)의 다른 예를 나타낸다. 블록(302)에서, 표면 상에 실리콘 산화물 물질을 가지는 기판이 제공된다. 블록(304)에서, 상기 기판 표면 산화물 물질을 제거하기 위한 기판 표면 전-세정 공정이 수행될 수 있고, 상기 전-세정 공정은 복수의 반복된 사이클들을 포함한다. 일부 실시예들에서, 상기 전-세정 공정의 각각의 사이클은 전-세정 물질의 형성 및 제거를 포함할 수 있다(예를 들어, 상기 기판 표면 실리콘 산화물 물질의 제거를 위한 할로겐 함유 물질을 형성 및 제거). 예를 들어, 전-세정 공정의 제1 사이클은 실리콘 산화물을 가지는 기판 표면 상에의 제1 할로겐 함유 물질 형성 및 상기 기판 표면 실리콘 산화물 물질의 적어도 일부를 제거하기 위한 상기 기판 표면으로부터의 상기 제1 할로겐 함유 물질 승화를 포함한다. 일부 실시예들에서, 전-세정된 기판 표면을 제공하기 위한 공정은 할로겐 함유 물질의 형성 및 상기 기판 표면으로부터의 제거를 위한 두 개의 사이클들의 수행을 포함할 수 있다. 블록(306)에서, 표적 물질은 상기 전-세정된 기판 표면 상에 형성될 수 있다.
상기 전-세정 공정(300)의 하나 또는 그 이상의 복수의 사이클들은 여기에서 설명된 하나 또는 그 이상의 공정들을 따라 수행될 수 있다. 예를 들어, 반응 가스(예를 들면, 상기 반응 가스의 다양한 구성 성분들의 농도, 상기 반응 가스의 다양한 구성 성분들의 활성화 및/또는 전-가열), 및/또는 사이클의 하나 또는 그 이상의 공정 파라미터들(예를 들어, 전-세정 물질의 형성 및/또는 승화 동안의 기판 온도)은 여기에서 설명된 바와 같이 선택될 수 있다. 일부 실시예들에서, 상기 반응 가스 및/또는 사이클의 하나 또는 그 이상의 공정 파라미터들은 상기 전-세정 공정에서의 다른 사이클들의 그것과 같거나 다를 수 있다.
일부 실시예들에서, 기판 표면 전-세정 공정의 하나 또는 그 이상의 사이클들, 또는 복수의 사이클의 일부인 단일 사이클의 일부는 제1 반응 챔버 안에서 수행될 수 있다. 일부 실시예들에서, 하나 또는 그 이상의 사이클들, 또는 복수의 사이클의 일부인 단일 사이클의 일부는 하나 또는 그 이상의 다른 반응 챔버들 안에서 수행될 수 있다. 예를 들어, 기판은, 상기 전-세정 공정의 마지막 사이클에서 전-세정 물질의 마지막 제거를 상기 제1 반응 챔버가 아닌 다른 반응 챔버 안에서 수행하기 위해 제1 반응 챔버 안에서 상기 전-세정 공정의 단일 사이클의 일부를 수행한 후 또는 제1 반응 챔버 안에서 상기 전-세정 공정의 하나 또는 그 이상의 사이클들을 수행한 후, 상기 제1 반응 챔버로부터 제2 반응 챔버로 이송될 수 있다. 일부 실시예들에서, 전-세정 공정의 모든 사이클들은 동일한 반응 챔버 안에서 수행될 수 있다.
일부 실시예들에서, 전-세정된 기판 표면 상에의 표적 물질(예를 들어, 단결정 실리콘을 포함하는 에피택셜 층) 형성은 마지막 전-세정 물질 제거가 수행된 챔버와 동일한 반응 챔버 안에서 수행될 수 있다. 예를 들어, 전-세정 사이클은 제1 전-세정 물질의 형성 및 제거와, 제2 전-세정 물질의 형성 및 제거를 포함하는 두 개의 사이클들을 포함할 수 있다. 일부 실시예들에서, 상기 제1 전-세정 물질 및/또는 상기 제2 전-세정 물질의 형성 및 제거는 동일한 반응 챔버 안에서 수행될 수 있다. 일부 실시예들에서, 제2 전-세정 물질의 제거는 상기 제1 전-세정 물질의 형성 및/또는 제거, 및/또는 상기 제2 전-세정 물질의 형성이 수행된 상기 반응 챔버와 다른 반응 챔버 안에서 수행될 수 있다. 예를 들어, 제1 전-세정 물질의 형성 및 제거, 및 제2 전-세정 물질의 형성은 상기 제2 전-세정 물질의 제거가 수행된 상기 챔버와 다른 반응 챔버 안에서 수행될 수 있다. 일부 실시예들에서, 상기 제2 전-세정 물질의 제거는 제2 반응 챔버 안에서 수행되고, 표적 물질은 상기 제2 반응 챔버 안에서 상기 전-세정된 기판 표면 상에 형성될 수 있다(예를 들어, 에피택셜 퇴적 공정에 의해 퇴적된 실리콘 물질).
도 4는 전-세정 공정이 복수의 사이클들을 포함하는 기판 표면 전-세정 공정(400)의 일 예를 나타낸다. 블록(402)에서, 표면 상에 실리콘 산화물을 가지는 기판이 제1 반응 챔버 안에 제공될 수 있다. 블록(404)에서, 제1 할로겐 함유 물질이 상기 제1 반응 챔버 안에서 상기 기판 표면 상에 형성될 수 있다. 블록(406)에서, 제1 할로겐 함유 물질은 상기 기판 표면으로부터 상기 실리콘 산화물의 적어도 제1 부분의 제거를 용이하게 하기 위해, 예를 들면, 상기 제1 반응 챔버 안에서 상기 제1 할로겐 함유 물질의 승화를 통해 상기 기판 표면으로부터 제거될 수 있다. 블록(408)에서, 제2 할로겐 함유 물질은 상기 제1 반응 챔버 안에서 상기 기판 표면 상에 형성될 수 있다. 블록(410)에서, 상기 기판은 제2 반응 챔버로 전송될 수 있다. 블록(412)에서, 제2 할로겐 함유 물질은 상기 기판 표면으로부터 상기 실리콘 산화물의 적어도 제1 부분의 제거를 용이하게 하고 전-세정된 표면을 가지는 기판을 제공하기 위해, 예를 들면, 상기 제2 반응 챔버 안에서 상기 제2 할로겐 함유 물질의 승화를 통해 상기 기판 표면으로부터 제거될 수 있다. 블록(414)에서, 표적 물질은 상기 제2 반응 챔버 내에서 상기 전-세정된 기판 표면 상에 형성될 수 있다. 예를 들어, 단결정 실리콘 물질은 에피택셜 퇴적 공정을 이용하여 상기 전-세정된 기판 표면 위로 형성될 수 있다. 일부 실시예들에서, 다결정 물질이 형성될 수 있다(예를 들면 폴리실리콘). 일부 실시예들에서, 블록(406) 및 블록(408)은 블록(408)이 수행되기 전에 1회 또는 그 이상의 횟수로 반복될 수 있다.
전-세정 공정의 제1 사이클의 선택비(예를 들면 실리콘 질화물 물질과 같은 상기 기판 표면 상의 다른 물질에 대한 기판 표면 실리콘 산화물 제거의 선택비)는 전-세정 공정의 후속 사이클의 선택비와 상당히 다를 수 있음이 밝혀졌다. 일부 실시예들에서, 상기 전-세정 공정의 상기 후속 사이클은 상기 전-세정 공정의 상기 제1 사이클에 비해 상당히 높은 선택비를 보여주는 데에 유리할 수 있다. 일부 실시예들에서, 전-세정 공정의 제2 사이클은 대략 30:1 내지 대략 150:1, 대략 60:1 내지 대략 150:1, 또는 대략 60:1 내지 대략 100:1의 선택비로 상기 기판 표면 상의 실리콘 질화물에 대한 상기 기판 표면 실리콘 산화물 물질의 선택적 제거를 보여줄 수 있다. 많은 수의 사이클들을 가지는 전-세정 공정은 더더욱 높은 선택비 성능을 용이하게 할 수 있다.
도 5는 앞서 설명한 기판 표면 전-세정 공정의 적어도 일부를 수행하기 위해 사용될 수 있는 장치(500)의 일 실시예를 나타낸다. 상기 장치(500)는 상기 기판 표면으로부터 실리콘 산화물 물질의 제거를 용이하게 하기 위한 전-세정 물질의 형성 및/또는 제거를 포함하는 전-세정 물질의 형성 및/또는 제거를 위해 구성될 수 있다. 상기 장치(500)는 예를 들어 트랜스퍼 튜브(506)를 통해 원격 플라즈마 유닛(504)과 유체 커뮤니케이션(fluid communication)을 하는 반응 챔버(502)를 포함할 수 있다. 일부 실시예들에서, 상기 트랜스퍼 튜브(506)는 상기 반응 챔버(502) 안으로 반응 가스(예를 들어, 암모니아 가스, 불소 함유 가스, 및/또는 캐리어 가스를 포함하는 반응 가스)를 배달하기 위해 구성될 수 있다. 예를 들어, 상기 트랜스퍼 튜브(506)는 상기 트랜스퍼 튜브(506)의 원위부(distal portion)에 위치하는 반응 챔버 가스 입구(508)를 통해 상기 반응 챔버(502) 안으로 반응 가스를 유입시킬 수 있다.일부 실시예들에서, 상기 원격 플라즈마 유닛(504)은 상기 반응 가스의 구성 성분들이 상기 플라즈마 유닛(504)에 의해 활성화될 수 있도록 상기 원격 플라즈마 유닛(504)을 통하여 상기 반응 가스의 구성 성분들이 플로우되는 것을 허용하도록 구성된 가스 입구(510)를 포함할 수 있다.
일부 실시예들에서, 상기 트랜스퍼 튜브(506)는 상기 원격 플라즈마 유닛(504)에 의해 활성화되지 않은 상기 반응 가스의 하나 또는 그 이상의 구성 성분들의 상기 반응 챔버(502) 안으로의 유입을 허락하도록 구성된 트랜스퍼 튜브 가스 입구(512)를 포함할 수 있다. 도 5에 도시된 바와 같이, 상기 트랜스퍼 튜브 가스 입구(512)는 상기 반응 챔버 가스 입구(508)에 인접하여 위치할 수 있다. 일부 실시예들에서, 상기 트랜스퍼 튜브 가스 입구(512)는 상기 트랜스퍼 튜브(506)의 다른 위치에 위치할 수 있다. 일부 실시예들에서, 상기 트랜스퍼 튜브(506)의 적어도 일부는 원하는 온도로 유지될 수 있다. 예를 들어, 상기 트랜스퍼 튜브 가스 입구(512) 및 상기 반응 챔버 가스 입구(508) 사이 영역에 인접 및/또는 이를 둘러싸는 상기 트랜스퍼 튜브(506)의 일부를 포함하는 상기 트랜스퍼 튜브(506)의 일부는 가열될 수 있다(예를 들어 대략 80 ℃ 내지 대략 115 ℃의 온도로). 예를 들어, 상기 트랜스퍼 튜브 가스 입구(512) 및 상기 반응 챔버 가스 입구(508) 사이의 상기 트랜스퍼 튜브(506)의 적어도 일부는 원하는 온도로 가열될 수 있다. 일부 실시예들에서, 상기 트랜스퍼 튜브(506)의 전체 길이 또는 실질적인 전체 길이는 원하는 온도에서 유지될 수 있다(예를 들어, 대략 30 ℃ 내지 대략 120 ℃의 온도로 가열된다). 상기 트랜스퍼 튜브(506)가 가열되는 온도는 다양한 요인들에 기초하여 선택될 수 있다. 일부 실시예들에서, 상기 트랜스퍼 튜브(506)의 적어도 일부는 히터 재킷(heater jacket)(예를 들어 상기 트랜스퍼 튜브(506) 외부 표면의 적어도 일부를 히터 재킷으로 커버링) 및/또는 물질 코팅(상기 트랜스퍼 튜브(506) 외부 표면의 적어도 일부를 열분해 알루미나(pyrolytic alumina)를 포함하는 알루미나를 갖는 물질 코팅과 같은 물질 코팅으로 코팅)을 이용하여 원하는 온도에서 유지될 수 있다. 일부 실시예들에서, 트랜스퍼 튜브(506)의 온도는 상기 트랜스퍼 튜브(506)를 따른 하나 또는 그 이상의 위치들에 배치된 하나 또는 그 이상의 열전대(thermocouples)를 이용하여 모니터링할 수 있다. 트랜스퍼 튜브(506)의 가열된 일 부분에 따른 온도는 균일할 수 있고 아닐 수도 있다. 일부 실시예들에서, 트랜스퍼 튜브(506)의 가열된 일 부분에 따른 온도는 단일 또는 실질적으로 단일한 원하는 온도로 유지될 수 있다. 일부 실시예들에서, 트랜스퍼 튜브(506)의 가열된 일 부분의 온도는 트랜스퍼 튜브(506)의 가열된 다른 부분의 온도와 상당히 다를 수 있다.
일부 실시예들에서, 반응 챔버(502)는 기판(516, 예를 들면 웨이퍼)을 수용하기 위한 서셉터(514,susceptor)를 포함할 수 있다. 일부 실시예들에서, 상기 반응 챔버(502)는 상기 반응 챔버(502)의 반응 가스 입구의 하류에 위치하는 샤워헤드(518, 예를 들면 가스 분배 플레이트)를 포함할 수 있다. 상기 샤워헤드(518)는 상기 반응 챔버(502) 안에 위치하는 상기 기판(516) 위로 가스 종들의 분배의 균일성을 향상시키기 용이하도록 구성될 수 있다. 상기 기판(516)은 상기 기판(516)이 (예를 들어, 상기 서셉터(514)에 의해 수용되는 상기 기판(516)의 위치에 대하여) 하나 또는 그 이상의 높아진 위치들을 갖도록 상기 서셉터(514) 위로 올려지거나 및/또는 다시 내려질 수 있다. 예를 들어, 상기 샤워헤드(518)는 상기 기판(516)과 상기 샤워헤드(518) 사이의 원하는 이격 거리를 제공하기 위해 상기 기판(516)이 서셉터(514)로부터 올려질 수 있도록 상기 서셉터(514) 상에서 맞은편에 위치할 수 있다.
일부 실시예들에서, 캐리어 가스 및 불소 함유 가스 소스들(미도시) 각각으로부터의 캐리어 가스(예를 들면 아르곤), 및 불소 함유 가스(예를 들면 삼플루오르화질소)는 상기 원격 플라즈마 유닛 가스 입구(510)를 통해 상기 원격 플라즈마 유닛(504)에 의해 활성화되기 위한 상기 원격 플라즈마 유닛(504) 안으로 유입될 수 있다. 상기 원격 플라즈마 유닛(504)에 의해 활성화된 상기 캐리어 가스 및 불소 함유 가스는 상기 트랜스퍼 튜브(506)를 통하여 상기 원격 플라즈마 유닛(504) 및 상기 반응 챔버(502)를 통과하도록 플로우될 수 있다. 일부 실시예들에서, 예를 들면 암모니아 소스(미도시)로부터의 암모니아와 같은 수소 함유 가스를 포함하는, 상기 원격 플라즈마 유닛(504)에 의해 활성화되지 않은 상기 반응 가스의 하나 또는 그 이상의 구성 성분들은 트랜스퍼 튜브 가스 입구(512)를 통해 상기 트랜스퍼 튜브(506)를 따르는 위치의 상기 원격 플라즈마 유닛(504)의 하류 쪽으로 유입될 수 있다. 활성화되지 않은 암모니아와 같은 상기 반응 가스의 상기 활성화되지 않은 하나 또는 그 이상의 구성 성분들이 원하는 온도에서 상기 반응 챔버(502) 안으로 배달되도록 상기 트랜스퍼 튜브 가스 입구(512)에 인접한 상기 트랜스퍼 튜브의 부분들 및/또는 상기 트랜스퍼 튜브 가스 입구(512) 자체는 가열될 수 있다.
일부 실시예들에서, 예를 들면 상기 반응 챔버 가스 입구(508)를 통해 배달되기 전과 같이 상기 반응 챔버 안으로 배달되기 전의 예를 들어 상기 활성화된 반응 종들 및 상기 활성화되지 않은 반응 종들을 결합하여 상기 기판 표면은 상기 활성화된 반응 종들 및 상기 활성화되지 않은 반응 종들에 동시에 또는 실질적으로 동시에 노출될 수 있다. 일부 실시예들에서, 상기 기판 표면은 상기 활성화된 반응 종들 및/또는 상기 활성화되지 않은 반응 종들에 순차적으로 노출될 수 있다. 예를 들어, 상기 기판 표면은 우선 상기 반응 가스의 하나 또는 그 이상의 구성 성분들의 활성화된 반응 종들(예를 들면 원격 플라즈마 유닛에 의해 활성화된)에 노출되고, 그에 뒤따라서 상기 반응 가스의 상기 하나 또는 그 이상의 구성 성분들의 다른 하나의 제2 활성화된 또는 활성화되지 않은 반응 종들(예를 들면 원격 플라즈마 유닛에 의해 활성화되지 않은)에 노출될 수 있다. 일부 실시예들에서, 상기 기판 표면은 활성화되지 않은 반응 종들에 먼저 노출되고 그에 뒤따라서 활성화된 반응 종들에 노출될 수 있다. 상기 노출 시퀀스는 원하는 전-세정 공정 성능을 제공하기 위해 선택될 수 있다. 예를 들어, 기판 표면은 우선 원격 플라즈마 유닛에 의해 활성화된 불소 함유 가스 및 캐리어 가스에 노출되고, 그에 뒤따라 활성화되지 않은 수소 함유 가스(예를 들어, 암모니아) 및 불소 함유 가스의 조합에 노출될 수도 있고, 또는 우선 활성화되지 않은 수소 함유 가스에 노출된 후 활성화되지 않은 불소 함유 가스에 노출될 수 있다.
반응 종들은 상기 샤워헤드(518)를 통한 상기 반응 종들의 플로잉을 통해서 상기 서셉터(514) 상에 유지되는 상기 기판(516) 위에 분배될 수 있다. 일부 실시예들에서, 상기 기판(516)은 상기 전-세정 공정의 적어도 일부 동안에 상기 서셉터(514)로부터 원하는 위치로 올려질 수 있다. 일부 실시예들에서, 상기 기판(516)은 전-세정 물질 형성 공정의 적어도 일부 및/또는 전-세정 물질 제거 공정의 적어도 일부 동안에 높아진 포지션에 있을 수 있다(예를 들면, 상기 전-세정 물질의 승화를 위한 공정 동안).
상기 반응 챔버(502)는 반응 챔버(502)에 의해 처리되는 기판이 대기(ambient air)에 노출되지 않고 또는 실질적으로 노출되지 않고 제2 반응 챔버로 이송될 수 있도록 멀티 챔버 처리 시스템의 일부일 수도 있고, 아닐 수도 있다. 예를 들어, 상기 공정 챔버(502)는 클러스터 툴 시스템(cluster tool system)의 일부분일 수 있다.
본 발명은 특정 구현예들 및 실시예들의 맥락에서 제공되었지만, 본 발명은 구체적으로 설명된 실시예들을 넘어서 다른 대안적인 실시예들 및/또는 실시예들의 사용들 및 명백한 변형들 및 이의 등가물들에 확장될 수 있음은 당업자에게 자명할 것이다. 게다가, 발명의 실시예들의 여러가지 변형들이 도시되고 상세히 설명되었지만, 본 발명의 범주 내에 있는 다른 변형들은 본 발명에 기초하여 당업자에게 명백할 것이다. 또한 상기 실시예들의 특정한 특징들 및 관점들의 다양한 조합들 또는 서브 조합들은 본 발명의 범위 내에서 이루어질 수 있으며 여전히 포함될 수 있음이 고려된다. 본 발명의 실시예들의 다양한 모드들을 형성하기 위해 상기 개시된 실시예들의 다양한 특징들 및 관점들은 서로 결합되거나, 또는 대체될 수 있음이 이해되어야 한다. 따라서, 본 발명의 범위는 상술한 특정 실시예들에 의해 제한되지 않는 것이 의도된다.
여기서 제공되는 제목은 단지 편의를 위한 것이며 여기서 개시된 장치들 및 방법들의 범위 또는 의미에 영향을 미치지 않는다.
502: 반응 챔버
504: 원격 플라즈마 유닛
506: 트랜스퍼 튜브
508: 반응 챔버 가스 입구
510: 원격 플라즈마 유닛 가스 입구
512: 트랜스퍼 튜브 가스 입구
514: 서셉터
516: 기판
518: 샤워헤드

Claims (61)

  1. 기판의 표면으로부터 실리콘 산화물(silicon oxide) 물질을 제거하는 단계; 및
    그 후 제2 반응 챔버 안에서 상기 기판 상에 도전 물질을 퇴적시키는 단계;
    를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계;
    상기 할로겐 함유 물질을 가지는 상기 기판을 상기 제2 반응 챔버로 이송시키는 단계; 및
    상기 제2 반응 챔버 안에서 상기 할로겐 함유 물질을 승화(sublimating)시키는 단계;를 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는, 원격 플라즈마 유닛에 의해 생성된 플라즈마에 상기 할로겐 함유 물질을 노출시키는 단계를 포함하는 집적 회로 제조 방법.
  2. 제1 항에 있어서,
    상기 할로겐 함유 물질을 승화시키는 단계는 80 ℃ 또는 그 이상의 온도로 상기 기판의 상기 표면을 가열하는 단계를 포함하는 집적 회로 제조 방법.
  3. 제1 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계는,
    원격 플라즈마 유닛에 의해 활성화된 캐리어 가스; 및
    할로겐 함유 가스,
    를 상기 제1 반응 챔버로 유입시키는 단계를 포함하는 집적 회로 제조 방법.
  4. 제3 항에 있어서,
    상기 캐리어 가스는 비활성 가스를 포함하는 집적 회로 제조 방법.
  5. 제4 항에 있어서,
    상기 비활성 가스는 아르곤을 포함하는 집적 회로 제조 방법.
  6. 제3 항에 있어서,
    상기 원격 플라즈마 유닛을 통과하도록 상기 할로겐 함유 가스를 플로우(flow)시켜 상기 할로겐 함유 가스를 활성화시키는 단계를 더 포함하는 집적 회로 제조 방법.
  7. 제6 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계는, 그 후 플라즈마 유닛에 의해 활성화되지 않은 할로겐 함유 가스 및 플라즈마 유닛에 의해 활성화되지 않은 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입시키는 단계를 더 포함하는 집적 회로 제조 방법.
  8. 제7 항에 있어서,
    상기 활성화되지 않은 할로겐 함유 가스 및 상기 활성화되지 않은 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입하는 단계 이전에, 상기 활성화된 할로겐 함유 가스를 상기 제1 반응 챔버로부터 제거하는 단계를 더 포함하는 집적 회로 제조 방법.
  9. 제6 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계는, 그 후 플라즈마 유닛에 의해 활성화되지 않은 할로겐 함유 가스에 뒤따라 플라즈마 유닛에 의해 활성화되지 않은 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입하는 단계를 더 포함하는 집적 회로 제조 방법.
  10. 제9 항에 있어서,
    상기 활성화되지 않은 할로겐 함유 가스를 상기 제1 반응 챔버 안으로 유입시키는 단계 이전에, 상기 활성화된 할로겐 함유 가스를 상기 제1 반응 챔버로부터 제거하는 단계, 및
    상기 활성화되지 않은 수소 함유 가스를 유입시키는 단계 이전에, 상기 활성화되지 않은 할로겐 함유 가스를 상기 제1 반응 챔버로부터 제거하는 단계를 더 포함하는 집적 회로 제조 방법.
  11. 제3 항에 있어서,
    상기 할로겐 함유 가스는 불소 함유 가스를 포함하는 집적 회로 제조 방법.
  12. 제11 항에 있어서,
    상기 불소 함유 가스는 삼플루오르화질소(nitrogen trifluoride)를 포함하는 집적 회로 제조 방법.
  13. 제11 항에 있어서,
    상기 불소 함유 가스는 플루오르화수소(hydrogen fluoride) 및 이원자 불소(diatomic fluorine) 중 적어도 하나를 포함하는 집적 회로 제조 방법.
  14. 제3 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계는 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입시키는 단계를 더 포함하는 집적 회로 제조 방법.
  15. 제14 항에 있어서,
    상기 원격 플라즈마 유닛을 통과하도록 상기 수소 함유 가스 및 상기 할로겐 함유 가스 중 적어도 하나를 플로우시켜 상기 수소 함유 가스 및 상기 할로겐 함유 가스 중 적어도 하나를 활성화시키는 단계를 더 포함하는 집적 회로 제조 방법.
  16. 제14 항에 있어서,
    상기 수소 함유 가스는 암모니아를 포함하는 집적 회로 제조 방법.
  17. 제14 항에 있어서,
    상기 수소 함유 가스를 상기 제1 반응 챔버 안으로 유입시키는 단계는,
    원격 플라즈마 유닛 및 상기 제1 반응 챔버 사이의 트랜스퍼 튜브(transfer tube)를 통과하도록 상기 수소 함유 가스를 플로우시키는 단계; 및
    상기 트랜스퍼 튜브의 적어도 일부 부분을 30 ℃ 내지 120 ℃의 온도로 가열하는 단계;
    를 포함하는 집적 회로 제조 방법.
  18. 제17 항에 있어서,
    상기 수소 함유 가스 및 상기 할로겐 함유 가스를 3:1 내지 10:1의 몰비(molar ratio)로 상기 제1 반응 챔버에 유입시키는 단계를 더 포함하는 집적 회로 제조 방법.
  19. 제1 항에 있어서,
    상기 할로겐 함유 물질은 헥사플루오로규산암모늄((NH4)2SiF6)을 포함하는 집적 회로 제조 방법.
  20. 제1 항에 있어서,
    상기 할로겐 함유 물질을 승화시키는 단계는 상기 할로겐 함유 물질을 가열된 가스에 노출시키는 단계를 포함하고,
    상기 가열된 가스는 150 ℃보다 높은 온도로 가열되는 집적 회로 제조 방법.
  21. 제1 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계 동안 상기 기판을 21 ℃ 내지 28 ℃의 온도로 유지시키는 단계를 더 포함하는 집적 회로 제조 방법.
  22. 제1 항에 있어서,
    상기 제2 반응 챔버는 에피택셜(epitaxial) 퇴적 챔버를 포함하고 상기 도전 물질을 퇴적시키는 단계는 실리콘의 에피택셜 퇴적을 수행하는 단계를 포함하는 집적 회로 제조 방법.
  23. 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계; 및
    그 후 제2 반응 챔버 안에서 상기 기판의 상기 표면 상에 도전 물질을 퇴적시키는 단계;를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계;
    상기 할로겐 함유 물질을 가지는 상기 기판을 상기 제2 반응 챔버로 이송시키는 단계; 및
    상기 제2 반응 챔버 안에서 상기 할로겐 함유 물질을 승화시키는 단계를 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는 80 ℃ 내지 100 ℃의 온도로 상기 기판의 상기 표면을 가열하는 단계를 포함하고,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 6:1 내지 60:1의 선택비로 상기 실리콘 산화물 물질을 제거하는 단계를 포함하는 집적 회로 제조 방법.
  24. 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계; 및
    그 후 제2 반응 챔버 안에서 상기 기판의 상기 표면 상에 도전 물질을 퇴적시키는 단계를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계;
    상기 할로겐 함유 물질을 가지는 상기 기판을 상기 제2 반응 챔버로 이송시키는 단계; 및
    상기 제2 반응 챔버 안에서 상기 할로겐 함유 물질을 승화시키는 단계를 포함하고,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 7:1 내지 60:1의 선택비(selectivity)로 상기 실리콘 산화물 물질을 선택적으로 제거하는 단계를 포함하는 집적 회로 제조 방법.
  25. 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계;
    그 후 제2 반응 챔버 안에서 상기 기판의 상기 표면 상에 도전 물질을 퇴적시키는 단계;를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계;
    상기 할로겐 함유 물질을 가지는 상기 기판을 상기 제2 반응 챔버로 이송시키는 단계; 및
    상기 제2 반응 챔버 안에서 상기 할로겐 함유 물질을 승화시키는 단계를 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는 상기 할로겐 함유 물질을 자외선 방사(ultra-violet radiation)에 노출시키는 단계를 포함하는 집적 회로 제조 방법.
  26. 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 제1 할로겐 함유 물질을 퇴적시키는 단계;
    상기 실리콘 산화물 물질의 제1 부분을 제거하기 위하여 상기 제1 반응 챔버 안에서 상기 제1 할로겐 함유 물질을 승화시키는 단계;
    상기 실리콘 산화물 물질의 제2 부분을 제거하기 위하여 상기 제1 반응 챔버 안에서 상기 기판의 상기 표면 상에 제2 할로겐 함유 물질을 퇴적시키는 단계; 및
    상기 제2 할로겐 함유 물질을 승화시키는 단계를 포함하고,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고,
    상기 실리콘 산화물 물질의 상기 제1 부분을 제거하는 단계는 상기 실리콘 질화물에 대하여 7:1 내지 20:1의 선택비로 상기 실리콘 산화물 물질의 상기 제1 부분을 선택적으로 제거하는 단계를 포함하는 집적 회로 제조 방법.
  27. 제26 항에 있어서,
    상기 제2 할로겐 함유 물질을 가지는 상기 기판을 제2 반응 챔버 안으로 이송시키는 단계를 더 포함하는 집적 회로 제조 방법.
  28. 제27 항에 있어서,
    상기 제2 반응 챔버 안에서 상기 제2 할로겐 함유 물질을 승화시키는 단계를 더 포함하는 집적 회로 제조 방법.
  29. 제27 항에 있어서,
    상기 제2 반응 챔버 안에서 상기 기판의 상기 표면 상에 도전 물질을 퇴적시키는 단계를 더 포함하는 집적 회로 제조 방법.
  30. 제29 항에 있어서,
    상기 도전 물질을 퇴적시키는 단계는 실리콘의 에피택셜 퇴적을 수행하는 단계를 포함하는 집적 회로 제조 방법.
  31. 제26 항에 있어서,
    상기 제2 할로겐 함유 물질을 승화시키는 단계는 상기 실리콘 질화물에 대하여 10:1 내지 100:1의 선택비로 상기 실리콘 산화물 물질의 상기 제2 부분을 선택적으로 제거하는 단계를 포함하는 집적 회로 제조 방법.
  32. 제31 항에 있어서,
    상기 제2 할로겐 함유 물질을 승화시키는 단계는 상기 실리콘 질화물에 대하여 40:1 내지 100:1의 선택비로 상기 실리콘 산화물 물질의 상기 제2 부분을 선택적으로 제거하는 단계를 포함하는 집적 회로 제조 방법.
  33. 제26 항에 있어서,
    상기 제1 할로겐 함유 물질을 퇴적시키는 단계 및 상기 제2 할로겐 함유 물질을 퇴적시키는 단계 중 적어도 하나는 캐리어 가스를 상기 제1 반응 챔버로 유입시키는 단계를 포함하는 집적 회로 제조 방법.
  34. 제33 항에 있어서,
    원격 플라즈마 유닛을 통과하도록 상기 캐리어 가스를 플로우(flow)시켜 상기 캐리어 가스를 활성화시키는 단계를 더 포함하는 집적 회로 제조 방법.
  35. 제34 항에 있어서,
    상기 제1 할로겐 함유 물질을 퇴적시키는 단계 및 상기 제2 할로겐 함유 물질을 퇴적시키는 단계는 상기 제1 반응 챔버 안으로 할로겐 함유 가스 및 수소 함유 가스를 유입시키는 단계를 포함하는 집적 회로 제조 방법.
  36. 제35 항에 있어서,
    상기 원격 플라즈마 유닛을 통과하도록 상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 적어도 하나를 플로우시켜 상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 적어도 하나를 활성화시키는 단계를 더 포함하는 집적 회로 제조 방법.
  37. 제36 항에 있어서,
    상기 할로겐 함유 가스는 삼플루오르화질소(nitrogen trifluoride), 플루오르화수소(hydrogen fluoride), 및 이원자 불소(diatomic fluorine) 중 적어도 하나를 포함하고,
    상기 수소 함유 가스는 암모니아를 포함하고,
    상기 캐리어 가스는 아르곤을 포함하는 집적 회로 제조 방법.
  38. 제37 항에 있어서,
    상기 제1 할로겐 함유 물질 및 상기 제2 할로겐 함유 물질 중 적어도 하나는 헥사플루오로규산암모늄((NH4)2SiF6)을 포함하는 집적 회로 제조 방법.
  39. 제26 항에 있어서,
    상기 제1 할로겐 함유 물질 및 상기 제2 할로겐 함유 물질은 동일한 물질을 포함하는 집적 회로 제조 방법.
  40. 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계; 및
    상기 할로겐 함유 물질을 승화시키는 단계를 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는 100 ℃보다 낮은 온도로 상기 기판의 상기 표면을 가열하는 단계를 포함하고,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는 상기 실리콘 질화물에 대하여 6:1 내지 60:1의 선택비로 상기 실리콘 산화물 물질을 제거하는 단계를 포함하는 집적 회로 제조 방법.
  41. 제40 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계는 할로겐 함유 가스 및 수소 함유 가스를 플로우시키는 단계를 포함하는 집적 회로 제조 방법.
  42. 제41 항에 있어서,
    상기 수소 함유 가스는 암모니아를 포함하는 집적 회로 제조 방법.
  43. 제41 항에 있어서,
    상기 할로겐 함유 가스는 삼플루오르화질소(nitrogen trifluoride), 플루오르화수소(hydrogen fluoride), 및 플루오르(fluorine) 가스 중 하나 이상을 포함하는 집적 회로 제조 방법.
  44. 제41 항에 있어서,
    상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 적어도 하나를 활성화시키는 단계를 더 포함하고,
    상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 상기 적어도 하나를 활성화시키는 단계는 원격 플라즈마 소스를 통과하도록 상기 할로겐 함유 가스 및 상기 수소 함유 가스 중 적어도 하나를 플로우시키는 단계를 포함하는 집적 회로 제조 방법.
  45. 제44 항에 있어서,
    상기 수소 함유 가스가 없이 상기 할로겐 함유 가스를 상기 원격 플라즈마 소스를 통과하도록 플로우시키는 단계; 및
    비활성 가스를 상기 원격 플라즈마 소스를 통과하도록 플로우시키는 단계를 더 포함하는 집적 회로 제조 방법.
  46. 삭제
  47. 제40 항에 있어서,
    상기 할로겐 함유 물질을 퇴적시키는 단계 이후에, 상기 기판을 제2 반응 챔버로 이송시키는 단계를 더 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는 상기 제2 반응 챔버 안에서 상기 할로겐 함유 물질을 승화시키는 단계를 포함하는 집적 회로 제조 방법.
  48. 제47 항에 있어서,
    상기 할로겐 함유 물질을 승화시키는 단계 이후에, 상기 제2 반응 챔버 안에서 상기 기판의 상기 표면 상에 도전 물질을 퇴적시키는 단계를 더 포함하는 집적 회로 제조 방법.
  49. 제48 항에 있어서,
    상기 도전 물질은 실리콘의 에피택셜층인 집적 회로 제조 방법.
  50. 기판의 표면으로부터 실리콘 산화물 물질을 제거하는 단계를 포함하고,
    상기 실리콘 산화물 물질을 제거하는 단계는
    반응 챔버 안에서 상기 기판의 상기 표면 상에 할로겐 함유 물질을 퇴적시키는 단계; 및
    상기 할로겐 함유 물질을 승화시키는 단계를 포함하고,
    상기 할로겐 함유 물질을 퇴적시키는 단계는 원격 플라즈마 소스에 의해 활성화된 반응물 가스에 상기 기판의 상기 표면을 노출시키는 단계를 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는 80 ℃ 내지 100 ℃의 온도로 상기 기판의 상기 표면을 가열하는 단계를 포함하고,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고,
    상기 할로겐 함유 물질을 승화시키는 단계는 상기 실리콘 질화물에 대하여 7:1 내지 15:1의 선택비로 상기 실리콘 산화물 물질을 제거하는 단계를 포함하는 집적 회로 제조 방법.
  51. 제50 항에 있어서,
    상기 원격 플라즈마 소스에 의해 활성화된 반응물 가스에 상기 기판의 상기 표면을 노출시키는 단계는 상기 원격 플라즈마 소스를 통과하도록 할로겐 함유 가스 및 수소 함유 가스 중 적어도 하나를 플로우시키는 단계를 포함하는 집적 회로 제조 방법.
  52. 제51 항에 있어서,
    상기 할로겐 함유 가스는 삼플루오르화질소(nitrogen trifluoride)를 포함하고, 상기 수소 함유 가스는 암모니아를 포함하는 집적 회로 제조 방법.
  53. 제51 항에 있어서,
    상기 원격 플라즈마 소스를 통과하도록 비활성 가스를 플로우시키는 단계를 더 포함하는 집적 회로 제조 방법.
  54. 제53 항에 있어서,
    상기 비활성 가스는 아르곤을 포함하는 집적 회로 제조 방법.
  55. 제53 항에 있어서,
    상기 원격 플라즈마 소스에 의해 활성화된 상기 반응물 가스에 상기 기판의 상기 표면을 노출시키는 단계는 상기 원격 플라즈마 소스를 통과하도록 상기 수소 함유 가스 없이 상기 할로겐 함유 가스를 플로우시키는 단계를 포함하는 집적 회로 제조 방법.
  56. 제51 항에 있어서,
    상기 원격 플라즈마 소스를 통과하도록 상기 할로겐 함유 가스 및 상기 수소 함유 가스를 3:1 내지 10:1의 몰비로 플로우시키는 단계를 더 포함하는 집적 회로 제조 방법.
  57. 삭제
  58. 제50 항에 있어서,
    상기 실리콘 산화물 물질을 제거하는 단계는
    상기 할로겐 함유 물질을 승화시키는 단계 이후에 제2 할로겐 함유 물질을 퇴적시키는 단계; 및
    상기 제2 할로겐 함유 물질을 승화시키는 단계를 더 포함하는 집적 회로 제조 방법.
  59. 제58 항에 있어서,
    상기 기판의 상기 표면은 실리콘 질화물을 더 포함하고,
    상기 제2 할로겐 함유 물질을 승화시키는 단계는 상기 실리콘 질화물에 대하여 30:1 내지 150:1의 선택비로 상기 실리콘 산화물 물질을 제거하는 단계를 포함하는 집적 회로 제조 방법.
  60. 제58 항에 있어서,
    상기 제2 할로겐 함유 물질을 퇴적시키는 단계 이후에, 상기 기판을 제2 반응 챔버로 이송시키는 단계를 더 포함하고,
    상기 제2 할로겐 함유 물질을 승화시키는 단계는 상기 제2 반응 챔버 안에서 상기 제2 할로겐 함유 물질을 승화시키는 단계를 포함하는 집적 회로 제조 방법.
  61. 제60 항에 있어서,
    상기 제2 할로겐 함유 물질을 승화시키는 단계 이후에, 상기 제2 반응 챔버 안에서 상기 기판 상에 실리콘의 에피택셜층을 퇴적시키는 단계를 더 포함하는 집적 회로 제조 방법.
KR1020150037539A 2014-03-19 2015-03-18 플라즈마 전-세정 모듈 및 공정 KR102167162B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/220,001 US9299557B2 (en) 2014-03-19 2014-03-19 Plasma pre-clean module and process
US14/220,001 2014-03-19

Publications (2)

Publication Number Publication Date
KR20150109288A KR20150109288A (ko) 2015-10-01
KR102167162B1 true KR102167162B1 (ko) 2020-10-19

Family

ID=52780801

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150037539A KR102167162B1 (ko) 2014-03-19 2015-03-18 플라즈마 전-세정 모듈 및 공정

Country Status (4)

Country Link
US (2) US9299557B2 (ko)
EP (1) EP2922083B1 (ko)
KR (1) KR102167162B1 (ko)
TW (1) TWI641046B (ko)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299557B2 (en) * 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR20180081158A (ko) * 2015-12-04 2018-07-13 어플라이드 머티어리얼스, 인코포레이티드 InGaAs(또는 Ⅲ-Ⅴ) 기판들을 세정하기 위한 방법들 및 해법들
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
WO2018052475A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102003361B1 (ko) * 2017-09-19 2019-07-24 무진전자 주식회사 인시튜 건식 세정 방법 및 장치
KR101981738B1 (ko) * 2017-09-19 2019-05-27 무진전자 주식회사 기판 처리 방법 및 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10535736B2 (en) 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10879124B2 (en) * 2017-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a fully strained channel region
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102003362B1 (ko) * 2017-11-30 2019-10-17 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 장치 및 방법
KR102018075B1 (ko) * 2017-11-30 2019-09-04 무진전자 주식회사 폴리 실리콘을 선택적으로 제거하는 건식 세정 장치 및 방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
KR102140722B1 (ko) * 2018-08-22 2020-08-04 무진전자 주식회사 대기압 플라즈마와 스팀을 이용한 건식 세정 장치 및 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102044763B1 (ko) * 2018-08-22 2019-11-15 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020150100A1 (en) * 2019-01-18 2020-07-23 Tokyo Electron Limited Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102179717B1 (ko) * 2019-05-17 2020-11-17 무진전자 주식회사 플라즈마와 증기를 이용한 건식 세정 장치
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
JP7451436B2 (ja) * 2020-02-14 2024-03-18 芝浦メカトロニクス株式会社 成膜装置及び成膜装置の水分除去方法
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11373905B2 (en) * 2020-09-01 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device pre-cleaning
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101190148B1 (ko) * 2006-10-04 2012-10-12 가부시키가이샤 아루박 막 형성 방법 및 막 형성 장치

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
JP2626913B2 (ja) * 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
FR2675309A1 (fr) * 1991-03-22 1992-10-16 Siemens Ag Procede pour eliminer localement des couches isolantes transparentes aux ultraviolets, situees sur un substrat semiconducteur.
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW406861U (en) 1994-07-28 2000-09-21 Semiconductor Energy Lab Laser processing system
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6077353A (en) 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7132372B2 (en) 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US8551880B2 (en) 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
CN101459071B (zh) * 2007-12-13 2010-12-22 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009194216A (ja) 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US8632691B2 (en) 2012-05-18 2014-01-21 Peking University Interface treatment method for germanium-based device
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8901677B2 (en) 2013-03-08 2014-12-02 Intermolecular, Inc. Nucleation interface for high-k layer on germanium
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9299557B2 (en) * 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101190148B1 (ko) * 2006-10-04 2012-10-12 가부시키가이샤 아루박 막 형성 방법 및 막 형성 장치

Also Published As

Publication number Publication date
TWI641046B (zh) 2018-11-11
KR20150109288A (ko) 2015-10-01
EP2922083A2 (en) 2015-09-23
EP2922083A3 (en) 2015-12-16
US20160254137A1 (en) 2016-09-01
US9299557B2 (en) 2016-03-29
US9514927B2 (en) 2016-12-06
EP2922083B1 (en) 2018-10-24
US20150270122A1 (en) 2015-09-24
TW201537638A (zh) 2015-10-01

Similar Documents

Publication Publication Date Title
KR102167162B1 (ko) 플라즈마 전-세정 모듈 및 공정
KR102358262B1 (ko) 게르마늄 산화물 전-세정 모듈 및 프로세스
CN111247269B (zh) 介电膜的几何选择性沉积
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
US11264255B2 (en) Pre-clean chamber and process with substrate tray for changing substrate temperature
KR102206927B1 (ko) 금속을 함유하는 화합물들을 에칭하기 위한 다단계 방법 및 장치
TWI588887B (zh) 磊晶鍺錫合金表面處理的方法
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
WO2006088062A1 (ja) 半導体デバイスの製造方法および基板処理装置
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
CN110832625B (zh) 包括多种金属氧化物的金属膜的选择性干式蚀刻
KR102399664B1 (ko) 성막 방법, 성막 처리용의 처리 용기의 클리닝 방법 및 성막 장치
JP6109852B2 (ja) 基板上に第iii−v族層を堆積させる方法
US20170170018A1 (en) Conformal doping using dopant gas on hydrogen plasma treated surface
CN111095481B (zh) 使用保形掺杂物膜沉积在3d结构中的保形卤素掺杂
JP2008187190A5 (ko)

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant