TWI553824B - 具有再分配線的堆疊式積體電路以及其形成方法 - Google Patents

具有再分配線的堆疊式積體電路以及其形成方法 Download PDF

Info

Publication number
TWI553824B
TWI553824B TW103138143A TW103138143A TWI553824B TW I553824 B TWI553824 B TW I553824B TW 103138143 A TW103138143 A TW 103138143A TW 103138143 A TW103138143 A TW 103138143A TW I553824 B TWI553824 B TW I553824B
Authority
TW
Taiwan
Prior art keywords
substrate
metal pad
opening
conductive plug
dielectric layers
Prior art date
Application number
TW103138143A
Other languages
English (en)
Other versions
TW201605012A (zh
Inventor
何承穎
林政賢
許文義
洪豐基
楊敦年
蔡映麟
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201605012A publication Critical patent/TW201605012A/zh
Application granted granted Critical
Publication of TWI553824B publication Critical patent/TWI553824B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0756Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02377Fan-in arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/2405Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/24146Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/24147Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the HDI interconnect not connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted, e.g. the upper semiconductor or solid-state body being mounted in a cavity or on a protrusion of the lower semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/32146Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the layer connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73227Wire and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82009Pre-treatment of the connector or the bonding area
    • H01L2224/8203Reshaping, e.g. forming vias
    • H01L2224/82031Reshaping, e.g. forming vias by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

具有再分配線的堆疊式積體電路以及其形成方法
本揭露涉及具有再分配線的堆疊式積體電路。
由於各種電子元件(例如,電晶體、二極體、電阻、電容等)集成密度的持續改進,半導體工業經歷了快速發展。在很大程度上,這種集成密度的改進來自不斷減少最小特徵尺寸(例如,將半導體工藝節點收縮至亞20nm節點),從而允許在給定的區域集成更多的元件。隨著近來對小型化、更高速度和更大帶寬以及更低功率消耗和延遲的需求之增長,更小和更具創造性的半導體晶粒封裝技術的需要也已增加。
隨著半導體技術的進一步發展,作為一種有效替換,堆疊式半導體裝置已經出現,以進一步減少半導體裝置的物理尺寸。在堆疊式半導體裝置中,在不同的半導體晶圓上形成有源電路,如邏輯電路、存儲器、處理器電路等。兩個或更複數個半導體晶圓安置在另一個晶圓之上以進一步減少半導體裝置的形狀係數。
兩個半導體晶圓可通過合適的結合技術結合在一起。通常使用的結合技術包括直接結合、化學活化結合、電漿活化結合、陽極結 合、共晶結合、玻璃粉結合、粘接、熱壓縮結合、反應結合和/或其他。一旦兩個半導體晶圓結合在一起,這兩個半導體晶圓之間的交界面可提供堆疊式半導體裝置晶圓之間的導電通路。
堆疊式半導體裝置之優勢特點是:通過使用堆疊式半導體裝置可實現高得多的密度。而且,堆疊式半導體裝置可實現更小的形狀係數、高成本效益、提升的性能和更低的功耗。
根據本發明的一些實施例,積體電路結構包括第一半導體晶圓和第二半導體晶圓。第一半導體晶圓包括第一基底和在第一基底下面的第一複數個介電層。第二半導體晶圓包括第二基底和在第二基底之上的第二複數個介電層,其中第一複數個介電層結合到第二複數個介電層。金屬墊在第二複數個介電層中。再分配線在第一基底之上。導電插塞在再分配線的下面並電耦合到該再分配線。導電插塞包括自第一基底的頂表面延伸至第一基底的底表面的第一部分以及自第一基底的底表面延伸至金屬墊的第二部分。第二部分的底表面接觸金屬墊的頂表面。第一部分和第二部分形成連續區域。
根據本發明之可替換實施例,積體電路結構包括第一半導體晶圓和第二半導體晶圓。第一半導體晶圓包括第一基底、第一複數個介電層和在第一複數個介電層的一層中的第一金屬墊。第二半導體晶圓包括第二基底和第二基底之上的第二複數個介電層。第一複數個介電層的底層結合到第二複數個介電層的頂層。第二半導體晶圓進一步包括在第二複數個介電層的一層中的第二金屬墊。導電插塞將第一金屬墊電耦合到第二金屬墊。導電插塞包括自第一基底的頂表面延伸至第一金屬墊的頂表面的第一部分,以及自第一金屬墊的頂表面延伸至第二金屬墊的頂表面的第二部分。第二部分的邊緣與第一金屬墊的側 壁實質地接觸。再分配線在第一基底之上,其中該再分配線電耦合到導電插塞。
根據本發明之又一可替換實施例,一種方法包括:將第一晶片結合到第二晶片,其中第一晶片中的第一複數個介電層結合到第二晶片中的第二複數個介電層。在第一晶片的第一基底形成第一貫通開口。通過第一開口蝕刻第一複數個介電層以及第二複數個介電層以形成第二開口。第二複數個介電層的金屬墊暴露於第二開口。填充導電材料以在第一開口和第二開口形成導電插塞。在該第一基底之上形成介電層。形成再分配線。該再分配線包括在介電層之上的部分。該再分配線通過介電層中的開口電耦合到導電插塞。
102‧‧‧第一基底
103‧‧‧電子電路
104‧‧‧金屬間介電層
106‧‧‧金屬墊
106A‧‧‧金屬墊
106B‧‧‧金屬墊
108‧‧‧金屬墊
110‧‧‧半導體晶圓
110'‧‧‧晶片
113‧‧‧介電層
114‧‧‧開口
114A‧‧‧開口
116‧‧‧開口
117‧‧‧掩模
118‧‧‧開口
118A‧‧‧開口
118A1‧‧‧開口
118A2‧‧‧開口
118B‧‧‧開口
118B1‧‧‧開口
118B2‧‧‧開口
120‧‧‧開口
122‧‧‧導電插塞
122A‧‧‧導電插塞
122A1‧‧‧導電插塞的第一部分
122A2‧‧‧導電插塞的第二部分
122A3‧‧‧導電插塞的第三部分
122B‧‧‧導電插塞
122B1‧‧‧導電插塞的第一部分
122B2‧‧‧導電插塞的第二部分
122B3‧‧‧導電插塞的第三部分
122C‧‧‧導電插塞
123‧‧‧導電阻擋層
124‧‧‧導電插塞
124A‧‧‧導電插塞的部分
124B‧‧‧介電層的部分
126‧‧‧蝕刻阻止層
127‧‧‧金屬材料
128‧‧‧介電層
130‧‧‧開口
130A‧‧‧開口
130B‧‧‧開口
132‧‧‧開口
134‧‧‧再分配線(RDL)
134A‧‧‧再分配線(RDL)
134A1‧‧‧通孔
134A2‧‧‧RDL墊
134A3‧‧‧痕跡部分
134B‧‧‧RDL
134C‧‧‧RDL
136A‧‧‧結合球
136B‧‧‧結合球
138A‧‧‧金屬線
138B‧‧‧金屬線
140‧‧‧金屬線
142‧‧‧通孔
144‧‧‧金屬線
146‧‧‧通孔
148‧‧‧介電層
150‧‧‧蝕刻阻止層
152‧‧‧掩模層
202‧‧‧第二基底
204‧‧‧金屬間介電層
206‧‧‧金屬墊
206A‧‧‧金屬墊
206B‧‧‧金屬墊
210‧‧‧晶圓
210'‧‧‧晶圓
310‧‧‧封裝件
W1‧‧‧寬度
W2‧‧‧寬度
W3‧‧‧寬度
W4‧‧‧寬度
W5‧‧‧寬度
當閱讀隨附的附圖時,從以下詳細的描述可以最清楚地理解本發明的各個方面。需要強調的是,根據本行業的標準做法,不是按比例繪製各個特徵。事實上,各個特徵的尺寸可以任意增大或減小以便進行清楚的討論。
圖1到圖7是根據一些示例性實施例的形成封裝件的中間階段的截面圖,該封裝件包括堆疊式晶粒並包括連接兩個晶片的互聯結構,其中使用金屬硬掩模;圖8和圖9是根據另一些實施例的形成封裝件的中間階段的截面圖,該封裝件包括堆疊式晶粒並包括連接兩個晶片的互聯結構,其中使用金屬硬掩模;圖10到圖17是根據一些示例性實施例的形成封裝件的中間階段的截面圖,該封裝件包括堆疊式晶粒並包括連接兩個晶片的互聯結構,其中未使用金屬硬掩模;圖18和圖19是根據另一些實施例的形成封裝件的中間階段的截 面圖,該封裝件包括堆疊式晶粒並包括連接兩個晶片的互聯結構,其中未使用金屬硬掩模;以及圖20A到圖20D是根據本發明各種實施例的各種金屬墊的俯視圖,其中該金屬墊用來形成導電插塞。
如下公開提供了很多不同的實施例或示例,用於實施本發明的不同特徵。如下描述了元件和佈置的具體示例,以簡化本發明。當然,它們僅僅是示例,並不是旨在限制本發明。例如,以下描述中在第二特徵之上或在第二特徵上形成第一特徵可以包括形成直接接觸的第一特徵和第二特徵的實施例,還可以包括在第一特徵和第二特徵之間可以形成附加特徵從而使得第一特徵和第二特徵可以不直接接觸的實施例。此外,本公開可以在各個示例中重複使用附圖標記和/或字母。這種重複使用用於簡化和清楚的目的,其本身並不表明所述的各個實施例和/或配置之間的關係。
而且,空間關係術語,例如“之下”、“下方”、“下面”、“之上”、“上方”等,在此用於簡化描述附圖所示的一個單元和特徵對另一個單元或特徵的關係。除了附圖中描寫的方向,空間關係術語旨在包含使用或操作的裝置的不同方向。設備可以以其他方式定向(旋轉90度或者在其他方向),並可以據此同樣地解釋本文所使用的空間關係描述語。
根據各種示例性實施例提供包括堆疊式晶粒/晶片和將堆疊式晶片互聯的互聯結構之封裝件及其形成方法。示出了形成互聯結構的中間階段。討論實施例的各種變形。貫穿各種示圖和說明性實施例,類似的參考編號用來指明類似的元件。
圖1至圖7是根據一些實施例的結合和形成再分配線 (Redistribution Lines,RDL)的中間階段的截面圖。圖1是根據本發明一些實施例的晶圓110和210彼此結合的截面圖。第一半導體晶圓110和第二半導體晶圓210都包括半導體基底(例如,第一基底102和第二基底202)以及在半導體基底上形成的複數個互聯結構(例如,金屬墊106A、106B、108、206A、206B和208)。
如圖1所示,第一半導體晶圓110包括第一基底102和位於第一基底102之下的複數個金屬間介電層104。此外,在每個介電層104中形成複數個金屬線(示意性示出),金屬通孔和導電插塞(未示出)互聯複數個金屬線。根據一些實施例,金屬墊106(包括106A和106B)在金屬間介電層104中形成。儘管圖1示出金屬墊106在金屬間介電層104的中間層中形成,但是金屬墊106可在金屬間介電層104的任意一層中形成。金屬墊108也可在介電層104中形成。根據一些實施例,金屬墊106(包括106A和106B)和108在相同金屬層中形成。
第一基底102可由矽形成,儘管它也可由其他第III族、第IV族和第V族元素形成,例如矽、鍺、鎵、砷或其組合。而且,可使用的其他基底包括多層基底、梯度基底、混合取向基底,或它們的組合。
第一晶圓110和第二晶圓210可進一步包括各種電子電路103和203(以圖7所示為例)。在第一基底102上形成的電子電路103可以是適合特定應用的任意類型的電路。根據一些實施例,電子電路103可包括各種N型金屬-氧化物-半導體(NMOS)和/或P型金屬-氧化物-半導體(PMOS)裝置、電容、電阻、二極體、光電二極體、熔絲和/或諸如此類。
電子電路103可互聯以執行一個或複數個功能。電子電路103可包括記憶體裝置、處理結構、感測器、放大器、功率分配器、輸入/輸出電路和/或諸如此類。本領域普通技術人員會理解上面的例子是用於說明性的目的,而並不是旨在將各種實施例限制於任一特定應 用。
可通過任一合適的形成工藝(例如,利用蝕刻的平版印刷術、單鑲嵌、雙鑲嵌等)製作金屬墊106,並可使用合適的導電材料(例如,銅、鋁、鋁合金、銅合金等)形成金屬墊106。圖20A到圖20D示出了金屬墊106的一些示例性俯視圖,其示出了金屬墊106形成具有開口的環。因此,金屬墊106A和106B每一者的兩個示例性部分(圖1)是集成之金屬墊的部分。
如圖1所示,第一半導體晶圓110堆疊在第二半導體晶圓210之上。半導體晶圓210也可包括電路203(以圖7所示為例),其可具有針對電路103討論的任何裝置。第一半導體晶圓110和第二半導體晶圓210通過合適的結合技術(例如,氧化物到氧化物的結合)結合在一起。根據一些實施例,在氧化物到氧化物結合過程中,半導體晶圓110和210的表面層是氧化層(例如,二氧化矽),其通過熔融結合彼此結合在一起。
圖2示出了在薄化和蝕刻第一基底102後圖1所示的半導體裝置的截面圖。在本文的整個描述中,第一基底102背對晶圓210的一面被稱為基底102的背面。基底102的背面被磨薄從而去除第一基底102的後面部分(在圖1用虛線示出)。最終的基底102之厚度小於大約5μm。
在薄化基底102後,使用合適的沉積和光刻技術在第一基底102上形成諸如光阻(未示出)的圖案化掩模。合適的蝕刻工藝,例如反應離子蝕刻(RIE)或任何其他合適的各向異性蝕刻或圖案化形成工藝,可應用於第一半導體晶圓110的基底102。這樣,在第一基底102中形成複數個貫通開口104(包括114A和114B)和116。
圖3是根據本發明之各種實施例的在半導體結構上沉積介電層113之後圖2所示的半導體裝置的截面圖。如圖3所示,開口114和116的底部和側壁上形成介電層113。介電層113作為共形層而形成,該共形層 的水平部分和垂直部分的厚度彼此接近。
可由積體電路製造中使用的各種介電材料來形成介電層113。例如,可由二氧化矽、氮化矽、氧氮化矽、碳化矽等來形成介電層113。此外,前述介電材料的組合也可用來形成介電層113。根據一些實施例,可使用諸如化學氣相沉積(CVD)方法或原子層沉積(ALD)之合適技術來形成介電層113。介電層113的厚度可在大約1kÅ至大約3kÅ的範圍內。
圖4是根據本發明之各種實施例在半導體裝置上形成掩模117層後,圖3所示的半導體裝置之截面圖。圖案化掩模117延伸至開口114和116(如圖3所示)。如圖4所示,在圖案化掩模117沿開口114和116的側壁形成之後,形成開口118(包括118A和118B)和120。圖案化掩模117可以是光阻層。
圖4也示出根據本發明之各種實施例的在蝕刻過程應用於半導體裝置之後,半導體裝置的截面圖。執行合適的蝕刻過程(例如,乾蝕刻)以形成開口118和120。開口118和120分別是開口114和116上疊加的延伸部分。
開口118的俯視尺寸等於或略微大於位於金屬墊106之下的相應開口的俯視尺寸。開口的形成歷經兩個蝕刻階段。在第一個蝕刻階段,蝕刻金屬墊106上的介電層104的部分,形成開口118A1和118B1。在此期間,開口120也同時形成。第一蝕刻階段結束於暴露金屬墊106A、106B和108時。選擇具有很低蝕刻速率之腐蝕氣體來蝕刻金屬墊106A、106B和108。因此,金屬墊106A、106B和108起著金屬硬掩模的作用來阻止蝕刻過程。儘管蝕刻速率很低,金屬墊106和108仍然被部分地蝕刻掉,從而在金屬墊106和108的暴露部分形成凹槽。在蝕刻金屬墊106的過程中,金屬墊106中的金屬原子可濺射到介電層104的側壁。因此,凹槽502的深度被控制得儘量小,以減少不需要的金 屬原子濺射到介電層104的側壁。
在第二蝕刻階段,金屬墊106和108的暴露部分作為蝕刻阻止層來阻止蝕刻。因此,蝕刻在金屬墊108處終止。另一方面,通過金屬墊106A和106B的開口繼續蝕刻,且蝕刻對準金屬墊106A和106B的開口的介電層104和204。開口118A2和118B2因此形成,從晶圓110延伸至晶圓210。當暴露金屬墊206(包括206A和206B)時蝕刻結束,金屬墊206作為第二蝕刻階段的蝕刻阻止層。在蝕刻之後,去除圖案化掩模117。
圖5示出根據本發明各種實施例的導電材料已被填入開口114、116、118和120之後的截面圖。從而,形成了導電插塞122和124。因為導電插塞122穿透晶圓110,其在下文中還可稱為貫通孔。在一些實施例中,導電插塞122和124的形成包括形成共形導電阻擋層123。導電阻擋層123和上面覆蓋的填充金屬材料127示意性地示出在圖7的導電插塞122A中,儘管在其他實施例中它們也可包含在所有其他導電插塞122、124和125中(例如,圖6和圖7)。可由鈦、氮化鈦、鉭、氮化鉭或它們的組合形成阻擋層123。在一些實施例中,導電阻擋層123是厚度大體上均勻的共形層,其可以使用合適的製造技術(例如,ALD、電漿增強化學氣相沉積(PECVD)等)而形成。
此外,種晶層(未示出)可在導電阻擋層123上沉積。種晶層可由銅、鎳、金、及其任意組合和/或諸如此類而形成。種晶層可通過合適的沉積技術而形成,例如,PVD、CVD和/或諸如此類的。
一旦阻擋層123和種晶層在開口沉積,就沉積金屬材料127來填充開口114、116、118和120其餘的開口。金屬材料可包括鎢、鈦、鋁、銅或它們的合金。在一些實施例中,可通過電鍍過程填充金屬材料至開口。在填充金屬材料後,執行諸如化學機械拋光(CMP)的平坦化技術來去除金屬材料的過多部分。金屬材料127(以及導電阻擋層 123)不斷地自基底102的頂表面延伸至介電層204,其中沒有形成交介面,這是因為由同質材料形成的導電阻擋層123和金屬材料127的每一者是在單個沉積步驟中形成的。
如圖5所示,導電插塞124包括第一基底102中的部分124A和介電層104中的部分124B。部分124A的寬度W1大於部分124B的寬度W2。導電插塞124在金屬墊108處終止。
仍然如圖5所示,導電插塞122A和122B的每一者均包括三個部分。第一部分自金屬墊206延伸至金屬墊106。如圖5所示,第一部分(122A1/122B1)具有寬度W3。第二部分自金屬墊106至第一基底102的正面。如圖5所示,第二部分(122A2/122B2)具有寬度W4。第三部分(122A3/122B3)自第一基底102的正面延伸至第一基底102的背面。如圖5所示,第三部分具有寬度W5。第一部分,也可能是第二部分,可實質地接觸各自金屬墊106的內側壁。在一些實施例中,寬度W4大於或等於寬度W3,而寬度W5大於寬度W4。導電插塞122A和122B的每一者的三個部分形成穿透晶圓110的連續通孔,其中這三個部分之間沒有形成交介面。
在平坦化處理後,形成蝕刻阻止層126和介電層128。蝕刻阻止層126可包括氮化矽、氧氮化矽、矽碳氧化物、碳化矽等。儘管用於介電層128的材料也可從與蝕刻阻止層126相同的備選材料中選擇,但介電層128由與蝕刻阻止層126的材料不同之材料形成。在一些示例性實施例中,蝕刻阻止層126包括氮化矽,而介電層128包括二氧化矽。根據一些實施例,介電層128的厚度在大約4kÅ至大約12kÅ的範圍內。在平坦化處理後,介電層113具有與第一基底102之頂表面共平面之上端以及與第一基底102之底表面共平面之下端,且介電層113環繞並接觸導電插塞122A和122B的一部分,例如上述之自第一基底102的正面延伸至第一基底102的背面的第三部分(122A3/122B3)。
參照圖6,圖案化介電層128和蝕刻阻止層126,從而形成開口130(包括130A和130B)和132。導電插塞122和124分別通過開口130和132而暴露。
接下來,如圖7所示,形成RDL 134(包括134A、134B和134C)。可以看出,除了在圖6中示出的特徵,圖7還示出了諸如導電插塞122C和125的附加特徵,這些附加特徵與導電插塞122A、122B和124同時形成。根據一些實施例,RDL 134由金屬材料形成,如鋁銅、鋁、銅、鎳、金、鎢、鈦,它們的合金,或它們的多層。形成過程可包括在圖6的結構上沉積種晶層(例如,銅層),在種晶層上形成圖案化掩模層(例如光阻,未示出),電鍍RDL 134,去除圖案化掩模層並去除未被RDL 134覆蓋的種晶層部分。
在隨後的步驟中,結合的晶圓110和210被切割成複數個封裝件310中,每一個具有如圖7所示的相同結構。如圖所示,封裝件310包括來自晶圓110的晶片110’和來自晶圓210的晶片210’。在RDL墊134A2上執行焊線。焊線包括結合球136A和136B以及連接到各自結合球136A和136B的金屬線138A和138B。
根據本發明的實施例,導電插塞122A、122B和122C使裝置和晶片110’和210’的金屬線互聯。RDL 134A包括通孔134A1並延伸至開口130A(圖6)和RDL墊134A2。此外,痕跡部分134A3可將通孔134A1與RDL134A2互聯並通過導電插塞122A將焊線136A電耦合到晶片110’和210’。RDL 134B被用作路由(routing)線路,用來路由晶片110’中的信號。例如,RDL 134B可被用來電路由導電插塞122B和125之間的信號。在一些實施例中,RDL 134B焊線上沒有執行焊線和覆晶結合。RDL 134C連接到焊線球136B和金屬線138B。RDL 134C電連接到導電插塞124,其在金屬墊108處終止並不穿透晶片110’。通過金屬墊108、RDL 134C進一步連接到導電插塞122C,導電插塞122C進一步 將晶片110’與晶片210’互聯。因此,RDL 134A、134B和134C的形成改進封裝件310中電信號之路由能力。
需要注意的是,儘管圖7示出了堆疊在一起的兩個半導體晶圓,本領域普通技術人員能夠意識到圖7所示的堆疊式半導體裝置僅僅是一個示例。可以有很多替換、改變和修改。例如,堆疊式半導體裝置可容納超過兩個半導體晶圓。
圖8和圖9示出根據可替換實施例的形成堆疊晶片之中間階段的截面圖。除非特定說明,在這些實施例中的元件之材料和形成方法實質上與圖1至圖7所示實施例中之類似參考數字指示的類似元件相同。因此,關於圖8和圖9(以及圖10到圖19)所示元件的形成過程和材料之細節可在對圖1至圖7所示實施例的討論中找到。
這些實施例的起始步驟實質上與圖1至圖5的相同。接下來,如圖8所示,在介電層128中形成金屬線140(其共同形成金屬層)和通孔142。金屬線140和通孔142可使用雙鑲嵌過程形成,其包括形成介電層128和蝕刻阻止層126中的溝槽和通孔開口,並使用金屬材料填充溝槽和通孔開口以分別形成金屬線140和通孔142。在可替換的實施例中,可使用單鑲嵌過程來形成金屬線140和通孔142。可形成超過一層的金屬層。例如,圖8示出了在介電層148中形成包括金屬線144的額外金屬層以及各自的通孔146。在金屬線144上形成蝕刻阻止層150。
參照圖9,形成RDL 134A、134B和134C以及焊線136A/138A和136B/138B。該形成過程和材料可與圖7所示實施例中的相同,因此這裏不再贅述。類似於圖7所示的實施例,RDL 134A、134B和134C與導電插塞122A、122B、122C、124和125結合,以路由晶片110’和210’之間的信號並路由晶片110’內的信號。
圖10到圖17示出根據本發明之可替換實施例的堆疊晶片的形成。在這些實施例中,不形成如圖7和圖9所示的金屬墊106。下面簡 要討論形成過程。
參照圖10,在薄化第一基底102後,在晶圓110和210彼此結合。虛線圖示薄化過程中去除的基底102的部分。如圖10所示,在晶圓210中形成金屬墊206(包括206A和206B)。不同於圖1的實施例,晶圓110中沒有形成與金屬墊206重疊的金屬墊。另一方面,晶圓110的介電層104中在不與金屬墊206對準的位置處形成金屬墊108。
參照圖11,通過蝕刻薄化後的基底102形成開口114(包括114A和114B)和116,從而暴露下面的介電層104。接下來,如圖12所示,在基底102的後表面和側壁形成介電層113。因此,基底102暴露的表面是絕緣的。
圖13示出了掩模層117的形成,其掩蓋開口116(圖12)並暴露開口114A和114B的一些部分。然後執行各向異性蝕刻步驟來蝕刻介電層113、104和介電層204的一些部分。執行蝕刻直到暴露金屬墊206。如圖13所示,因為沒有形成金屬硬掩模(例如圖7和圖9的106),最終的開口118(包括118A和118B)穿過介電層104一直延伸至晶圓210。開口118A和118B在金屬墊206處終止。然後去除掩模層117。
參照圖14,形成並圖案化掩模層152(可以是光阻)。掩模層152覆蓋開口118A和118B(圖13)並暴露基底102的開口116之中間部分。然後執行各向異性蝕刻以蝕刻介電層104以形成開口120,開口120在金屬108處終止。在形成開口120後去除掩模層152。
如圖13和圖14所示,根據這些實施例,在平版印刷步驟而不是在形成開口120的步驟中形成開口118A和118B。這部分是因為金屬墊108比金屬墊206高很多,因此,如果開口118A、118B和120同時形成,金屬墊108不能作為一個有效的蝕刻阻止層。否則,金屬墊108可能被不希望的蝕穿。
圖15至圖17所示的剩餘過程步驟實質上與圖6和圖7的相同。如 圖17所示,形成導電插塞122(包括122A、122B和122C)、124、125、RDL 134(包括134A、134B和134C)以及焊線136/138。圖17示出了不同於圖10至圖16的步驟示出的一些額外導電插塞和通孔。然而,額外導電插塞和通孔之結構和形成可通過本發明的教示而實現。
在圖17中,導電插塞122A、122B和122C中每一者包括兩個部分,其中第一部分穿透基底102而第二部分穿透介電層104到介電層204並一直到金屬墊206。類似於圖7和圖9,根據這些實施例之RDL 134可被用來連接將晶片110’與晶片210’互聯的導電插塞122。此外,RDL 134可被用作結合墊。
圖18和圖19示出根據可替換實施例的形成堆疊晶片的中間階段的截面圖。這些實施例類似於圖10至圖17的實施例,除了在介電層128和148中形成包括金屬線140和144以及通孔142和146的雙鑲嵌結構。雙鑲嵌結構將RDL 134與下面的導電插塞122、124和125互聯,以改進封裝件310的信號路由能力。其餘的特徵實質上與圖17的相同,因而這裏不再討論。
圖20A至圖20D示出根據本發明之各種實施例的金屬硬掩模(金屬墊)106的各種俯視圖。圖20A示出金屬墊是圓形的,內邊緣和外邊緣都是圓形的。圖20B示出金屬墊106的外邊緣是圓形的,而金屬墊106的內邊緣是矩形的(例如正方形)。圖20C示出金屬墊106是環形的,內邊緣和外邊緣都是圓形的。圖20D示出金屬墊106的外邊緣是圓形的,而金屬墊106的內邊緣是矩形的(例如正方形)。
本發明的實施例具有一些優勢特徵。封裝件中兩個半導體晶圓的有源電路通過連續的導電插塞(例如,圖7、圖9、圖17和圖19的導電插塞122)彼此連接。這種連續的導電插塞有助於減少封裝件的長度。而且,與由包括複數個部分的導電插塞連接的傳統堆疊式半導體裝置相比,在兩個半導體晶圓/晶粒之間耦合的連續導電插塞有助於 削減功耗並防止寄生干擾。薄化後的基底也將減少導電插塞的長度和間距。
前面概括了複數個實施例的特徵,使得本領域技術人員可更好地理解本發明的各個方面。本領域技術人員應該明白他們可以將本發明當作基礎,用來設計或修改用於執行相同目的和/或獲得在此介紹的實施例的相同好處的其他過程和結構。本領域技術人員也可意識到這樣等同的構造並不脫離本發明的精神和保護範圍,並且在不脫離本發明的精神和保護範圍的情況下,他們可以在此做各種改變、替換和修改。
102‧‧‧第一基底
103‧‧‧電子電路
104‧‧‧金屬間介電層
106‧‧‧金屬墊
108‧‧‧金屬墊
110‧‧‧半導體晶圓
110'‧‧‧晶片
122‧‧‧導電插塞
123‧‧‧導電阻擋層
124‧‧‧其他導電插塞
125‧‧‧其他導電插塞
127‧‧‧金屬材料
134‧‧‧再分配線(RDL)
134A1‧‧‧通孔
134A2‧‧‧RDL墊
134A3‧‧‧痕跡部分
136A‧‧‧結合球
136B‧‧‧結合球
138A‧‧‧金屬線
138B‧‧‧金屬線
202‧‧‧第二基底
204‧‧‧金屬間介電層
206‧‧‧金屬墊
210‧‧‧半導體晶圓
210'‧‧‧晶片
310‧‧‧封裝件

Claims (10)

  1. 一種積體電路結構,其包括:第一半導體晶圓,包括:第一基底;以及在該第一基底下面的第一複數個介電層;第二半導體晶圓,包括:第二基底;該第二基底之上的第二複數個介電層,其中該第一複數個介電層的底層結合到該第二複數個介電層的頂層;以及該第二複數個介電層之一層中的金屬墊;第一介電層,該第一介電層具有與該第一基底之頂表面共平面之上端以及與該第一基底之底表面共平面之下端;再分配線(RDL),其位於該第一基底之上;以及第一導電插塞,其位於該再分配線的下面並電耦合至該再分配線,其中,該第一導電插塞包括:第一部分,其自該第一基底的頂表面延伸至該第一基底的底表面,其中該第一介電層環繞並接觸該導電插塞的該第一部分;以及第二部分,其自該第一基底的底表面延伸至該金屬墊,其中,該第二部分的底表面接觸該金屬墊的頂表面,且其中該第一部分和該第二部分形成連續區域。
  2. 如請求項1所述的積體電路結構,其中,該第一導電插塞包括自該第一基底的頂表面延伸至該第二半導體晶圓的同質材料,該同質材料中沒有形成交介面。
  3. 如請求項1所述的積體電路結構,進一步包括:雙鑲嵌結構,該雙鑲嵌結構包括金屬線和該金屬線下面的通孔,其中,該雙鑲嵌結構將該再分配線與該第一導電插塞互聯。
  4. 如請求項1所述的積體電路結構,其中,該第一半導體晶圓進一步包括形成環的額外金屬墊,該環中具有開口,且其中該第一導電插塞的該第二部分進一步包括:第三部分,位於該額外金屬墊之上;以及第四部分,其穿透該額外金屬墊以延伸到該第二半導體晶圓的金屬墊。
  5. 如請求項1所述的積體電路結構,其中,該第一半導體晶圓進一步包括:額外金屬墊,位於該第一複數個介電層中;以及第二導電插塞,其自該第一基底的頂表面延伸至該額外金屬墊,其中,該第二導電插塞在該額外金屬墊之頂表面處終止,且其中,該再分配線將該第一導電插塞電耦合至該第二導電插塞或該額外金屬墊將該第一導電插塞實質地連接該第二導電插塞。
  6. 一種積體電路結構,其包括:第一半導體晶圓,包括:第一基底;第一複數個介電層;以及第一金屬墊,其位於該第一複數個介電層的一層中;第二半導體晶圓,包括: 第二基底;第二複數個介電層,其位於該第二基底之上,其中該第一複數個介電層的底層結合到該第二複數個介電層的頂層;以及第二金屬墊,其位於該第二複數個介電層的一層中;第一介電層,該第一介電層具有與該第一基底之頂表面共平面之上端以及與該第一基底之底表面共平面之下端;第一導電插塞,其將第一金屬墊電耦合到第二金屬墊,其中該第一導電插塞包括:第一部分,其自該第一基底的頂表面延伸至該第一金屬墊的頂表面,其中該第一介電層環繞並接觸該第一部分;以及第二部分,其自該第一金屬墊的該頂表面延伸至第二金屬墊的頂表面,其中,該第二部分的邊緣與該第一金屬墊的側壁實質地接觸;以及再分配線,其位於該第一基底之上,其中該再分配線電耦合到該第一導電插塞。
  7. 如請求項6所述的積體電路結構,其中該第一導電插塞連續地自該第一基底的頂表面延伸至該第二金屬墊的頂表面,或其中該第一導電插塞的該第一部分進一步包括:該第一基底中的第一子部分;該第一複數個介電層中的第二子部分,其中,該第一子部分的寬度大於該第二子部分的寬度。
  8. 一種方法,其包括:將第一晶片結合到第二晶片,其中該第一晶片中的第一複數個介電層結合到該第二晶片中的第二複數個介電層; 在該第一晶片的第一基底中形成第一貫通開口;通過該第一開口蝕刻該第一複數個介電層以及該第二複數個介電層以形成第二開口,其中該第二複數個介電層中的第一金屬墊暴露於該第二開口;填充導電材料以在該第一開口和該第二開口中形成導電插塞;以及在該第一開口中形成第一介電層,其中該第一介電層具有與該第一基底之頂表面共平面之上端以及與該第一基底之底表面共平面之下端,且該第一介電層環繞並接觸該第一開口中的該導電插塞;在該第一基底之上形成第二介電層;以及形成再分配線,該再分配線包括在該第二介電層之上的部分,其中,該再分配線通過該第二介電層中的開口電耦合到該第一導電插塞。
  9. 如請求項8所述的方法,其中,該第二開口包括上部和位於該上部下面並與該上部連接的下部,且其中,該第二開口的該上部在該第一複數個介電層中的第二金屬墊的頂表面處終止,且其中,該第二開口的該下部穿透該第二金屬墊,該第二金屬墊形成環繞該第二開口之該下部的環。
  10. 如請求項8所述的方法,進一步包括:當形成該第一開口時,同時形成穿透該第一基底的第三開口;當形成該第二開口時,同時形成該第三開口下面的且與該第三開口連接的第四開口,其中,該第一複數個介電層中的第二金屬墊之頂表面通過該第三開口和該第四開口而暴露;以及 當執行填充該導電材料以形成該第一導電插塞時,同時填充該第三開口和該第四開口以形成第二導電插塞,其中,該再分配線將該第一導電插塞電耦合到該第二導電插塞。
TW103138143A 2014-07-17 2014-11-04 具有再分配線的堆疊式積體電路以及其形成方法 TWI553824B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/334,212 US9449914B2 (en) 2014-07-17 2014-07-17 Stacked integrated circuits with redistribution lines

Publications (2)

Publication Number Publication Date
TW201605012A TW201605012A (zh) 2016-02-01
TWI553824B true TWI553824B (zh) 2016-10-11

Family

ID=55021587

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103138143A TWI553824B (zh) 2014-07-17 2014-11-04 具有再分配線的堆疊式積體電路以及其形成方法

Country Status (5)

Country Link
US (5) US9449914B2 (zh)
KR (1) KR101690841B1 (zh)
CN (1) CN105321903B (zh)
DE (1) DE102014111783B4 (zh)
TW (1) TWI553824B (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9764153B2 (en) 2013-03-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
JP6507975B2 (ja) * 2014-10-02 2019-05-08 日立金属株式会社 半導体パッケージ回路基板、およびそれを用いた半導体パッケージ
US10147682B2 (en) 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
CN107680958B (zh) * 2016-08-02 2020-01-24 上海珏芯光电科技有限公司 射频微系统封装模块及其制造方法
US9972581B1 (en) * 2017-02-07 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Routing design of dummy metal cap and redistribution line
US10304889B2 (en) * 2017-02-17 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Image sensor device and manufacturing method thereof
CN108666274B (zh) * 2017-03-31 2020-10-27 联华电子股份有限公司 半导体存储装置的形成方法
US10854568B2 (en) * 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10515921B2 (en) 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US10510631B2 (en) * 2017-09-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fan out package structure and method of manufacturing the same
CN109786362B (zh) * 2017-11-14 2021-01-05 旺宏电子股份有限公司 无焊垫外扇晶粒叠层结构及其制作方法
CN108010930A (zh) * 2017-12-08 2018-05-08 德淮半导体有限公司 图像传感器及形成图像传感器的方法
KR102538174B1 (ko) * 2017-12-26 2023-05-31 삼성전자주식회사 비아 플러그를 갖는 반도체 소자
CN108364948B (zh) * 2018-02-09 2020-09-25 上海珏芯光电科技有限公司 射频前端微系统模块及其制造方法
CN109148362B (zh) 2018-08-28 2020-06-16 武汉新芯集成电路制造有限公司 半导体器件及其制作方法
CN109148415B (zh) * 2018-08-28 2020-08-25 武汉新芯集成电路制造有限公司 多晶圆堆叠结构及其形成方法
TWI680557B (zh) * 2018-11-23 2019-12-21 南亞科技股份有限公司 半導體封裝結構及其製備方法
US11139262B2 (en) * 2019-02-07 2021-10-05 Micron Technology, Inc. Use of pre-channeled materials for anisotropic conductors
US11056426B2 (en) * 2019-05-29 2021-07-06 International Business Machines Corporation Metallization interconnect structure formation
US11024605B2 (en) 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
DE102019125790B4 (de) * 2019-05-31 2022-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltkreis-package und verfahren
KR20210017524A (ko) 2019-08-08 2021-02-17 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US11342307B2 (en) * 2019-10-14 2022-05-24 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
US10978555B1 (en) * 2019-11-12 2021-04-13 Nanya Technology Corporation Semiconductor structure and method of forming the same
DE102020124580A1 (de) * 2020-03-27 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer bonding method
TWI778594B (zh) * 2020-05-26 2022-09-21 台灣積體電路製造股份有限公司 積體電路及其製造方法
KR20210152127A (ko) * 2020-06-08 2021-12-15 에스케이하이닉스 주식회사 메모리 장치, 이를 갖는 메모리 시스템 및 그것의 쓰기 방법
US11502038B2 (en) * 2020-08-03 2022-11-15 Nanya Technology Corporation Semiconductor structure having via through bonded wafers and manufacturing method thereof
US11610833B2 (en) * 2020-10-22 2023-03-21 Nanya Technology Corporation Conductive feature with non-uniform critical dimension and method of manufacturing the same
US12033919B2 (en) * 2021-01-08 2024-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Backside or frontside through substrate via (TSV) landing on metal
JP7502478B2 (ja) * 2021-02-05 2024-06-18 長江存儲科技有限責任公司 フリップチップスタッキング構造体およびそれを形成するための方法
US20220278161A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Backside diode design
US11876077B2 (en) * 2021-03-12 2024-01-16 Nanya Technology Corporation Semiconductor device and method of manufacturing the same
CN115602610A (zh) * 2021-07-09 2023-01-13 长鑫存储技术有限公司(Cn) 半导体结构及其制备方法
EP4207262A4 (en) * 2021-07-09 2024-04-10 Changxin Memory Technologies, Inc. SEMICONDUCTOR STRUCTURE AND PREPARATION METHOD THEREFOR
US11830767B2 (en) * 2021-08-16 2023-11-28 Micron Technology, Inc. Data lines in three-dimensional memory devices
US11830865B2 (en) * 2021-10-26 2023-11-28 Nanya Technology Corporation Semiconductor device with redistribution structure and method for fabricating the same
US11935851B2 (en) 2021-12-06 2024-03-19 Nanya Technology Corporation Semiconductor structure having polygonal bonding pad
US11776921B2 (en) 2021-12-03 2023-10-03 Nanya Technology Corporation Method of manufacturing semiconductor structure having polygonal bonding pad
TWI817340B (zh) * 2021-12-03 2023-10-01 南亞科技股份有限公司 具有多邊形接合墊的半導體結構
US20240038686A1 (en) * 2022-07-27 2024-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2219434A (en) 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
US6207494B1 (en) 1994-12-29 2001-03-27 Infineon Technologies Corporation Isolation collar nitride liner for DRAM process improvement
US6111319A (en) 1995-12-19 2000-08-29 Stmicroelectronics, Inc. Method of forming submicron contacts and vias in an integrated circuit
TW587306B (en) 2001-03-02 2004-05-11 Macronix Int Co Ltd Manufacturing method of low-resistance dual damascene via
WO2004006107A2 (en) 2002-07-08 2004-01-15 Globespanvirata Incorporated Method and system for optimizing utopia clav polling arbitration
US7453150B1 (en) 2004-04-01 2008-11-18 Rensselaer Polytechnic Institute Three-dimensional face-to-face integration assembly
US7560375B2 (en) 2004-09-30 2009-07-14 International Business Machines Corporation Gas dielectric structure forming methods
US7253083B2 (en) * 2005-06-17 2007-08-07 Northrop Grumman Corporation Method of thinning a semiconductor structure
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
JP5357543B2 (ja) 2005-08-26 2013-12-04 コーニンクレッカ フィリップス エヌ ヴェ 電気的に遮蔽されたウェハ貫通インターコネクト
US7642195B2 (en) 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
KR100830581B1 (ko) 2006-11-06 2008-05-22 삼성전자주식회사 관통전극을 구비한 반도체 소자 및 그 형성방법
US8125052B2 (en) 2007-05-14 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structure with improved cracking protection
US20080284041A1 (en) 2007-05-18 2008-11-20 Samsung Electronics Co., Ltd. Semiconductor package with through silicon via and related method of fabrication
JP4937842B2 (ja) 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7867895B2 (en) 2007-09-20 2011-01-11 International Business Machines Corporation Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
JP5175526B2 (ja) 2007-11-22 2013-04-03 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR101465948B1 (ko) 2007-12-27 2014-12-10 삼성전자주식회사 웨이퍼 레벨 스택 패키지 및 웨이퍼 레벨 스택 패키지 제조방법
US7939449B2 (en) 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US7772123B2 (en) 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
US7928577B2 (en) 2008-07-16 2011-04-19 Micron Technology, Inc. Interconnect structures for integration of multi-layered integrated circuit devices and methods for forming the same
US8097955B2 (en) 2008-10-15 2012-01-17 Qimonda Ag Interconnect structures and methods
KR20100042021A (ko) * 2008-10-15 2010-04-23 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 반도체 칩의 제조 방법
US7875948B2 (en) 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor
JP2010114165A (ja) 2008-11-04 2010-05-20 Nikon Corp 半導体装置、積層半導体装置および積層半導体装置の製造方法
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
KR20100090449A (ko) 2009-02-06 2010-08-16 삼성전자주식회사 균일한 컨택 플러그들을 포함하는 반도체 소자 및 그 제조 방법
US8426938B2 (en) 2009-02-16 2013-04-23 Samsung Electronics Co., Ltd. Image sensor and method of fabricating the same
KR101022580B1 (ko) 2009-02-19 2011-03-16 이상윤 대용량 반도체 메모리 장치 및 그 제조 방법
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
JP5985136B2 (ja) * 2009-03-19 2016-09-06 ソニー株式会社 半導体装置とその製造方法、及び電子機器
US9406561B2 (en) 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
JP5395542B2 (ja) 2009-07-13 2014-01-22 株式会社東芝 半導体装置
US8048790B2 (en) 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
JP5518879B2 (ja) 2009-09-21 2014-06-11 株式会社東芝 3次元集積回路製造方法、及び装置
TWI402941B (zh) 2009-12-03 2013-07-21 Advanced Semiconductor Eng 半導體結構及其製造方法
US8399180B2 (en) 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
US8415238B2 (en) 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8859390B2 (en) 2010-02-05 2014-10-14 International Business Machines Corporation Structure and method for making crack stop for 3D integrated circuits
JP5412316B2 (ja) 2010-02-23 2014-02-12 パナソニック株式会社 半導体装置、積層型半導体装置及び半導体装置の製造方法
US8692382B2 (en) 2010-03-11 2014-04-08 Yu-Lin Yen Chip package
US8525345B2 (en) 2010-03-11 2013-09-03 Yu-Lin Yen Chip package and method for forming the same
CN102299133B (zh) 2010-06-22 2014-02-19 中国科学院微电子研究所 半导体结构及其制造方法
CN102339813A (zh) 2010-07-14 2012-02-01 中国科学院微电子研究所 半导体结构及其制造方法
US8283754B2 (en) 2010-08-13 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure with metal pad
US8338917B2 (en) 2010-08-13 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple seal ring structure
KR101677507B1 (ko) 2010-09-07 2016-11-21 삼성전자주식회사 반도체 장치의 제조 방법
US8502338B2 (en) 2010-09-09 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via waveguides
US8610259B2 (en) 2010-09-17 2013-12-17 Tessera, Inc. Multi-function and shielded 3D interconnects
KR20120031811A (ko) 2010-09-27 2012-04-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8525343B2 (en) 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US8884431B2 (en) * 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US8492241B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
US8421193B2 (en) 2010-11-18 2013-04-16 Nanya Technology Corporation Integrated circuit device having through via and method for preparing the same
JP5799235B2 (ja) 2010-11-19 2015-10-21 パナソニックIpマネジメント株式会社 半導体装置
KR101697573B1 (ko) 2010-11-29 2017-01-19 삼성전자 주식회사 반도체 장치, 그 제조 방법, 및 상기 반도체 장치를 포함하는 반도체 패키지
TWI467746B (zh) * 2010-12-15 2015-01-01 Sony Corp 半導體元件及其製造方法與電子裝置
US8754507B2 (en) 2011-01-18 2014-06-17 Hong Kong Applied Science and Technology Research Institute Company Limited Forming through-silicon-vias for multi-wafer integrated circuits
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
JP2012227328A (ja) 2011-04-19 2012-11-15 Sony Corp 半導体装置、半導体装置の製造方法、固体撮像装置及び電子機器
US8664736B2 (en) 2011-05-20 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad structure for a backside illuminated image sensor device and method of manufacturing the same
US8765598B2 (en) 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
US8896125B2 (en) 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
US8872345B2 (en) 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US9076664B2 (en) 2011-10-07 2015-07-07 Freescale Semiconductor, Inc. Stacked semiconductor die with continuous conductive vias
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
TWI529939B (zh) 2012-02-08 2016-04-11 Sony Corp High frequency semiconductor device and its manufacturing method
US9105628B1 (en) 2012-03-29 2015-08-11 Valery Dubin Through substrate via (TSuV) structures and method of making the same
US20130264688A1 (en) 2012-04-06 2013-10-10 Omnivision Technologies, Inc. Method and apparatus providing integrated circuit system with interconnected stacked device wafers
KR20130116607A (ko) 2012-04-16 2013-10-24 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US8643074B2 (en) 2012-05-02 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US8603893B1 (en) 2012-05-17 2013-12-10 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates
JP6175701B2 (ja) 2012-06-04 2017-08-09 マクロニックス インターナショナル カンパニー リミテッド 3d積層マルチチップモジュールの製造方法
US8697515B2 (en) 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8933544B2 (en) 2012-07-12 2015-01-13 Omnivision Technologies, Inc. Integrated circuit stack with integrated electromagnetic interference shielding
KR101932660B1 (ko) 2012-09-12 2018-12-26 삼성전자 주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
KR102021884B1 (ko) 2012-09-25 2019-09-18 삼성전자주식회사 후면 본딩 구조체를 갖는 반도체 소자
US8803328B1 (en) 2013-01-22 2014-08-12 International Business Machines Corporation Random coded integrated circuit structures and methods of making random coded integrated circuit structures
US9159753B2 (en) 2013-03-01 2015-10-13 Semiconductor Components Industries, Llc Image sensor pixels with self-aligned lateral anti-blooming structures
US9041206B2 (en) 2013-03-12 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
CN104051414B (zh) 2013-03-12 2018-03-23 台湾积体电路制造股份有限公司 互连结构和方法
US9076715B2 (en) 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9536777B2 (en) 2013-03-13 2017-01-03 Taiwan Semiconductor Manufacutring Company, Ltd. Interconnect apparatus and method
US9287197B2 (en) 2013-03-15 2016-03-15 Globalfoundries Singapore Pte. Ltd. Through silicon vias
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8921901B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Stacked CMOS image sensor and signal processor wafer structure
US9059696B1 (en) 2013-08-01 2015-06-16 Altera Corporation Interposer with programmable power gating granularity
US9054106B2 (en) 2013-11-13 2015-06-09 United Microelectronics Corp. Semiconductor structure and method for manufacturing the same
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
KR102177702B1 (ko) 2014-02-03 2020-11-11 삼성전자주식회사 비아 플러그를 갖는 비아 구조체 및 반도체 소자
US9425150B2 (en) 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
US9659840B2 (en) 2014-02-21 2017-05-23 Globalfoundries Inc. Process flow for a combined CA and TSV oxide deposition
US9192016B1 (en) 2014-05-22 2015-11-17 Cree, Inc. Lighting apparatus with inductor current limiting for noise reduction
US20150348874A1 (en) 2014-05-29 2015-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Interconnect Devices and Methods of Forming Same
US9543257B2 (en) 2014-05-29 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages

Also Published As

Publication number Publication date
US20160020170A1 (en) 2016-01-21
CN105321903A (zh) 2016-02-10
CN105321903B (zh) 2018-12-14
US11923338B2 (en) 2024-03-05
US20190252354A1 (en) 2019-08-15
US20170005076A1 (en) 2017-01-05
US9449914B2 (en) 2016-09-20
KR101690841B1 (ko) 2017-01-09
TW201605012A (zh) 2016-02-01
DE102014111783B4 (de) 2020-08-27
DE102014111783A1 (de) 2016-01-21
US20200258865A1 (en) 2020-08-13
KR20160010274A (ko) 2016-01-27
US10269768B2 (en) 2019-04-23
US20240170457A1 (en) 2024-05-23
US10629568B2 (en) 2020-04-21

Similar Documents

Publication Publication Date Title
US10629568B2 (en) Stacked integrated circuits with redistribution lines
US11596800B2 (en) Interconnect structure and method of forming same
US9978708B2 (en) Wafer backside interconnect structure connected to TSVs
US9941249B2 (en) Multi-wafer stacking by Ox-Ox bonding
US9553020B2 (en) Interconnect structure for connecting dies and methods of forming the same
US10361234B2 (en) 3DIC interconnect apparatus and method
US20220208749A1 (en) Semiconductor devices and methods of manufacture thereof
US8836085B2 (en) Cost-effective TSV formation
US8809188B2 (en) Method for fabricating through substrate vias
US20230201613A1 (en) Interconnect Structure and Method of Forming Same