TWI514473B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI514473B
TWI514473B TW100121637A TW100121637A TWI514473B TW I514473 B TWI514473 B TW I514473B TW 100121637 A TW100121637 A TW 100121637A TW 100121637 A TW100121637 A TW 100121637A TW I514473 B TWI514473 B TW I514473B
Authority
TW
Taiwan
Prior art keywords
film
flow rate
semiconductor film
semiconductor
hydrogen
Prior art date
Application number
TW100121637A
Other languages
English (en)
Other versions
TW201218272A (en
Inventor
Ryu Komatsu
Yasuhiro Jinbo
Hidekazu Miyairi
Original Assignee
Semiconductor Energy Lab
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Lab filed Critical Semiconductor Energy Lab
Publication of TW201218272A publication Critical patent/TW201218272A/zh
Application granted granted Critical
Publication of TWI514473B publication Critical patent/TWI514473B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78678Polycrystalline or microcrystalline silicon transistor with inverted-type structure, e.g. with bottom gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Description

半導體裝置的形成方法
本發明關於一種微晶半導體膜的製造方法以及使用該微晶半導體膜的半導體裝置的製造方法及顯示裝置。
另外,本說明書中的半導體裝置指的是藉由利用半導體特性能夠工作的所有裝置,因此顯示裝置、電光裝置、光電轉換裝置、半導體電路以及電子裝置都是半導體裝置。
作為場效應電晶體的一種,已知使用形成在具有絕緣表面的基板上的半導體膜來形成通道區的薄膜電晶體。已公開了作為用於薄膜電晶體的通道區的半導體膜,使用非晶矽、微晶矽及多晶矽的技術(參照專利文獻1至5)。薄膜電晶體的典型應用例是液晶電視裝置,其中將薄膜電晶體應用於構成顯示畫面的各像素的開關電晶體。
此外,正在進行如下光電轉換裝置的開發,在該光電轉換裝置中,將作為藉由電漿CVD法可以製造的結晶矽的微晶矽用於進行光電轉換的半導體膜。(例如,參照專利文獻6)。
[專利文獻1] 日本專利申請公開第2001-053283號公報
[專利文獻2] 日本專利申請公開平第5-129608號公報
[專利文獻3] 日本專利申請公開2005-049832號公報
[專利文獻4] 日本專利申請公開平第7-131030號公報
[專利文獻5] 日本專利申請公開第2005-191546號公報
[專利文獻6] 日本專利申請公開第2000-277439號公報
使用非晶矽膜形成通道區的薄膜電晶體有場效應遷移率及導通電流低的問題。另一方面,使用微晶矽膜形成通道區的薄膜電晶體有如下問題,即雖然其場效應遷移率比使用非晶矽膜形成通道區的薄膜電晶體的場效應遷移率高,但是截止電流增高,因此不能得到充分的開關特性。
多晶矽膜成為通道區的薄膜電晶體具有諸如其場效應遷移率比上述兩種薄膜電晶體高得多而可以得到高導通電流等的特性。該薄膜電晶體由於該特性而不但能夠用作設置在像素中的開關用電晶體,而且還能夠構成被要求高速工作的驅動器電路。
然而,使用多晶矽膜形成通道區的薄膜電晶體的製造製程具有與製造使用非晶矽膜形成通道區的薄膜電晶體的情況相比,需要半導體膜的晶化製程而製造成本增大的問題。例如,多晶矽膜的製造所需的雷射退火技術有由於雷射光束的照射面積小而不能高效地生產大螢幕液晶面板等的問題。
用於製造顯示面板的玻璃基板的大面積化如第3代(550mm×650mm)、第3.5代(600mm×720mm或620mm×750mm)、第4代(680mm×880mm或730mm×920mm)、第5代(1100mm×1300mm)、第6代(1500mm×1850mm)、第7代(1870mm×2200mm)、第8代(2200mm×2400mm)、第9代(2400mm×2800mm)、第10代(2950mm×3400mm)那樣進展。玻璃基板的大型化基於最低成本設計的概念。
另一方面,仍未確立可以以高生產率將能夠進行高速工作的薄膜電晶體製造在如第10代(2950mm×3400mm)那樣的大面積母玻璃基板上的技術,這在業界為一個問題。
於是,本發明的一個方式的目的之一是提供一種以高生產率製造電特性優良的半導體裝置的方法。
本發明的一個方式的要旨是:在第一條件下形成以低微粒密度具有高結晶性的混合相微粒的種子,然後在第二條件下使混合相微粒生長而以填埋混合相微粒的空隙的方式形成微晶半導體膜。
在以低微粒密度供應具有高結晶性的混合相微粒的第一條件中,將氫流量設定為含有矽或鍺的沉積氣體流量的50倍以上且1000倍以下來稀釋沉積氣體,並且將處理室內的壓力設定為67Pa以上且1333Pa以下。在使混合相微粒生長來填埋混合相微粒的空隙的第二條件中,使含有矽或鍺的沉積氣體與氫的流量比週期性地增減,並將其供應到處理室內,並且將處理室內的壓力設定為1333Pa以上且13332Pa以下。
本發明的一個方式是一種微晶半導體膜的製造方法,包括如下步驟:在第一條件下,藉由電漿CVD法形成具有包括非晶矽區和可以視為單晶的雛晶的混合相微粒的種子;以及在第二條件下,藉由電漿CVD法在種子上形成微晶半導體膜,其中,在第一條件中,將含有矽或鍺的沉積氣體及含有氫的氣體用作供應到處理室內的原料氣體,將氫流量設定為沉積氣體流量的50倍以上且1000倍以下來稀釋沉積氣體,並且將處理室內的壓力設定為67Pa以上且1333Pa以下。另外,在第二條件中,使含有矽或鍺的沉積氣體與氫的流量比週期性地增減,並將其供應到處理室內,並且將處理室內的壓力設定為1333Pa以上且13332Pa以下。
另外,在上述第二條件中,使含有矽或鍺的沉積氣體與氫的流量比週期性地增減是指使含有矽或鍺的沉積氣體或氫的流量週期性地增減。當相對於含有矽或鍺的沉積氣體的氫的流量比低時,微晶半導體的結晶生長佔優勢。當相對於含有矽或鍺的沉積氣體的氫的流量比高時,非晶半導體的蝕刻佔優勢。作為相對於含有矽或鍺的沉積氣體的氫的流量比低的情況,藉由將氫流量設定為沉積氣體流量的100倍以上且2000倍以下,可以優先發生微晶半導體的結晶生長。
另外,種子包括混合相微粒分散的狀態、混合相微粒連續的狀態(即,膜狀)。另外,較佳的是根據相對於含有矽或鍺的沉積氣體流量的氫流量的比率而適當地選擇生成電漿的功率。
此外,在本發明的一個方式中,在上述第二條件下形成微晶半導體膜,然後在第三條件下藉由電漿CVD法在微晶半導體膜上形成第二微晶半導體膜。第三條件可以為如下條件,即將含有矽或鍺的沉積氣體及含有氫的氣體用作供應到處理室內的原料氣體,使相對於沉積氣體流量的氫流量的比率高於上述第二條件而稀釋沉積氣體,並且將處理室內的壓力設定為1333Pa以上且13332Pa以下。
此外,在本發明的一個方式中,也可以對用於上述第一條件、上述第二條件和上述第三條件中的至少一個的原料氣體添加稀有氣體。
在本發明的一個方式中,在第一條件下藉由電漿CVD法在絕緣膜上形成以低密度包括具有高結晶性的混合相微粒的種子,並且在第二條件下使混合相微粒的結晶生長來填埋種子的空隙從而藉由電漿CVD法形成微晶半導體膜。
另外,本發明的一個方式是一種半導體裝置的製造方法,該半導體裝置包括使用上述種子及微晶半導體膜形成通道區的薄膜電晶體。
此外,本發明的一個方式是一種光電轉換裝置的製造方法,其中將上述種子及微晶半導體膜用於呈現p型的半導體膜、呈現n型的半導體膜和進行光電轉換的半導體膜中的一個以上。
藉由應用本發明的一個方式,可以製造結晶性高的微晶半導體膜。此外,可以以高生產率製造電特性優良的半導體裝置。
下面將參照附圖說明本發明的實施方式。但是,本發明並不侷限於以下說明。這是因為所屬技術領域的普通技術人員可以很容易地理解一個事實,就是其方式和詳細內容在不脫離本發明的宗旨及其範圍的情況下可以被變換為各種各樣的形式的緣故。因此,本發明不應當被解釋為侷限於下面所示的實施方式及實施例的記載內容。注意,當參照附圖說明本發明結構時,在不同的圖式中也共同使用相同的圖式標記來表示相同的部分。
實施方式1
在本實施方式中,參照圖1A和1B以及圖2說明密度高且結晶性高的微晶半導體膜的製造方法。
如圖1A所示,在基板51上形成絕緣膜55,並且在絕緣膜55上形成種子57。
作為基板51,除了玻璃基板、陶瓷基板以外,可以使用具有可以承受本製造製程中的處理溫度的耐熱性的塑膠基板等。此外,在基板不需要具有透光性的情況下,也可以使用在不鏽鋼等的金屬基板表面上設置絕緣膜的基板。作為玻璃基板,例如較佳的是使用如鋇硼矽酸鹽玻璃、鋁硼矽酸鹽玻璃或鋁矽酸鹽玻璃等的無鹼玻璃基板。注意,對基板51的尺寸沒有限制,例如可以使用常用在上述平板顯示器領域的第3代至第10代玻璃基板。
絕緣膜55可以藉由CVD法或濺射法等使用氧化矽膜、氧氮化矽膜、氮化矽膜、氮氧化矽膜、氧化鋁膜、氮化鋁膜、氧氮化鋁膜或氮氧化鋁膜的單層或疊層形成。
注意,在此,氧氮化矽指的是在其組成上氧含量多於氮含量的物質,並較佳的是在藉由盧瑟福背散射光譜學法(RBS:Rutherford Backscattering Spectrometry)及氫前方散射法(HFs:Hydrogen Forward Scattering Spectrometry)進行測量時,作為組成範圍含有50at.%至70at.%的氧;0.5at.%至15at.%的氮;25at.%至35at.%的矽;以及0.1at.%至10at.%的氫。此外,氮氧化矽指的是在其組成上氮含量多於氧含量的物質,並較佳的是在藉由RBS和HFS進行測量時,作為組成範圍含有5at.%至30at.%的氧;20at.%至55at.%的氮;25at.%至35at.%的矽;以及10at.%至30at.%的氫。注意,在將構成氧氮化矽或氮氧化矽的原子的總計設定為100at.%時,氮、氧、矽和氫的含有比率包括在上述範圍內。
使用微晶半導體膜,典型的是微晶矽膜、微晶矽鍺膜、微晶鍺膜等形成種子57。種子57包括多個混合相微粒分散的狀態、混合相微粒連續的膜的狀態或混合相微粒及非晶半導體連續的膜的狀態。因此,種子57還包括混合相微粒57a、非晶半導體不.鄰近,且在混合相微粒57a之間具有空隙57b的狀態。再者,其特徵為以低微粒密度(種子內的混合相微粒的存在比率)具有高結晶性的混合相微粒。另外,混合相微粒具有非晶半導體區和可以視為單晶的雛晶。此外,混合相微粒有時具有雙晶。
在電漿CVD設備的處理室中,使用以低微粒密度形成結晶性高的混合相微粒的第一條件,將含有矽或鍺的沉積氣體和氫混合,並利用輝光放電電漿來形成種子57。或者,將含有矽或鍺的沉積氣體、氫、稀有氣體諸如氦、氬、氖、氪、氙等混合,並利用輝光放電電漿來形成種子57。在此,在將氫流量設定為含有矽或鍺的沉積氣體流量的50倍以上且1000倍以下來稀釋沉積氣體,並將處理室內的壓力設定為67Pa以上且1333Pa以下(0.5Torr以上且10Torr以下)的第一條件下,形成微晶矽、微晶矽鍺、微晶鍺等。較佳的是將此時的沉積溫度設定為室溫至300℃,更佳地設定為150℃至280℃。另外,將上部電極及下部電極之間的間隔設定為可以產生電漿的間隔,即可。藉由使用第一條件進行形成,促進結晶生長,且提高包括在種子57中的混合相微粒57a的結晶性。換言之,包括在種子57中的混合相微粒57a所包含的雛晶的尺寸增大。此外,在彼此鄰近的混合相微粒57a之間產生空隙57b,而混合相微粒57a的微粒密度降低。
作為含有矽或鍺的沉積氣體的典型例子,有SiH4 、Si2 H6 、GeH4 、Ge2 H6 等。
藉由對種子57的原料氣體添加氦、氖、氬、氪、氙等的稀有氣體,種子57的沈積速度增高。其結果,因為沈積速度的增高而使混入到種子57中的雜質量減少,所以可以提高種子57的結晶性。此外,藉由作為種子57的原料氣體使用氦、氬、氖、氪、氙等的稀有氣體,即使不供應高功率也可以產生穩定的電漿,所以可以降低種子57的電漿損傷,而可以提高混合相微粒57a的結晶性。
當形成種子57時,藉由施加3MHz至30MHz,典型的為HF頻帶中的13.56MHz、27.12MHz的高頻電力或者施加VHF頻帶中的大於30MHz至300MHz左右的高頻電力,典型的為60MHz的高頻電力,來生成輝光放電電漿。此外,藉由施加1GHz以上的微波的高頻電力來生成輝光放電電漿。另外,可以進行以脈衝狀施加高頻電力的脈衝振盪或連續地施加高頻電力的連續振盪。此外,藉由使HF頻帶的高頻電力與VHF頻帶的高頻電力重疊,可以在大面積基板上也減少電漿的不均勻性而提高均勻性,並且可以提高沉積速度。
如上所述,藉由增加相對於含有矽或鍺的沉積氣體流量的氫流量,在種子57的沉積的同時發生包括在種子57中的非晶半導體的蝕刻,從而形成結晶性高的混合相微粒57a且在彼此鄰近的混合相微粒57a之間產生空隙57b。雖然根據裝置結構及膜表面的化學狀態而最適合的條件不同,但是當混合相微粒57a幾乎不沉積時,降低上述相對於含有矽或鍺的沉積氣體流量的氫流量比率,或者減少RF電力,即可。另一方面,當混合相微粒57a的微粒密度高時或當非晶半導體區比結晶半導體區多時,增大上述相對於含有矽或鍺的沉積氣體流量的氫流量的比率,或者增大RF電力,即可。可以利用SEM(Scanning Electron Microscopy:掃描電子顯微鏡)及拉曼光譜法對種子57的沉積情況進行評價。根據上述流量比及處理室中的壓力條件,可以形成具有優良的結晶性且確保混合相微粒之間的適當的空隙的種子57。其結果是,因為在對包括在種子57中的非晶半導體區進行蝕刻的同時形成混合相微粒57a,所以促進結晶生長,而提高混合相微粒57a的結晶性,即,包括在混合相微粒57a中的雛晶的尺寸增大。此外,由於彼此鄰近的混合相微粒57a之間的非晶半導體區被蝕刻,因此彼此鄰近的混合相微粒57a彼此具有空隙57b,由此以低微粒密度形成混合相微粒57a。另外,當在本實施方式中的第一條件下形成種子57時,有時會發生混合相微粒的粒徑的不均。
另外,藉由在形成種子57之前,排出CVD設備的處理室內的氣體並將含有矽或鍺的沉積氣體導入到處理室內來去除處理室內的雜質元素,可以減少種子57中的雜質量。另外,藉由在形成種子57之前,在氟、氟化氮、氟化矽烷等的含有氟的氣圍中產生電漿,使絕緣膜55暴露於氟電漿,而可以形成緻密的種子57。
接著,如圖1B所示,在種子57上形成微晶半導體膜59。微晶半導體膜59在使種子57的結晶生長來填埋混合相微粒的空隙的條件下形成。另外,較佳的是微晶半導體膜59的厚度為30nm以上且100nm以下。
在電漿CVD設備的處理室中,在第二條件下將含有矽或鍺的沉積氣體和氫混合並利用輝光放電電漿來形成微晶半導體膜59。或者,對第二條件的原料氣體混合稀有氣體諸如氦、氬、氖、氪、氙等並利用輝光放電電漿來形成微晶半導體膜59。此處,第二條件是在使含有矽或鍺的沉積氣體的流量比與氫的流量比週期性地增減,且將處理室中的壓力設定為1333Pa以上且13332Pa以下(10Torr以上且100Torr以下)。
使含有矽或鍺的沉積氣體與氫的流量比週期性地增減是指使含有矽或鍺的沉積氣體或氫的流量週期性地增減。當相對於含有矽或鍺的沉積氣體的氫的流量比低時,典型地,藉由將相對於沉積氣體流量的氫流量設定為100倍以上且2000倍以下,而優先發生微晶半導體的結晶生長。另一方面,當相對於含有矽或鍺的沉積氣體的氫的流量比高時,優先發生非晶半導體的蝕刻。
藉由上述第二條件形成微晶矽、微晶矽鍺、微晶鍺等。其結果,在微晶半導體膜59中,在相對於非晶半導體區的結晶區的比例增高的同時,結晶區之間緊密性也增高,而結晶性得到提高。較佳的是將此時的沉積溫度設定為室溫至300℃,更佳地設定為150℃至280℃。另外,將上部電極及下部電極之間的間隔設定為可以產生電漿的間隔,即可。
當形成微晶半導體膜59時,可以適當地使用種子57的條件生成輝光放電電漿。另外,藉由作為形成種子57及微晶半導體膜59時的輝光放電等離子的生成使用相同的條件來可以提高處理量,但是也可以使用不同的條件。
在此,使用圖2對使含有矽或鍺的沉積氣體與氫的流量比週期性地增減的方法進行說明。圖2是時序圖,該時序圖示出本實施方式所示的微晶半導體膜的形成方法中的原料氣體及供給到裝置的電力的隨時間的變化。另外,在圖2中,實線71示出電漿CVD設備的電源的導通截止狀態,實線73示出氫的流量,實線75示出含有矽或鍺的沉積氣體(在圖2中是矽烷)的流量,並且實線79示出稀有氣體(在圖2中是氬)的流量。
將作為原料氣體的含有矽或鍺的沉積氣體和氫導入於電漿CVD設備的處理室中,並且將處理室設定為所定的壓力。另外,將基板51的溫度設定為所定的溫度。此時,氫以一定流量(在圖2中是流量a)導入於處理室中。
接著,將高頻電源的電源設定為ON,進行電漿放電。以使含有矽或鍺的沉積氣體的流量週期性地增減的方式將其導入於處理室中。在此,將使含有矽或鍺的沉積氣體與氫的流量比週期性地增減的工作稱為迴圈流動。在本實施方式中,反復第一週期和第二週期。在第一週期中,在將電力設定為ON之後,使流量c的含有矽或鍺的沉積氣體流過t1秒。在第二週期中,在將電力設定為ON之後,使流量b(b<c)的含有矽或鍺的沉積氣體流過t2秒。第二週期的含有矽或鍺的沉積氣體的流量比第一週期少,因此第二週期的相對於含有矽或鍺的沉積氣體的氫的流量比大於第一週期的相對於含有矽或鍺的沉積氣體的氫的流量比。在第一週期中,當將相對於沉積氣體的流量的氫的流量設定為100倍以上且2000倍以下時,由於後面的電漿放電而優先發生微晶半導體的結晶生長,而在第二週期中,優先發生非晶半導體的蝕刻。
另外,高頻電源也可以如虛線72所示反復導通和截止。
在電漿中,與氫自由基一起也形成由含有矽或鍺的沉積氣體生成的自由基。當將處理室內的壓力設定為1333Pa以上且13332Pa以下(10Torr以上且100Torr以下)時,因為處理室內的壓力高,所以沉積氣體的平均自由程短,氫自由基及氫離子每次碰撞都失掉能量,因此到了氫自由基及氫離子到達種子57的時候,氫自由基或氫離子的能量變低。由此,在形成於種子57中的混合相微粒之間,結晶生長優先於蝕刻作用,微晶半導體沉積,因此結晶區之間變得緊密,而微晶半導體膜的密度得到提高。另外,當將處理室內設定為上述壓力時,離子或自由基的能量降低,因此對微晶半導體膜的電漿損傷降低,而這有助於缺陷降低。
在含有矽或鍺的沉積氣體的流量少的第二週期(在圖2中是流量b)中,當將處理室內的壓力設定為1333Pa以上且13332Pa以下(10Torr以上且100Torr以下)時,因為處理室內的壓力高,所以在電漿中離解的氫自由基對含有在以第一條件形成的種子57中的非晶半導體選擇性地進行蝕刻。另外,因為由含有矽或鍺的沉積氣體生成的微量的自由基(典型為甲矽烷基自由基)與沉積表面的微晶半導體的懸空鍵結合,所以發生結晶性高的結晶生長。即,因為與選擇性的蝕刻一起發生結晶生長,所以微晶半導體膜的結晶性變高。
另外,在含有矽或鍺的沉積氣體的流量多的第一週期(在圖2中是流量c)中,因為與流量b的第二週期相比,有更多個由含有矽或鍺的沉積氣體生成的自由基,所以發生結晶生長。微晶半導體膜包括多個混合相微粒。藉由本實施方式所示的微晶半導體膜的形成方法,可以使混合相微粒的尺寸大,所以可以提高微晶半導體膜的結晶性。另外,有助於微晶半導體膜59的缺陷降低。
另外,由於當在種子的混合相微粒的空隙中發生新的微晶半導體膜的混合相微粒時,混合相微粒的尺寸變小,所以較佳的是微晶半導體膜的混合相微粒的發生頻度比種子的混合相微粒的發生頻率低。其結果,可以優先發生該種子的結晶生長。
另外,雖然在首先採用將含有矽或鍺的沉積氣體的流量設定為流量c的第一週期之後採用將流量設定為流量b的第二週期,但是也可以在首先採用使流量b的含有矽或鍺的沉積氣體流過的第二週期之後採用將流量設定為流量c的第一週期。另外,t1的長度既可以與t2的長度不同,又可以與t2的長度相同。另外,較佳的是t1及t2為幾秒至幾十秒。如果t1及t2為幾分鐘,就例如在t1中形成結晶性低的幾nm的微晶半導體膜,並且之後在t2中只有微晶半導體膜的表面起反應,難以提高微晶半導體膜內部的結晶性。
另外,雖然在此作為第一週期,即,使流量c的含有矽或鍺的沉積氣體流過的時間,都採用t1秒,但是也可以採用不同的時間。另外,雖然作為第二週期,即,使流量b(b<c)的含有矽或鍺的沉積氣體流過的時間,都採用t2秒,但是也可以採用不同的時間。
此外,雖然如圖2的實線79所示,不將氦、氬、氖、氪、氙等的稀有氣體導入到處理室中,但是也可以如虛線77所示,將稀有氣體導入到處理室中。或者,也可以使稀有氣體週期性地增減並將其導入到處理室中。
另外,雖然在此將氫的流量設定為一定,但是只要是微晶半導體的形成所需的氫量,也可以改變流量。此外,也可以將含有矽或鍺的沉積氣體設定為一定流量,並使氫流量週期性地增減。
此外,藉由在開啟高頻電源的狀態下改變原料氣體的流量,可以提高微晶半導體膜的沉積速度。
另外,也可以在將通向處理室的含有矽或鍺的沉積氣體的流量設定為c之後,即在第一週期之後,切斷高頻電源。或者,也可以將通向處理室的含有矽或鍺的沉積氣體的流量設定為b之後,即在第二週期之後,切斷高頻電源。
藉由上述製程,可以形成結晶性高的微晶半導體膜。
另外,較佳的是種子57的厚度為1nm以上且10nm以下。當種子57的厚度為厚於10nm時,即使微晶半導體膜59沉積,也難以填埋混合相微粒之間的空隙,並難以對包括在種子57的內部的非晶半導體進行蝕刻,而種子57及微晶半導體膜59的結晶性降低。另一方面,因為種子57需要形成有混合相微粒,所以較佳的是種子57的厚度為1nm以上。
另外,較佳的是微晶半導體膜59的厚度是30nm以上且100nm以下。藉由將微晶半導體膜59的厚度設定為30nm以上,可以降低薄膜電晶體的電特性的不均勻性。另外,藉由將微晶半導體膜59的厚度設定為100nm以下,可以提高處理量且抑制因應力而發生的膜剝離。
種子57及微晶半導體膜59具有微晶半導體。微晶半導體是具有非晶和晶體結構(包括單晶、多晶)之間的中間結構的半導體。微晶半導體是具有在自由能方面穩定的第三狀態的半導體,並且是具有短程有序和晶格畸變的晶體半導體,其中其直徑為2nm以上且200nm以下,較佳的為10nm以上且80nm以下,更佳的為20nm以上且50nm以下的柱狀或針狀混合相微粒相對於基板表面沿法線方向生長。因此,柱狀或針狀混合相微粒的介面有時形成有晶界。注意,在此所述的晶粒直徑是指與基板表面平行的面中的晶粒的最大直徑。
作為微晶半導體的典型例子的微晶矽的拉曼光譜移到比表示單晶矽的520cm-1 低的波數側。就是說,微晶矽的拉曼光譜的峰值位於表示單晶矽的520cm-1 和表示非晶矽的480cm-1 之間。另外,為了終結懸空鍵,至少包括1at.%以上的氫或鹵素。再者,藉由使其含有氦、氬、氖、氪、氙等稀有氣體元素來進一步促進晶格畸變,可以得到穩定性增高的良好的微晶半導體。例如美國專利No.4409134公開了關於這種微晶半導體的記載。
根據本實施方式可以形成藉由降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜。
實施方式2
在本實施方式中參照圖1A至3對與實施方式1相比結晶性高的微晶半導體膜的製造方法進行說明。
與實施方式1同樣,經過圖1A和1B的製程形成種子57及微晶半導體膜59。
接著,如圖3所示,在微晶半導體膜59上形成第二微晶半導體膜61。
在電漿CVD設備的處理室內,在第三條件下將含有矽或鍺的沉積氣體與氫混合,並利用輝光放電電漿,來形成第二微晶半導體膜61。或者,根據第三條件將含有矽或鍺的沉積氣體、氫和氦、氬、氖、氪、氙等的稀有氣體混合,並利用輝光放電電漿來形成第二微晶半導體膜61。根據使相對於含有矽或鍺的沉積氣體流量的氫流量的比率高於上述第二條件而稀釋沉積氣體,並將處理室內的壓力設定為與第二條件相同的1333Pa以上且13332Pa以下(10Torr以上且100Torr以下)的第三條件,形成微晶矽、微晶矽鍺、微晶鍺等,而用作第二微晶半導體膜61。較佳的是將此時的沉積溫度設定為室溫至300℃,更佳地設定為150℃至280℃。
此外,在第三條件中,也可以與第二條件同樣使含有矽或鍺的沉積氣體與氫的流量比週期性地增減,並且將處理室內的壓力設定為1333Pa以上且13332Pa以下(10Torr以上且100Torr以下)。此時,藉由使第三條件下的相對於含有矽或鍺的沉積氣體的氫的流量比低時的流量比高於第二條件下的相對於含有矽或鍺的沉積氣體的氫的流量比低時的流量比,可以進一步提高第二微晶半導體膜61的結晶性。
藉由使相對於含有矽或鍺的沉積氣體流量的氫流量的比率比上述第二條件高,可以進一步提高第二微晶半導體膜61的結晶性,從而與實施方式1相比,可以在表面上形成結晶性高的微晶半導體膜。
實施方式3
在本實施方式中,參照圖4A至圖7D說明形成在本發明的一個方式的半導體裝置中的薄膜電晶體的製造方法。注意,n型薄膜電晶體的載子遷移率比p型薄膜電晶體的載子遷移率高。此外,藉由使形成在同一基板之上的所有薄膜電晶體的極性相同,可以抑制製程數,所以是較佳的。因此,在本實施方式中說明n型薄膜電晶體的製造方法。
注意,導通電流是指當薄膜電晶體處於導通狀態時流過源極電極和汲極電極之間的電流。例如,在n型薄膜電晶體中,導通電流是指當閘極電壓高於電晶體的臨界值電壓時流過源極電極和汲極電極之間的電流。
此外,截止電流是指當薄膜電晶體處於截止狀態時流過源極電極和汲極電極之間的電流。例如,在n型薄膜電晶體中,截止電流是指當閘極電壓低於薄膜電晶體的臨界值電壓時流過源極電極和汲極電極之間的電流。
如圖4A所示,在基板101上形成閘極電極103。接著,形成覆蓋閘極電極103(也稱為第一閘極電極)的閘極絕緣膜105,並且在閘極絕緣膜105上形成種子107。
作為基板101,可以適當地使用實施方式1所示的基板51。
閘極電極103可以使用鉬、鈦、鉻、鉭、鎢、鋁、銅、釹、鈧、鎳等金屬材料或者以這些材料為主要成分的合金材料的單層或疊層來形成。此外,也可以使用以摻雜有磷等的雜質元素的多晶矽為代表的半導體、AgPdCu合金、Al-Nd合金、Al-Ni合金等。
例如,作為閘極電極103的兩層的疊層結構,較佳的是採用如下結構:在鋁膜上層疊鉬膜的兩層結構;在銅膜上層疊鉬膜的兩層結構;在銅膜上層疊氮化鈦膜或氮化鉭膜的兩層結構;層疊氮化鈦膜和鉬膜的兩層結構;層疊含有氧的銅-鎂-合金膜和銅膜的兩層結構;層疊含有氧的銅-錳-合金膜和銅膜的兩層結構;層疊銅-錳-合金膜和銅膜的兩層結構等。作為三層的疊層結構,較佳的是採用層疊鎢膜或氮化鎢膜、鋁與矽的合金膜或鋁與鈦的合金膜和氮化鈦膜或鈦膜的三層結構。藉由在低電阻膜上層疊用作阻擋層的金屬膜,可以降低電阻,且可以防止金屬元素從金屬膜擴散到半導體膜中。
閘極電極103可以藉由如下步驟形成:在基板101上藉由濺射法或真空蒸鍍法使用上述材料形成導電膜,藉由光刻法或噴墨法等在該導電膜上形成掩模,並且使用該掩模蝕刻導電膜。此外,閘極電極103還可以藉由如下步驟形成:藉由噴墨法將銀、金或銅等的導電奈米膏噴出到基板上,並進行焙燒。此外,也可以將上述金屬材料的氮化物膜設置在基板101和閘極電極103之間,以提高閘極電極103與基板101之間的黏附性。在此,在基板101上形成導電膜,並且使用藉由光刻製程形成的抗蝕劑形成的掩模來蝕刻該導電膜。
另外,較佳的是將閘極電極103的側面形成為錐形狀。這是因為如下緣故:避免在後面的製程中形成在閘極電極103上的絕緣膜、半導體膜及佈線在閘極電極103的臺階部分被切斷。為了將閘極電極103的側面形成為錐形狀,邊使由抗蝕劑形成的掩模縮退邊進行蝕刻,即可。
此外,藉由形成閘極電極103的製程,也可以同時形成閘極佈線(掃描線)及電容佈線。注意,掃描線是指選擇像素的佈線,而電容佈線是指與像素的儲存電容中的一方的電極連接的佈線。但是,不侷限於此,而也可以分別設置閘極佈線和電容佈線中的一方或兩者和閘極電極103。
閘極絕緣膜105可以適當地使用實施方式1所示的絕緣膜55來形成。此外,藉由使用氧化矽或氧氮化矽等的氧化絕緣膜形成閘極絕緣膜105,可以降低薄膜電晶體的臨界值電壓的變動。
閘極絕緣膜105可以利用CVD法或濺射法等來形成。在藉由CVD法形成閘極絕緣膜105的製程中,可以適當地使用實施方式1所示的種子57的條件生成輝光放電電漿。此外,藉由使用高頻為1GHz以上的微波電漿CVD設備形成閘極絕緣膜105,可以提高閘極電極與汲極電極及源極電極之間的耐壓,由此可以得到可靠性高的薄膜電晶體。
此外,藉由作為閘極絕緣膜105,採用使用有機矽烷氣體的CVD法來形成氧化矽膜,而可以提高後面形成的半導體膜的結晶性,由此可以提高薄膜電晶體的導通電流及場效應遷移率。作為有機矽烷氣體,可以使用四乙氧基矽烷(TEOS:化學式為Si(OC2 H5 )4 )、四甲基矽烷(TMS:化學式為Si(CH3 )4 )、四甲基環四矽氧烷(TMCTS)、八甲基環四矽氧烷(OMCTS)、六甲基二矽氮烷(HMDS)、三乙氧基矽烷(SiH(OC2 H5 )3 )、三二甲氨基矽烷(SiH(N(CH3 )2 )3 )等含矽化合物。
與實施方式1所示的種子57同樣,可以使用以低微粒密度形成高結晶性的混合相微粒的第一條件形成種子107。
藉由對種子107的原料氣體添加氦、氬、氖、氪、氙等的稀有氣體,可以提高種子107的結晶性。由此,薄膜電晶體的導通電流及場效應遷移率得到提高,並且可以提高處理量。
接著,如圖4B所示,在種子107上形成微晶半導體膜109。與實施方式1所示的微晶半導體膜59同樣地,可以使用使種子107的混合相微粒進行結晶生長來填埋空隙的第二條件形成微晶半導體膜109。
藉由對微晶半導體膜109的原料氣體添加氦、氬、氖、氪、氙等的稀有氣體,與種子107同樣,可以提高微晶半導體膜109的結晶性。由此,薄膜電晶體的導通電流及場效應遷移率得到提高,並且可以提高處理量。
接著,如圖4C所示,在微晶半導體膜109上形成半導體膜111。半導體膜111由微晶半導體區111a及非晶半導體區111b構成。接著,在半導體膜111上形成雜質半導體膜113。接著,在雜質半導體膜113上形成由抗蝕劑形成的掩模115。
可以在以微晶半導體膜109為種子來進行部分結晶生長的條件(抑制結晶生長的條件)下形成具有微晶半導體區111a及非晶半導體區111b的半導體膜111。
在電漿CVD設備的處理室中,將含有矽或鍺的沉積氣體、氫和含有氮的氣體混合,並利用輝光放電電漿來形成半導體膜111。作為含有氮的氣體,有氨、氮、氟化氮、氯化氮、氯胺、氟胺等。可以與種子107同樣地生成輝光放電電漿。
此時,藉由作為含有矽或鍺的沉積氣體與氫的流量比,使用與種子107或微晶半導體膜109同樣的流量比,並採用將含有氮的氣體用於原料氣體的條件,與種子107及微晶半導體膜109的沉積條件相比,可以抑制結晶生長。具體來說,由於在半導體膜111的沉積初期中原料氣體含有含氮的氣體,所以結晶生長被部分抑制,在錐形狀的微晶半導體區生長的同時,形成非晶半導體區。再者,在沉積的中期或後期中錐形狀的微晶半導體區的結晶生長停止,而只有非晶半導體區沉積。其結果是,在半導體膜111中,可以形成微晶半導體區111a和非晶半導體區111b,該非晶半導體區111b由缺陷少且價電子帶端的能階尾(tail)的斜率陡峭的秩序性高的半導體膜形成。
在此,形成半導體膜111的條件的典型例子為:相對於含有矽或鍺的沉積氣體流量的氫流量為10倍至2000倍,較佳的為10倍至200倍。另外,形成通常的非晶半導體膜的條件的典型例子為:相對於含有矽或鍺的沉積氣體流量的氫流量為0倍至5倍。
此外,藉由將氦、氬、氖、氙或氪等的稀有氣體導入到半導體膜111的原料氣體中,可以提高沈積速度。
較佳的是將半導體膜111的厚度設定為50nm至350nm,更佳地設定為120nm至250nm。
在此,圖5A和5B示出圖4C所示的閘極絕緣膜105和雜質半導體膜113之間的放大圖。
如圖5A所示,半導體膜111中的微晶半導體區111a具為凹凸形狀,凸部為其頭端從閘極絕緣膜105向非晶半導體區111b變窄(凸部的頭端為銳角)的凸狀(錐形狀)。另外,微晶半導體區111a的形狀也可以為其寬度從閘極絕緣膜105向非晶半導體區111b變寬的凸狀(倒錐形狀)。
藉由將種子107、微晶半導體膜109及微晶半導體區111a的厚度,即從閘極絕緣膜105與種子107的介面到微晶半導體區111a的突起(凸部)的頭端的距離設定為5nm以上且310nm以下,可以減少薄膜電晶體的截止電流。
此外,藉由將利用二次離子質譜分析法測量的包含在半導體膜111中的氧濃度設定為低於1×1018 atoms/cm3 ,可以提高微晶半導體區111a的結晶性,所以是較佳的。另外,利用二次離子質譜分析法測量的半導體膜111的氮濃度分佈的峰值濃度為1×1020 atoms/cm3 以上且1×1021 atoms/cm3 以下,較佳的為2×1020 atoms/cm3 以上且1×1021 atoms/cm3 以下。
非晶半導體區111b利用具有氮的非晶半導體形成。包含在具有氮的非晶半導體中的氮例如也可以為NH基或NH2 基。非晶半導體使用非晶矽形成。
含有氮的非晶半導體是一種半導體,其中與現有的非晶半導體相比,藉由CPM(Constant photocurrent method:恆定光電流法)或光致發光光譜測量來測量的烏爾巴赫端(Urbach edge)的能量低,且缺陷吸收光譜量少。換言之,含有氮的非晶半導體為與現有的非晶半導體相比,缺陷少且價電子帶端的能階尾的斜率陡峭的秩序性高的半導體。因為含有氮的非晶半導體的價電子帶端的能階尾的斜率陡峭,所以帶隙寬,穿隧電流不容易流過。由此,藉由將含有氮的非晶半導體設置在微晶半導體區111a和雜質半導體膜113之間,可以降低薄膜電晶體的截止電流。另外,藉由設置含有氮的非晶半導體,可以提高導通電流和場效應遷移率。
再者,在含有氮的非晶半導體中,藉由低溫光致發光光譜得到的光譜峰值區為1.31eV以上且1.39eV以下。另外,藉由低溫光致發光光譜測量微晶半導體,典型的是微晶矽而得到的光譜的峰值區為0.98eV以上且1.02eV以下,含有氮的非晶半導體與微晶半導體不同。
此外,與非晶半導體區111b同樣,微晶半導體區111a也可以具有NH基或NH2 基。
另外,如圖5B所示,藉由使非晶半導體區111b包括粒徑為1nm以上且10nm以下,較佳的為1nm以上且5nm以下的半導體混合相微粒111c,可以進一步提高導通電流和場效應遷移率。
其頭端從閘極絕緣膜105向非晶半導體區111b變窄的凸狀(錐形狀)的微晶半導體藉由使微晶半導體沉積的條件來形成微晶半導體膜,然後在進行部分的結晶生長的條件下進行結晶生長,且使非晶半導體沉積,而實現這種結構。
因為半導體膜111中的微晶半導體區111a為錐形狀或倒錐形狀,所以可以降低在導通狀態下對源極電極和汲極電極之間施加電壓時的縱方向(膜厚度方向)上的電阻,即半導體膜111的電阻。此外,因為在微晶半導體區111a和雜質半導體膜113之間具有缺陷少,價電子帶端的能階尾的斜率陡峭,且秩序性高的含氮的非晶半導體,所以穿隧電流不容易流過。由此,本實施方式所示的薄膜電晶體可以提高導通電流及場效應遷移率,且減少截止電流。
雖然在此使半導體膜111的原料氣體包括含有氮的氣體來形成具有微晶半導體區111a及非晶半導體區111b的半導體膜111,但是作為半導體膜111的其他形成方法有如下方法:在使微晶半導體膜109的表面暴露於含有氮的氣體來使微晶半導體膜109的表面吸附氮之後,將含有矽或鍺的沉積氣體及氫用作原料氣體,來可以形成具有微晶半導體區111a及非晶半導體區111b的半導體膜111。
使用添加有磷的非晶矽、添加有磷的微晶矽等形成雜質半導體膜113。此外,作為雜質半導體膜113,也可以採用添加有磷的非晶矽和添加有磷的微晶矽的疊層結構。另外,當作為薄膜電晶體形成p型薄膜電晶體時,使用添加有硼的微晶矽、添加有硼的非晶矽等形成雜質半導體膜113。此外,當半導體膜111和後面形成的佈線129a、129b形成歐姆接觸時,也可以不形成雜質半導體膜113。
在電漿CVD設備的處理室中,將含有矽的沉積氣體、氫和磷化氫(使用氫或矽烷進行稀釋)混合,並利用輝光放電電漿來形成雜質半導體膜113。由此,形成添加有磷的非晶矽或添加有磷的微晶矽。另外,在製造p型薄膜電晶體時,使用乙硼烷代替磷化氫並利用輝光放電電漿來形成雜質半導體膜113,即可。
此外,當使用添加有磷的微晶矽或添加有硼的微晶矽形成雜質半導體膜113時,藉由在半導體膜111和雜質半導體膜113之間形成微晶半導體膜,典型地形成微晶矽膜,可以提高介面的特性。其結果是,可以降低產生在雜質半導體膜113和半導體膜111之間的介面的電阻。其結果是,使流過薄膜電晶體的源極區、半導體膜及汲極區的電流量增加,從而可以增加導通電流及場效應遷移率。
由抗蝕劑形成的掩模115可以藉由光刻製程形成。
接著,使用由抗蝕劑形成的掩模115對種子107、微晶半導體膜109、半導體膜111及雜質半導體膜113進行蝕刻。藉由該製程,根據每個元件分離種子107、微晶半導體膜109、半導體膜111及雜質半導體膜113,來形成島狀的半導體疊層體117及島狀的雜質半導體膜121。另外,半導體疊層體117具有包括種子107、微晶半導體膜109及半導體膜111的微晶半導體區的微晶半導體區117a和包括半導體膜111的非晶半導體的非晶半導體區117b。然後,去除由抗蝕劑形成的掩模115(參照圖4D)。
接著,在雜質半導體膜121上形成導電膜127(參照圖6A)。可以使用鋁、銅、鈦、釹、鈧、鉬、鉻、鉭或鎢等的單層或疊層形成導電膜127。或者,也可以使用添加有用於防止小丘的元素的鋁合金(可用於閘極電極103的Al-Nd合金等)形成導電膜127。也可以使用添加有成為施體的雜質元素的結晶矽。也可以採用如下疊層結構,即使用鈦、鉭、鉬、鎢或這些元素的氮化物形成與添加有成為施體的雜質元素的結晶矽接觸一側的膜,並在其上形成鋁或鋁合金。而且,還可以採用如下疊層結構,即鋁或鋁合金的上面及下面被夾在鈦、鉭、鉬、鎢或這些元素的氮化物之間。藉由CVD法、濺射法或真空蒸鍍法形成導電膜127。此外,也可以使用銀、金或銅等的導電奈米膏藉由絲網印刷法或噴墨法等進行噴出並進行焙燒,來形成導電膜127。
接著,藉由光刻製程形成由抗蝕劑形成的掩模,並且使用該由抗蝕劑形成的掩模對導電膜127進行蝕刻,來形成用作源極電極和汲極電極的佈線129a和129b(參照圖6B)。作為導電膜127的蝕刻,可以採用乾蝕刻或濕蝕刻。另外,佈線129a、129b中的一方不但用作源極電極或汲極電極,而且還用作信號線。但是,不侷限於此而也可以分別設置信號線和源極電極及汲極電極。
接著,對雜質半導體膜121和半導體疊層體117的一部分進行蝕刻來形成用作源極區和汲極區的一對雜質半導體膜131a、131b。此外,還形成包括微晶半導體區133a和一對非晶半導體區133b的半導體疊層體133。此時,藉由以使微晶半導體區133a露出的方式對半導體疊層體117進行蝕刻,形成半導體疊層體133,其中在被佈線129a、129b覆蓋的區域中層疊微晶半導體區133a及非晶半導體區133b,而在未被佈線129a、129b覆蓋且與閘極電極重疊的區域中微晶半導體區133a露出。
在此,佈線129a、129b的端部與雜質半導體膜131a、131b的端部對準。但是佈線129a、129b的端部與雜質半導體膜131a、131b的端部也可以不對準,且在剖面中佈線129a、129b的端部位於雜質半導體膜131a、131b的端部的內側。
接著,也可以進行乾蝕刻。作為乾蝕刻的條件,使用如下條件,即露出的微晶半導體區133a及非晶半導體區133b不受損傷,且對微晶半導體區133a及非晶半導體區133b的蝕刻速度低的條件。作為蝕刻氣體,典型地使用Cl2 、CF4 或N2 等。此外,對蝕刻法沒有特別的限制,可以採用感應耦合電漿(ICP:Inductively Coupled Plasma)方式、電容耦合電漿(CCP:Capacitively Coupled Plasma)方式、電子迴旋共振電漿(ECR:Electron Cyclotron Resonance)方式、反應離子蝕刻(RIE:Reactive Ion Etching)方式等。
接著,對微晶半導體區133a及非晶半導體區133b的表面進行電漿處理,典型地進行水電漿處理、氧電漿處理、氨電漿處理、氮電漿處理、使用氧及氫的混合氣體的電漿處理等。
藉由將以水蒸氣(H2 O蒸氣)為代表的水為主要成分的氣體導入到反應空間,生成電漿,而可以進行水電漿處理。然後,去除由抗蝕劑形成的掩模。另外,也可以在雜質半導體膜121及半導體疊層體117的乾蝕刻之前去除該由抗蝕劑形成的掩模。
如上所述,藉由在形成微晶半導體區133a及非晶半導體區133b之後,在不使微晶半導體區133a及非晶半導體區133b受損傷的條件下進一步進行乾蝕刻,可以去除存在於露出的微晶半導體區133a及非晶半導體區133b上的殘渣等的雜質。此外,藉由在乾蝕刻之後連續地進行水電漿處理,可以去除由抗蝕劑形成的掩模的殘渣,並可以減少微晶半導體區133a的缺陷。另外,藉由進行電漿處理,可以使源極區和汲極區之間處於確實的絕緣狀態,從而可以降低完成的薄膜電晶體的截止電流,並降低電特性的不均勻性。
另外,在導電膜127上形成藉由光刻製程使用抗蝕劑形成的掩模,並且使用該由抗蝕劑形成的掩模對導電膜127進行蝕刻,來形成用作源極電極和汲極電極的佈線129a和129b。接著,藉由對雜質半導體膜121進行蝕刻,來形成用作源極區和汲極區的一對雜質半導體膜131a、131b。在該情況下,半導體疊層體117的一部分有時被蝕刻。接著,也可以在去除由抗蝕劑形成的掩模之後,對半導體疊層體117的一部分進行蝕刻,形成具有微晶半導體區133a及一對非晶半導體區133b的半導體疊層體133。
其結果,在去除由抗蝕劑形成的掩模的製程中,因為微晶半導體區117a被非晶半導體區117b覆蓋,所以微晶半導體區117a不接觸於剝離液及抗蝕劑殘留物。另外,在去除由抗蝕劑形成的掩模之後,使用佈線129a、129b對非晶半導體區117b進行蝕刻,以使微晶半導體區133a暴露。因此,接觸於剝離液及抗蝕劑殘留物的非晶半導體區不殘留在背通道中。其結果,因為不產生殘留在背通道中的剝離液及抗蝕劑殘留物所引起的洩漏電流,所以可以進一步降低薄膜電晶體的截止電流。
藉由上述製程可以製造單閘型薄膜電晶體。此外,可以以高生產率製造截止電流低且導通電流及場效應遷移率高的單閘型薄膜電晶體。
接著,在半導體疊層體133及佈線129a、129b上形成絕緣膜137(也稱為第二閘極絕緣膜)。絕緣膜137可以與閘極絕緣膜105同樣地形成。
接著,使用藉由光刻製程形成的由抗蝕劑形成的掩模在絕緣膜137中形成開口部(未圖示)。接著,在絕緣膜137上形成背閘極電極139(也稱為第二閘極電極)(參照圖6C)。藉由上述製程,可以製造雙閘型薄膜電晶體。
背閘極電極139可以與佈線129a、129b同樣地形成。此外,背閘極電極139可以使用含有氧化鎢的氧化銦、含有氧化鎢的氧化銦鋅、含有氧化鈦的氧化銦、含有氧化鈦的氧化銦錫、氧化銦錫、氧化銦鋅或添加有氧化矽的氧化銦錫等的具有透光性的導電材料形成。
此外,背閘極電極139可以使用含有具有透光性的導電高分子(也稱為導電聚合物)的導電組成物形成。較佳的是,在背閘極電極139中,薄層電阻為10000Ω/sq.以下,並且波長為550nm時的透光率為70%以上。另外,導電組成物所含有的導電高分子的電阻率較佳地為0.1Ω‧cm以下。
作為導電高分子,可以使用所謂的π電子共軛類導電高分子。例如,可以舉出聚苯胺或其衍生物、聚吡咯或其衍生物、聚噻吩或其衍生物及苯胺、吡咯和噻吩中兩種以上的共聚物或其衍生物等。
在藉由濺射法使用上述材料中的任何材料形成薄膜之後,使用藉由光刻製程形成的由抗蝕劑形成的掩模對上述薄膜進行蝕刻,而可以形成背閘極電極139。此外,在塗敷或印刷含有具有透光性的導電高分子的導電組成物之後,進行焙燒來形成背閘極電極139。
接著,參照作為薄膜電晶體的俯視圖的圖7A至7D說明背閘極電極的形狀。
如圖7A所示,可以與閘極電極103平行地形成背閘極電極139。在此情況下,可以分別任意地控制施加到背閘極電極139的電位及施加到閘極電極103的電位。因此,可以控制薄膜電晶體的臨界值電壓。此外,因為載子流過的區域,即通道區形成在微晶半導體區的閘極絕緣膜105一側及絕緣膜137一側,所以可以提高薄膜電晶體的導通電流。
此外,如圖7B所示,可以使背閘極電極139連接到閘極電極103。也就是說,可以採用在形成在閘極絕緣膜105及絕緣膜137的開口部150中,閘極電極103與背閘極電極139連接的結構。在此情況下,施加到背閘極電極139的電位和施加到閘極電極103的電位相等。其結果是,因為在半導體膜中,載子流過的區域,即通道區形成在微晶半導體區的閘極絕緣膜105一側及絕緣膜137一側,所以可以提高薄膜電晶體的導通電流。
此外,如圖7C所示,背閘極電極139也可以不與閘極電極103連接而處於浮動狀態。即使不對背閘極電極139施加電位,也由於通道區被形成在微晶半導體區的閘極絕緣膜105一側及絕緣膜137一側,因此可以提高薄膜電晶體的導通電流。
再者,如圖7D所示,背閘極電極139也可以隔著絕緣膜137與佈線129a、129b重疊。雖然在此使用具有圖7A所示的結構的背閘極電極139進行表示,但是圖7B及7C所示的背閘極電極139也可以同樣地與佈線129a、129b重疊。
在本實施方式所示的單閘型薄膜電晶體及雙閘型薄膜電晶體中,可以使用降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜形成通道區。由此,單閘型薄膜電晶體及雙閘型薄膜電晶體的載子遷移量增加,從而可以提高導通電流及場效應遷移率。此外,在微晶半導體區133a和雜質半導體膜131a、131b之間具有非晶半導體區133b。因此,可以降低薄膜電晶體的截止電流。由此,可以縮小單閘型薄膜電晶體的面積及雙閘型薄膜電晶體的面積,從而可以實現半導體裝置的高集成化。另外,由於藉由將本實施方式所示的薄膜電晶體用於顯示裝置的驅動電路,可以減少驅動電路的面積,因此可以實現顯示裝置的窄邊框化。
另外,雖然在本實施方式中使用實施方式1形成微晶半導體膜,但是也可以使用實施方式2形成微晶半導體膜。另外,由於當使用實施方式2所示的微晶半導體膜形成雙閘型薄膜電晶體時,背閘極電極一側的微晶半導體膜的結晶性高,因此可以進一步改善雙閘型薄膜電晶體的電特性。
實施方式4
在本實施方式中,參照圖4A至4D及圖8A至8C說明與實施方式3相比可以進一步降低截止電流的薄膜電晶體的製造方法。
與實施方式3同樣地,經過圖4A至4C的製程形成圖8A所示的半導體疊層體117。
接著,在便由抗蝕劑形成的掩模115殘留的狀態下,進行使半導體疊層體117的側面暴露於電漿123的電漿處理。在此,在氧化氣體或氮化氣體氣圍下產生電漿,使半導體疊層體117暴露於電漿123。作為氧化氣體,有氧、臭氧、一氧化二氮、水蒸氣、氧和氫的混合氣體等。此外,作為氮化氣體,有氮、氨、氟化氮、氯化氮、氯胺、氟胺等。藉由在氧化氣體或氮化氣體氣圍下產生電漿,產生氧自由基或氮自由基。該自由基與半導體疊層體117起反應,而可以在半導體疊層體117的側面形成作為勢壘區的絕緣區。另外,也可以照射紫外光代替電漿來產生氧自由基或氮自由基。
此外,當作為氧化氣體使用氧、臭氧、水蒸氣、氧和氫的混合氣體時,如圖8B所示,因電漿照射而抗蝕劑縮退,因此形成底面的面積縮小的掩模115a。由此,藉由該電漿處理,露出的雜質半導體膜121與半導體疊層體117的側壁一起氧化,且還在半導體疊層體117的側壁以及雜質半導體膜121的側壁及上面的一部分形成作為勢壘區的絕緣區125。
接著,如實施方式3所示,經過與圖6A及6B同樣的製程,如圖6C所示那樣形成用作源極電極及汲極電極的佈線129a、129b、用作源極區及汲極區的一對雜質半導體膜131a、131b、包括微晶半導體區133a及一對非晶半導體區133b的半導體疊層體133、絕緣膜137,而可以製造單閘型薄膜電晶體。
此外,藉由在絕緣膜137上形成背閘極電極,可以製造雙閘型薄膜電晶體。
在本實施方式所示的單閘型薄膜電晶體及雙閘型薄膜電晶體中,可以使用降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜形成通道區。此外,藉由在半導體疊層體133和佈線129a、129b之間設置作為勢壘區的絕緣區,可以抑制從佈線129a、129b被注入到半導體疊層體133的電洞,從而形成截止電流低且場效應遷移率及導通電流高的薄膜電晶體。由此,可以縮小薄膜電晶體的面積,從而可以實現半導體裝置的高集成化。此外,藉由將本實施方式所示的薄膜電晶體用於顯示裝置的驅動電路,可以減少驅動電路的面積,因此可以實現顯示裝置的窄邊框化。
注意,雖然在本實施方式中使用實施方式3說明,但是也可以適當地使用其他實施方式。
實施方式5
在本實施方式中,參照圖5A和5B、圖6A至6C以及圖9說明形成在本發明的一個方式的半導體裝置中的薄膜電晶體的製造方法。圖9是對應於圖6B所示的製程的製程。
與實施方式3同樣,經過圖4A至4D及圖6A的製程形成導電膜127。
接著,如圖9所示,與實施方式3同樣地形成佈線129a、129b,並對雜質半導體膜121及半導體疊層體117的一部分進行蝕刻,來形成用作源極區及汲極區的一對雜質半導體膜131a、131b。此外,形成包括微晶半導體區143a及非晶半導體區143b的半導體疊層體143。此時,藉由以使非晶半導體區143b露出的方式對半導體疊層體117進行蝕刻,形成半導體疊層體143,其中在被佈線129a、129b覆蓋的區域中,層疊微晶半導體區143a和非晶半導體區143b,而在未被佈線129a、129b覆蓋且與閘極電極重疊的區域中,微晶半導體區143a不露出且非晶半導體區143b露出。注意,在此的半導體疊層體117的蝕刻量比圖6B少。
後面的製程與實施方式3相同。
藉由上述製程,可以製造單閘型薄膜電晶體。由於在該薄膜電晶體中,背通道一側是非晶,因此與圖6B所示的薄膜電晶體相比,可以減少截止電流。
此外,在本實施方式中,也可以在圖6A至6C所示的製程之後與圖6C所示的製程同樣地隔著絕緣膜137形成背閘極電極139。
本實施方式可以與其他實施方式適當地組合而使用。
實施方式6
製造薄膜電晶體,並將該薄膜電晶體用於像素部、驅動電路從而可以製造具有顯示功能的半導體裝置(也稱為顯示裝置)。此外,將使用薄膜電晶體的驅動電路的一部分或整體與像素部一體地形成在相同的基板上,從而可以形成系統化面板(system-on-panel)。
顯示裝置包括顯示元件。作為顯示元件,可以使用液晶元件(也稱為液晶顯示元件)、發光元件(也稱為發光顯示元件)。發光元件在其範疇內包括由電流或電壓控制亮度的元件,明確地說,發光元件包括無機EL(Electro Luminescence:電致發光)元件、有機EL元件等。此外,也可以應用電子墨水等的其對比度因電作用而變化的顯示介質。
此外,顯示裝置包括密封有顯示元件的面板和在該面板中安裝有包括控制器的IC等的模組。再者,在相當於製造該顯示裝置的過程中的顯示元件完成之前的一個方式的元件基板的多個各像素中分別具備用於將電流供應到顯示元件的單元。具體來說,元件基板可處於僅設置有顯示元件的像素電極的狀態、在形成成為像素電極的導電膜之後且在進行蝕刻以形成像素電極之前的狀態或者任何其他狀態。
注意,本說明書中的顯示裝置是指影像顯示裝置、顯示裝置、或光源(包括照明裝置)。此外,該顯示裝置在其範疇中還可包括以下模組:安裝有連接器諸如FPC(Flexible Printed Circuit:撓性印刷電路)、TAB(Tape Automated Bonding:帶式自動接合)帶或TCP(Tape Carrier Package:帶式載體封裝)的模組;在TAB帶或TCP的端部上設置有印刷線路板的模組;以及藉由COG(Chip On Glass:玻璃上的晶片)方式在顯示元件上直接安裝IC(積體電路)的模組。
實施方式7
在本實施方式中,說明作為半導體裝置的一個方式的光電轉換裝置。在本實施方式所示的光電轉換裝置中,作為半導體膜採用如實施方式1及實施方式2所示的降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜。作為採用降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜的半導體膜,有進行光電轉換的半導體膜、呈現導電型的半導體膜等,特別較的是用於進行光電轉換的半導體膜。或者,也可以將降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜用於進行光電轉換的半導體膜或呈現導電型的半導體膜與其他膜之間的介面。
藉由採用上述結構,可以降低進行光電轉換的半導體膜、呈現導電型的半導體膜所引起的電阻(串聯電阻),來提高特性。此外,可以抑制進行光電轉換的半導體膜或呈現導電型的半導體膜與其他膜之間的介面中的光學損失或電氣損失,來提高光電轉換效率。下面,參照圖10A至10E說明光電轉換裝置的製造方法的一個方式。
如圖10A所示,在基板200上形成第一電極202。
作為基板200,可以適當地使用實施方式1所示的基板51。此外,也可以使用塑膠基板。作為塑膠基板,較佳的是使用包括環氧樹脂、不飽和聚酯樹脂、聚醯亞胺樹脂、雙馬來醯亞胺三嗪樹脂或氰酸酯樹脂等熱固性樹脂的基板或者包括聚苯醚樹脂、聚醚醯亞胺樹脂、氟樹脂等熱塑性樹脂的基板。
另外,基板200的表面也可以具有紋理結構。由此,可以提高光電轉換效率。
此外,由於在本實施方式中採用光從基板200的背面一側(圖式中的下方)入射的結構,因此採用具有透光性的基板。但是,當採用光從後面形成的第二電極210一側(圖式中的上方)入射的結構時,不侷限於此。在此情況下,也可以使用含有矽等的材料的半導體基板、含有金屬材料等的導電基板。
可以使用用於實施方式3所示的背閘極電極139的具有透光性的導電材料形成第一電極202。藉由濺射法、CVD法、真空蒸鍍法、塗敷法、印刷法等形成第一電極202。
以10nm至500nm的厚度,較佳地以50nm至100nm的厚度形成第一電極202。此外,將第一電極202的薄層電阻設定為20Ω/sq.至200Ω/sq.左右。
注意,因為在本實施方式中採用光從基板200的背面一側(圖式中的下方)入射的結構,所以使用具有透光性的導電材料形成第一電極202,但是,當採用光從後面形成的第二電極210一側(圖式中的上方)入射的結構時,不侷限於此。在此情況下,可以使用鋁、鉑、金、銀、銅、鈦、鉭、鎢等的沒有透光性的導電材料形成第一電極202。特別是,當使用鋁、銀、鈦、鉭等的容易反射光的材料時,可以充分地提高光電轉換效率。
與基板200同樣,第一電極202也可以具有紋理結構。此外,也可以以與第一電極202接觸的方式另外形成由低電阻的導電材料構成的輔助電極。
接著,如圖10B所示,在第一電極202上形成呈現第一導電型的半導體膜204。典型的是,使用含有添加有賦予導電型的雜質元素的半導體材料的半導體膜形成呈現第一導電型的半導體膜204。從生產率、價格等的角度來看,較佳的是作為半導體材料使用矽。當作為半導體材料使用矽時,作為賦予導電型的雜質元素採用賦予n型的磷、砷或賦予p型的硼、鋁等。
注意,因為在本實施方式中採用光從基板200的背面一側(圖式中的下方)入射的結構,所以較佳的是呈現第一導電型的半導體膜204的導電型(第一導電型)為p型。這是因為如下緣故:由於電洞的壽命比電子的壽命短,即電子的壽命的一半,因此電洞的擴散長度短;以及大多在進行光電轉換的半導體膜206的入射光一側形成電子和電洞等。像這樣,藉由將第一導電型設定為p型,可以在電洞湮滅之前取出電流,所以可以抑制光電轉換效率的降低。注意,在上述情況不成為問題的情況下,例如在進行光電轉換的半導體膜206充分薄的情況等下,也可以將第一導電型設定為n型。
作為可以用於呈現第一導電型的半導體膜204的半導體材料,還有碳化矽、鍺、鎵砷、磷化銦、硒化鋅、氮化鎵、矽鍺等。此外,也可以使用含有有機材料的半導體材料、含有金屬氧化物的半導體材料等。可以根據進行光電轉換的半導體膜206適當地選擇該材料。
雖然對呈現第一導電型的半導體膜204的結晶性沒有特別的要求,但是,當作為呈現第一導電型的半導體膜204採用實施方式1或實施方式2所示的降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜時,與採用現有的微晶半導體膜的情況相比,可以降低串聯電阻,並抑制與其他膜之間的介面中的光學損失或電氣損失,所以是較佳的。當然,也可以採用非晶、多晶、單晶等的具有其他結晶性的半導體。
另外,與基板200的表面同樣,呈現第一導電型的半導體膜204的表面也可以具有紋理結構。
可以藉由使用含有矽的沉積氣體及乙硼烷的電漿CVD法形成呈現第一導電型的半導體膜204。此外,以1nm至100nm的厚度,較佳地以5nm至50nm的厚度形成呈現第一導電型的半導體膜204。
此外,也可以在藉由電漿CVD法等形成沒添加有賦予導電型的雜質元素的矽膜之後,藉由離子植入等的方法添加硼,而形成呈現第一導電型的半導體膜204。
接著,如圖10C所示,在呈現第一導電型的半導體膜204上形成進行光電轉換的半導體膜206。作為進行光電轉換的半導體膜206,應用使用與半導體膜204相同的半導體材料的半導體膜。也就是說,作為半導體材料,使用矽、碳化矽、鍺、鎵砷、磷化銦、硒化鋅、氮化鎵、矽鍺等。尤其佳的是,使用矽。此外,也可以使用含有有機材料的半導體材料、金屬氧化物半導體材料等。
作為進行光電轉換的半導體膜206,更佳地使用如實施方式1及實施方式2所示的降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜。藉由作為半導體膜採用如實施方式1及實施方式2所示的降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜,與採用現有的微晶半導體膜的情況相比,可以減少串聯電阻,並可以抑制與其他膜之間的介面中的光學損失或電氣損失。
注意,因為進行光電轉換的半導體膜206需要進行充分的光吸收,所以較佳的是其厚度為100nm至10μm左右。
接著,如圖10D所示,在進行光電轉換的半導體膜206上形成呈現第二導電型的半導體膜208。在本實施方式中,第二導電型為n型。可以使用添加有用作賦予導電型的雜質元素的磷的矽等的材料來形成呈現第二導電型的半導體膜208。可以用於呈現第二導電型的半導體膜208的半導體材料與呈現第一導電型的半導體膜204相同。
呈現第二導電型的半導體膜208可以與呈現第一導電型的半導體膜204同樣地形成。例如,可以藉由使用含有矽的沉積氣體及磷化氫的電漿CVD法形成呈現第二導電型的半導體膜208。作為呈現第二導電型的半導體膜208,較佳的也是採用實施方式1或實施方式2所示的降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜。
另外,雖然由於在本實施方式中,採用光從基板200的背面一側(圖式中的下方)入射的結構,因此半導體膜208的導電型(第二導電型)為n型,但是所公開的發明的一個方式不侷限於此。當第一導電型為n型時,第二導電型為p型。
接著,如圖10E所示,在呈現第二導電型的半導體膜208上形成第二電極210。使用金屬等的導電材料形成第二電極210。例如,可以使用鋁、銀、鈦、鉭等的容易反射光的材料形成第二電極210。在此情況下,可以使半導體膜206不能完全吸收的光再次入射到半導體膜206中,來提高光電轉換效率,所以是較佳的。
作為第二電極210的形成方法,有濺射法、真空蒸鍍法、CVD法、塗敷法、印刷法等。此外,第二電極210以10nm至500nm的厚度,較佳地以50nm至100nm的厚度形成。
注意,由於在本實施方式中採用光從基板200的背面一側(圖式中的下方)入射的結構,因此使用沒有透光性的材料形成第二電極210,但是第二電極210的結構不侷限於此。例如,在採用光從第二電極210一側(圖式中的上方)入射的結構時,可以使用第一電極202所示的具有透光性的導電材料形成第二電極210。
另外,也可以以與第二電極210接觸的方式形成由低電阻的導電材料構成的輔助電極。
藉由上述方法,可以製造一種光電轉換裝置,其中將降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜用於進行光電轉換的半導體膜、呈現第一導電型的半導體膜和呈現第二導電型的半導體膜中的任一個。而且,由此可以提高光電轉換裝置的轉換效率。另外,只要將降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜用於進行光電轉換的半導體膜、呈現第一導電型的半導體膜和呈現第二導電型的半導體膜中的任一個即可,可適當地改變將其用於哪一個。此外,在將降低混合相微粒之間的空隙來提高結晶性的微晶半導體膜用於上述半導體膜中的多個時,更有效。
注意,雖然在本實施方式中示出了具有一個單元元件的光電轉換裝置,但是也可以形成適當地層疊兩個以上的單元元件的光電轉換裝置。
本實施方式可以與其他實施方式適當地組合而使用。
實施方式8
本說明書所公開的半導體裝置可以用於電子紙。電子紙可以用於用來顯示資訊的所有領域的電子裝置。例如,電子紙能夠應用到電子書閱讀器、招貼、數位標牌、PID(Public Information Display:公共資訊顯示)、諸如電車等交通工具中的車廂廣告、諸如信用卡等各種卡的顯示等。圖11示出電子裝置的一個例子。
圖11示出電子書閱讀器的一個例子。例如,電子書閱讀器2700由兩個外殼,即外殼2701及外殼2703構成。外殼2701及外殼2703藉由軸部2711形成為一體,且可以以該軸部2711為軸進行開閉動作。藉由採用這種結構,可以進行如紙的書籍那樣的動作。
外殼2701組裝有顯示部2705及光電轉換裝置2706,而外殼2703組裝有顯示部2707及光電轉換裝置2708。顯示部2705及顯示部2707的結構既可以是顯示連屏畫面的結構,又可以是顯示不同的畫面的結構。藉由採用顯示不同的畫面的結構,例如可以在右側的顯示部(圖11中的顯示部2705)中顯示文章,而在左側的顯示部(圖11中的顯示部2707)中顯示影像。
此外,在圖11中示出外殼2701具備操作部等的例子。例如,在外殼2701中,具備電源2721、操作鍵2723、揚聲器2725等。利用操作鍵2723可以翻頁。注意,可以採用在與外殼的顯示部同一表面上具備鍵盤、指向裝置等的結構。另外,也可以採用在外殼的背面或側面具備外部連接用端子(耳機端子、USB端子或可與AC適配器及USB電纜等的各種電纜連接的端子等)、記錄媒體插入部等的結構。再者,電子書閱讀器2700也可以具有電子詞典的功能。
此外,電子書閱讀器2700也可以採用能夠以無線的方式收發資訊的結構。還可以採用以無線的方式從電子書籍伺服器購買所希望的書籍資料等,然後下載的結構。
實施方式9
本說明書所公開的半導體裝置可以應用於各種各樣的電子裝置(也包括遊戲機)。作為電子裝置,例如可舉出電視裝置(也稱為電視或電視接收機)、用於電腦等的監視器、數位相機、數碼攝影機、數碼相框、行動電話機(也稱為行動電話、行動電話裝置)、可攜式遊戲機、可攜式資訊終端、音頻再現裝置、彈珠機等的大型遊戲機等。
圖12A示出電視裝置的一個例子。在電視裝置9600中,外殼9601組裝有顯示部9603。利用顯示部9603可以顯示映射。此外,在此示出利用支架9605支撐外殼9601的結構。
藉由利用外殼9601所具備的操作開關、另外提供的遙控操作機9610可以進行電視裝置9600的操作。藉由利用遙控操作機9610所具備的操作鍵9609,可以進行頻道及音量的操作,並可以對在顯示部9603上顯示的映射進行操作。此外,也可以採用在遙控操作機9610中設置顯示從該遙控操作機9610輸出的資訊的顯示部9607的結構。
另外,電視裝置9600採用具備接收機、數據機等的結構。可以藉由利用接收機接收一般的電視廣播。再者,藉由數據機連接到利用有線或無線方式的通信網路,從而也可以進行單向(從發送者到接收者)或雙向(在發送者和接收者之間或在接收者之間等)的資訊通信。
圖12B示出數碼相框的一個例子。例如,在數碼相框9700中,外殼9701組裝有顯示部9703。顯示部9703可以顯示各種影像,例如藉由顯示使用數位相機等拍攝的影像資料,可以發揮與一般的相框同樣的功能。
另外,數碼相框9700採用具備操作部、外部連接用端子(USB端子、可以與USB電纜等的各種電纜連接的端子等)、記錄媒體插入部等的結構。它們也可以組裝到與顯示部同一個面,但是藉由將它們設置在側面或背面上來提高設計性,所以是較佳的。例如,可以對數碼相框的記錄媒體插入部插入儲存有由數位相機拍攝的影像資料的記憶體並提取影像資料,然後將所提取的影像資料顯示於顯示部9703。
此外,數碼相框9700也可以採用能夠以無線的方式收發資訊的結構。還可以採用以無線的方式提取所希望的影像資料並進行顯示的結構。
圖13是示出可攜式電腦的一個例子的立體圖。
在圖13所示的可攜式電腦中,可以將連接上部外殼9301與下部外殼9302的鉸鏈裝置設置為關閉狀態來使具有顯示部9303的上部外殼9301與具有鍵盤9304的下部外殼9302處於重疊狀態,而便於攜帶,並且,當使用者利用鍵盤進行輸入時,將鉸鏈裝置設置為打開狀態,而可以看著顯示部9303進行輸入操作。
另外,下部外殼9302除了鍵盤9304之外還包括進行輸入操作的指向裝置9306。另外,當顯示部9303為觸屏輸入面板時,也可以藉由觸摸顯示部的一部分進行輸入操作。另外,下部外殼9302還包括CPU、硬碟等的運算功能部。此外,下部外殼9302還具有用來插入其他裝置,例如符合USB的通信標準的通信電纜的外部連接埠9305。
在上部外殼9301中還具有藉由使它滑動到上部外殼9301內部而可以收納的顯示部9307,因此可以實現寬顯示幕幕。另外,使用者可以調節可以收納的顯示部9307的屏幕的方向。另外,當可以收納的顯示部9307為觸屏輸入面板時,還可以藉由觸摸可以收納的顯示部的一部分來進行輸入操作。
顯示部9303或可以收納的顯示部9307使用如液晶顯示面板、有機發光元件或無機發光元件等的發光顯示面板等的映射顯示裝置。
另外,圖13的可攜式電腦安裝有接收機等,而可以接收電視廣播並將映射顯示於顯示部。另外,使用者還可以在連接上部外殼9301與下部外殼9302的鉸鏈裝置處於關閉狀態的狀態下滑動顯示部9307而使其屏幕的整個面露出並調整屏幕角度來觀看電視廣播。此時,鉸鏈裝置處於未打開狀態從而不使顯示部9303進行顯示,並僅啟動只顯示電視廣播的電路,所以可以將功耗控制為最少,這對電池容量有限的可攜式電腦而言是充分有效的。
實施例1
在本實施例中,使用圖14對微晶矽膜及非晶矽膜的蝕刻速度和壓力的關係進行說明。
首先,對蝕刻樣品的製造方法進行說明。
樣品1的被蝕刻膜是非晶矽膜。在此,在玻璃基板上形成厚度為100nm的非晶矽膜。非晶矽膜的沉積使用電漿CVD法進行,在該電漿CVD法中:將矽烷的流量設定為100sccm,將氫的流量設定為75sccm,而導入原料氣體來進行穩定化;將處理室內的壓力設定為100Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為30W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
樣品2的被蝕刻膜是微晶矽膜。此處,在玻璃基板上形成厚度為300nm的氮化矽膜之後,對氮化矽膜進行電漿處理。接著,在實施方式1所示的第一條件下形成厚度為5nm的種子之後,在第二條件(注意,不進行迴圈流動)下形成厚度為65nm的微晶矽膜。
使用氮化矽膜形成閘極絕緣膜105。形成厚度為300nm的氮化矽膜。氮化矽膜藉由電漿CVD法進行沉積而形成。
在氮化矽膜的沉積中:將矽烷的流量設定為15sccm,將氫的流量設定為200sccm,將氮的流量設定為180sccm,將氬的流量設定為500sccm,而導入原料氣體;將處理室內的壓力設定為100Pa;將基板溫度設定為250℃;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為200W;並且進行電漿放電。
在對氮化矽膜的電漿處理中:以400sccm的流量導入一氧化二氮(N2 O);將處理室內的壓力設定為60pa;並且以300W進行電漿放電。另外,上述電漿處理使用平行平板型的電漿處理裝置進行,將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃。
種子的沉積使用電漿CVD法進行,在該電漿CVD法中:將矽烷的流量設定為4sccm,將氫的流量設定為750sccm,將氬的流量設定為750sccm,而導入原料氣體;將處理室內的壓力設定為532Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為150W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
微晶矽膜的沉積使用電漿CVD法進行,在該電漿CVD法中:將矽烷的流量設定為1.8sccm,將氫的流量設定為750sccm,將氬的流量設定為750sccm,而導入原料氣體;將處理室內的壓力設定為5000Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為125W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
接著,在樣品1和樣品2中,在不同壓力條件下進行蝕刻處理測量蝕刻速度。
作為蝕刻條件,使用電漿蝕刻法,在該電漿蝕刻法中:將氫的流量設定為1500sccm,將氬的流量設定為1500sccm,而導入蝕刻氣體;將RF電源頻率設定為13.56MHz;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行600秒的電漿放電。另外,對於樣品1和樣品2,將處理室內的壓力設定為1000Pa、2000Pa、3000Pa、4000Pa、5000Pa、10000Pa,並且將RF電源的功率設定為100W、150W。
圖14示出此時的壓力和蝕刻速度的關係。橫軸表示1/壓力(1/kPa),而縱軸表示蝕刻速度(nm/min)。
此外,菱形表示樣品1(被蝕刻膜是非晶矽膜)的蝕刻速度,而白菱形表示壓力為1000Pa至5000Pa且RF電源的功率為100W時的蝕刻速度。黑菱形表示壓力為2000Pa至10000Pa且RF電源的功率為150W時的蝕刻速度。
此外,方形表示樣品2(被蝕刻膜是微晶矽膜)的蝕刻速度。白方形表示壓力為1000Pa至5000Pa且RF電源的功率為100W時的蝕刻速度。黑方形表示壓力為2000Pa至10000Pa且RF電源的功率為150W時的蝕刻速度。
在樣品2(被蝕刻膜是微晶矽膜)中,在壓力為1000pa,即橫軸為1(1/kPa)時,蝕刻速度快。但是,在壓力為2000Pa以上,即橫軸為0.5以下(1/kPa)時,蝕刻速度降低,微晶矽膜幾乎不被蝕刻。
另一方面,在樣品1(被蝕刻膜是非晶矽膜)中,在壓力為1000pa至10000Pa的範圍中,蝕刻速度以近似線形的方式降低,但是非晶矽膜被蝕刻。
由此,在將處理室內的壓力至少設定為2000Pa以上的氫氣圍中產生的電漿中,可以不對微晶矽膜進行蝕刻,並對非晶矽膜選擇性地進行蝕刻。
由此,在實施方式1和實施方式2中,藉由在第二條件下進行矽烷迴圈流動,有矽烷的流量週期性地銳減的期間,並且在該期間中主要在氫氣圍中產生電漿。由此,可以對沉積在種子上的微晶半導體膜的非晶半導體選擇性地進行蝕刻。其結果,可以形成結晶性高的微晶半導體膜。
實施例2
在本實施例中,使用圖15A至圖16B對實施方式1所示的微晶半導體膜的表面結構、結晶性及雛晶的尺寸進行說明。
首先,對樣品的製造方法進行說明。
樣品3及樣品5是使用實施方式1所示的方法形成微晶矽膜的樣品。
作為樣品3及樣品5,在玻璃基板上形成厚度為300nm的氮化矽膜,然後對氮化矽膜進行電漿處理。接著,在實施方式1所示的第一條件下形成厚度為5nm的種子之後,在第二條件下形成厚度為30nm的微晶矽膜。
在此,使用當形成實施例1所示的樣品2時使用的氮化矽膜的成膜條件以及電漿處理的條件。
樣品3及樣品5的種子的沉積使用電漿CVD法進行,在該電漿CVD法中:將矽烷的流量設定為4sccm,將氫的流量設定為750sccm,將氬的流量設定為750sccm,而導入原料氣體;將處理室內的壓力設定為532Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為150W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
樣品3的微晶矽膜的沉積使用電漿CVD法進行,在該電漿CVD法中:將氫的流量設定為1500sccm,將氬的流量設定為1500sccm,使用如下迴圈流動導入原料氣體,在該迴圈流動中交替流過10秒的流量為2sccm的矽烷和流過5秒的流量為0.1sccm的矽烷;將處理室內的壓力設定為10000Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為350W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
樣品5的微晶矽膜的沉積使用電漿CVD法進行,在該電漿CVD法中:將氫的流量設定為1500sccm,將氬的流量設定為1500sccm,使用如下迴圈流動導入原料氣體,在該迴圈流動中交替流過5秒的流量為2sccm的矽烷和流過5秒的流量為0.1sccm的矽烷;將處理室內的壓力設定為10000Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為350W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
作為參考例子的樣品4與樣品3及樣品5的不同之處是:當在第二條件下進行微晶矽膜的沉積時,採用不使用迴圈流動的條件。明確而言,在玻璃基板上形成厚度為300nm的氮化矽膜,然後對氮化矽膜進行電漿處理。接著,在實施方式1所示的第一條件下形成厚度為5nm的種子,然後在不進行迴圈流動的第二條件下形成厚度為30nm的微晶矽膜。
作為不進行迴圈流動的第二條件,使用電漿CVD法,在該電漿CVD法中:將矽烷的流量設定為2sccm,將氫的流量設定為1500sccm,將氬的流量設定為1500sccm,而導入原料氣體;將處理室內的壓力設定為10000Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為350W;將上部電極的溫度設定為250℃,而將下部電極的溫度設定為290℃;並且進行電漿放電。
利用掃描電子顯微鏡拍攝樣品3及樣品4的微晶矽膜,並且圖15A和15B分別示出其SEM照片(倍率為20萬倍)。
另外,利用拉曼光譜分析測量樣品3及樣品4的結晶性(Ic/Ia(結晶矽的峰值強度/非晶矽的峰值強度))。樣品3的Ic/Ia為10.8,而樣品4的Ic/Ia為9.7。
接著,圖17A和17B示出利用In-Plane X射線衍射法(In-Plane XRD)分析樣品4及樣品5並評價雛晶的尺寸而得到的結果。圖17A示出當橫軸2e為20度至80度時測定的X射線衍射光譜。圖17B是圖17A中的(111)面取向的峰值的放大圖,示出橫軸2θ為25度至35度時的X射線衍射光譜。在圖17A和17B中,虛線示出作為比較例的樣品4的X射線衍射光譜,而實線示出樣品5的X射線衍射光譜。
在此,將示出(111)面取向的峰值的半峰全寬代入Scherrer(謝樂)公式中,計算各樣品中的具有(111)面取向的雛晶的平均尺寸。樣品5中的具有(111)面取向的雛晶的平均尺寸為10.5nm。另一方面,作為比較例的樣品4中的具有(111)面取向的雛晶的平均尺寸為9.2nm。
根據圖15A和15B可知:當在形成種子之後進行微晶矽膜的沉積時,藉由進行迴圈流動,混合相微粒變得緻密且微晶矽膜的結晶性得到提高。另外,根據圖17A和17B可知:當在形成種子之後進行微晶矽膜的沉積時,藉由進行迴圈流動,可以得到大雛晶。
實施例3
在本實施例中,對使用實施方式3製造的薄膜電晶體的電特性進行說明。
參照圖4A及4B和圖6A至6C對本實施例的薄膜電晶體的製造方法進行說明。
如圖4A所示,在基板101上形成基底絕緣膜(在此未圖示),並且在基底絕緣膜上形成閘極電極103。
在此,作為基板101,使用玻璃基板(Corning Inc.製造的EAGLE XG)。
閘極電極103採用利用鈦層夾持鋁層的結構。明確而言,首先利用氬離子對鈦靶材進行濺射,在基底絕緣膜上形成厚度為50nm的第一鈦膜。此時,將導入的氬流量設定為20sccm,將處理室內的壓力設定為0.1Pa,並且將溫度設定為室溫。並且,在其上利用氬離子對鋁靶材進行濺射來形成厚度為100nm的鋁膜。此時,將導入的氬流量設定為50sccm,將處理室內的壓力設定為0.4Pa,將溫度設定為室溫。並且,在其上利用氬離子對鈦靶材進行濺射來形成厚度為50nm的第二鈦膜。此時,將導入的氬流量設定為20sccm,將處理室內的壓力設定為0.1Pa,將溫度設定為室溫。
接著,在第二鈦膜上塗上抗蝕劑,使用第一光掩模進行曝光,然後進行顯影,而形成由抗蝕劑形成的掩模。
接著,使用該由該抗蝕劑形成的掩模進行蝕刻處理,而形成閘極電極103。在此,使用ICP(Inductively Coupled Plasma:感應耦合電漿)裝置進行兩個步驟的蝕刻。換言之,在進行第一蝕刻之後進行第二蝕刻。在第一蝕刻中:ICP功率為600W;偏壓功率為250W;作為蝕刻氣體導入流量為60sccm的氯化硼和流量為20sccm的氯;以及將處理室內的壓力設定為1.2Pa。在第二蝕刻中:ICP功率為500W;偏壓功率為50W;壓力為2.0Pa;作為蝕刻氣體導入流量為80sccm的氟化碳;以及將處理室內的壓力設定為2.0Pa。然後,去除該由抗蝕劑形成的掩模。
接著,在閘極電極103及基底絕緣膜上形成閘極絕緣膜105。
形成氮化矽膜並將其用作閘極絕緣膜105。在形成厚度為300nm的氮化矽膜之後,對氮化矽膜進行電漿處理。在此,使用當形成實施例1所示的樣品2時使用的氮化矽膜的成膜條件及電漿處理的條件。
接著,在閘極絕緣膜105上形成種子107,然後如圖4B所示那樣形成微晶半導體膜109。
在此,作為種子107,使用與實施例2所示的樣品3相同的成膜條件來形成厚度為5nm的種子107。作為微晶半導體膜109,使用與實施例2所示的樣品3相同的成膜條件來形成厚度為65nm的微晶半導體膜109。
接著,在微晶半導體膜109上形成半導體膜111,並且在半導體膜111上形成雜質半導體膜113。半導體膜111及雜質半導體膜113利用電漿CVD法進行沉積而形成。
半導體膜111的沉積條件為:將矽烷的流量設定為20sccm,將1000ppm氨(氫稀釋)的流量設定為50sccm,將氫的流量設定為700sccm,將氬的流量設定為750sccm,而導入材料氣體;將處理室內的壓力設定為350Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為60W;並且進行電漿放電。另外,在此使用平行平板型的電漿處理裝置進行半導體膜111的沉積,並且將上部電極溫度設定為250℃,將下部電極溫度設定為290℃。
形成厚度為50nm的添加有磷的非晶矽膜,並將其用作雜質半導體膜113。此時的沉積條件為:將矽烷的流量設定為80sccm,將0.5%磷化氫(氫稀釋)的流量設定為150sccm,將氫的流量設定為750sccm,而導入材料氣體;將處理室內的壓力設定為350Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為30W;並且進行電漿放電。另外,在此使用平行平板型的電漿處理裝置進行雜質半導體膜的沉積,並且將上部電極的溫度設定為250℃,將下部電極的溫度設定為290℃。
接著,在雜質半導體膜113上塗上抗蝕劑,然後使用第二光掩模進行曝光,進行顯影,而形成由抗蝕劑形成的掩模115。圖4C示出到此為止的製程。
接著,使用由抗蝕劑形成的掩模115對微晶半導體膜、半導體膜111、雜質半導體膜113進行蝕刻,而形成具有微晶半導體區117a及非晶半導體區117b的半導體疊層體117及雜質半導體膜121。
在此,在如下條件下進行蝕刻,即:使用ICP裝置;ICP功率為450W;偏壓功率為100W;作為蝕刻氣體,導入流量為36sccm的氯化硼、流量為36sccm的氟化碳、流量為8sccm的氧;並且將處理室內的壓力設定為2Pa。
接著,進行氧電漿處理在具有微晶半導體區117a及非晶半導體區117b的半導體疊層體117及雜質半導體膜121的側壁形成氧化膜,然後去除由抗蝕劑形成的掩模115(未圖示)。
在氧電漿處理中:導入流量為100sccm的氧來將處理室內的壓力設定為0.67Pa;將基板溫度設定為-10℃;將源功率設定為2000W;將偏壓功率設定為350W;並且進行電漿放電。
接著,如圖6A所示,以覆蓋半導體疊層體117、雜質半導體膜121的方式在閘極絕緣膜105上形成導電膜127。
在此,導電膜127採用利用鈦層夾持鋁層的結構,並與閘極電極103同樣地形成。注意,將第一鈦膜的厚度設定為50nm,將鋁膜的厚度設定為200nm,並且將第二鈦膜的厚度設定為50nm。
接著,在導電膜127上塗上抗蝕劑,然後使用第三光掩模進行曝光,進行顯影,而形成由抗蝕劑形成的掩模。使用該由抗蝕劑形成的掩模對導電膜127進行乾蝕刻,而形成佈線129a及佈線129b。
在此,在如下條件下進行蝕刻,即:使用ICP裝置;ICP功率為450W;偏壓功率為100W;作為蝕刻氣體,導入流量為60sccm的氯化硼和流量為20sccm的氯;並且處理室內的壓力為1.9Pa。
接著,在去除由抗蝕劑形成的掩模之後,對雜質半導體膜121進行乾蝕刻來形成用作源極區及汲極區的一對雜質半導體膜131a、131b,並且對半導體疊層體117的一部分進行蝕刻,從而形成具有微晶半導體區133a及一對非晶半導體區133b的半導體疊層體133。
另外,對半導體疊層體117進行蝕刻到離表面有50nm的地點。注意,在本實施例中,用作源極電極及汲極電極的佈線129a、129b的平面形狀為直線型。
接著,對半導體疊層體133的表面進行水電漿處理,去除殘留在半導體疊層體133表面上的雜質。在此,將功率設定為1800W,以300sccm的流量導入水蒸氣,將處理室內的壓力設定為66.5Pa,而進行水電漿處理。
接著,形成厚度為300nm的氮化矽膜並將其用作絕緣膜137。此時的沉積條件為:將矽烷的流量設定為20sccm,將氨的流量設定為220sccm,將氮的流量設定為450sccm,將氫的流量設定為450sccm,而導入材料氣體;將處理室內的壓力設定為160Pa;將基板溫度設定為250℃;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為200W;並且進行電漿放電。
接著,在絕緣膜137上塗上抗蝕劑,然後使用第四光掩模進行曝光,進行顯影,而形成由抗蝕劑形成的掩模。使用該由抗蝕劑形成的掩模對絕緣膜的一部分進行乾蝕刻來使用作源極電極及汲極電極的佈線129a、129b露出。另外,對絕緣膜137及閘極絕緣膜105的一部分進行乾蝕刻來使閘極電極103露出。然後,去除由抗蝕劑形成的掩模。
接著,在絕緣膜137上形成導電膜,然後在該導電膜上塗上抗蝕劑,使用第五光掩模進行曝光,進行顯影,而形成由抗蝕劑形成的掩模。使用該由抗蝕劑形成的掩模對導電膜的一部分進行濕蝕刻來形成背閘極電極139。
在此,藉由濺射法形成厚度為50nm的氧化銦錫並將其用作導電膜,然後藉由濕蝕刻處理形成背閘極電極139。另外,雖然在此未圖示,但是背閘極電極139與閘極電極103連接。然後,去除由抗蝕劑形成的掩模。
藉由上述製程製造雙閘型薄膜電晶體(以下記載為TFT1)(參照圖6C)。
另外,在與TFT1相同的製程中,在不使用迴圈流動的條件下形成厚度為65nm的微晶半導體膜109,而製造雙閘型薄膜電晶體(記載為TFT2)並將其用作比較例。
不使用迴圈流動的條件下,微晶半導體膜109的沉積條件使用電漿CVD法進行,在該電漿CVD法中:將矽烷的流量設定為2sccm,將氫的流量設定為1500sccm,將氬的流量設定為1500sccm,而導入原料氣體;將處理室內的壓力設定為10000Pa;將RF電源頻率設定為13.56MHz;將RF電源的功率設定為350W;將上部電極的溫度設定為250℃,將下部電極的溫度設定為290℃;並且使用進行電漿放電。
圖16A和16B示出在本實施例中製造的薄膜電晶體(TFT1)及比較例的薄膜電晶體(TFT2)的電特性的測定結果。在此,示出只對閘極電極103施加上述閘電壓時的電特性。另外,在本實施例的薄膜電晶體中,將通道長度設定為3.3μm,將通道寬度設定為20.4μm,將閘極絕緣層的厚度設定為300nm,將平均介電常數設定為6.55,以計算場效應遷移率。
另外,表1示出汲電壓為10V且閘電壓為15V時的導通電流(記載為Ion)、最小截止電流(記載為Ioff(min))、最小截止電流的閘電壓為-10V時的截止電流(記載為Ioff)、臨界值電壓(記載為Vth)、S值(記載為S-value)、相對於最小截止電流的導通電流的比率(記載為Ion/Ioff_min)、汲電壓為10V時的場效應遷移率(記載為μFE_sat)。
根據圖16A和16B,當使用第二條件形成微晶半導體膜時,藉由進行迴圈流動,薄膜電晶體的導通電流、相對於最小截止電流的導通電流的比率以及場效應遷移率上升,並且最小截止電流及S值降低。根據本實施例,可以製造導通電流及場效應遷移率高且截止電流低的薄膜電晶體。
在圖式中:
圖1A和1B是說明根據本發明的一個實施方式的微晶半導體膜的製造方法的剖面圖;圖2是說明根據本發明的一個實施方式的微晶半導體膜的製造方法的圖;圖3是說明根據本發明的一個實施方式的微晶半導體膜的製造方法的剖面圖;圖4A至4D是說明根據本發明的一個實施方式的半導體裝置的製造方法的剖面圖;圖5A和5B是說明根據本發明的一個實施方式的半導體裝置的製造方法的剖面圖;圖6A至6C是說明根據本發明的一個實施方式的半導體裝置的製造方法的剖面圖;圖7A至7D是說明根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖;圖8A至8C是說明根據本發明的一個實施方式的半導體裝置的製造方法的剖面圖;圖9是說明根據本發明的一個實施方式的半導體裝置的製造方法的剖面圖;圖10A至10E是說明光電轉換裝置的製造方法的一個方式的剖面圖;圖11是示出電子書閱讀器的一個例子的立體圖;圖12A和12B是示出電視裝置及數碼相框的一個例子的立體圖;圖13是示出可攜式電腦的一個例子的立體圖;圖14是示出半導體膜的蝕刻速度的圖;圖15A和15B是微晶矽膜的SEM照片;圖16A和16B是說明薄膜電晶體的電流電壓特性的圖;圖17A和17B是說明微晶矽膜的X射線衍射強度的圖。
51...基板
55...絕緣膜
57...種子
57a...混合相微粒
57b...空隙

Claims (11)

  1. 一種半導體裝置的形成方法,包括如下步驟:在基板上形成絕緣膜;在第一條件下將氫和沉積氣體導入到第一處理室中來在該絕緣膜上形成種子:氫的第一流量為該沉積氣體的第一流量的5.0×101 倍以上且1.0×103 倍以下;並且該第一處理室中的第一壓力為5.0×10-1 Torr以上且10Torr以下;以及在第二條件下將氫和該沉積氣體導入到第二處理室中來在該種子上形成微晶半導體膜:該沉積氣體的第二流量在第一值和第二值之間週期性地變化;並且該第二處理室中的第二壓力為10Torr以上且100Torr以下,其中,該第一值相當於該沉積氣體的該第一流量,並且,該第二值比該第一值低。
  2. 一種半導體裝置的形成方法,包括如下步驟:在基板上形成閘極電極;在該基板和該閘極電極上形成閘極絕緣膜;在第一條件下將氫和沉積氣體導入到第一處理室中來在該閘極絕緣膜上形成種子:氫的第一流量為該沉積氣體的第一流量的5.0×101 倍以上且1.0×103 倍以下;並且該第一處理室中的第一壓力為5.0×10-1 Torr以上且10Torr以下;在第二條件下將氫和該沉積氣體導入到第二處理室中來在該種子上形成微晶半導體膜:該沉積氣體的第二流量 在第一值和第二值之間週期性地變化;並且該第二處理室中的第二壓力為10Torr以上且100Torr以下;在該微晶半導體膜上形成半導體膜;對該種子的一部分、該微晶半導體膜的一部分和該半導體膜的一部分進行蝕刻來形成半導體疊層體;以及在該半導體疊層體上形成佈線,其中,該第一值相當於該沉積氣體的該第一流量,並且,該第二值比該第一值低。
  3. 一種半導體裝置的形成方法,包括如下步驟:在基板上形成絕緣膜;在第一條件下將氫和沉積氣體導入到第一處理室中來在該絕緣膜上形成種子:氫的第一流量為該沉積氣體的第一流量的5.0×101 倍以上且1.0×103 倍以下;並且該第一處理室中的第一壓力為5.0×10-1 Torr以上且10Torr以下;以及在第二條件下將氫和該沉積氣體導入到第二處理室中來在該種子上形成微晶半導體膜:該沉積氣體與氫的流量比在第一值和第二值之間週期性地變化;並且該第二處理室中的第二壓力為10Torr以上且100Torr以下,其中,當該流量比為該第一值時,該沉積氣體的流量相當於該沉積氣體的該第一流量,並且,該第二值比該第一值低。
  4. 一種半導體裝置的形成方法,包括如下步驟:在基板上形成閘極電極; 在該基板和該閘極電極上形成閘極絕緣膜;在第一條件下將氫和沉積氣體導入到第一處理室中來在該閘極絕緣膜上形成種子:氫的第一流量為該沉積氣體的第一流量的5.0×101 倍以上且1.0×103 倍以下;並且該第一處理室中的第一壓力為5.0×10-1 Torr以上且10Torr以下;在第二條件下將氫和該沉積氣體導入到第二處理室中來在該種子上形成微晶半導體膜:該沉積氣體與氫的流量比在第一值和第二值之間週期性地變化;並且該第二處理室中的第二壓力為10Torr以上且100Torr以下;在該微晶半導體膜上形成半導體膜;對該種子的一部分、該微晶半導體膜的一部分和該半導體膜的一部分進行蝕刻來形成半導體疊層體;以及在該半導體疊層體上形成佈線,其中,當該流量比為該第一值時,該沉積氣體的流量相當於該沉積氣體的該第一流量,並且,該第二值比該第一值低。
  5. 根據申請專利範圍第1至4項中任一項之方法,其中在該第二條件下氫的流量為一定的值並相當於氫的該第一流量。
  6. 根據申請專利範圍第1至4項中任一項之方法,其中該第一值為該第二值的1.0×101 倍以上。
  7. 根據申請專利範圍第1至4項中任一項之方法,其中該第一處理室與該第二處理室彼此不同。
  8. 根據申請專利範圍第2或4項之方法,還包括如下步驟:對該半導體疊層體的側表面進行電漿處理,而在該半導體疊層體的該側表面上形成隔壁區。
  9. 根據申請專利範圍第2或4項之方法,還包括如下步驟:在該佈線、該半導體疊層體和該閘極絕緣膜上形成絕緣膜;以及在該絕緣膜上形成背閘極電極。
  10. 根據申請專利範圍第9項之方法,其中該閘極電極與該背閘極電極彼此電連接。
  11. 根據申請專利範圍第9項之方法,其中該背閘極電極處於電浮動狀態。
TW100121637A 2010-06-29 2011-06-21 半導體裝置的形成方法 TWI514473B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010148074 2010-06-29

Publications (2)

Publication Number Publication Date
TW201218272A TW201218272A (en) 2012-05-01
TWI514473B true TWI514473B (zh) 2015-12-21

Family

ID=45352928

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100121637A TWI514473B (zh) 2010-06-29 2011-06-21 半導體裝置的形成方法

Country Status (5)

Country Link
US (1) US8778745B2 (zh)
JP (1) JP5808589B2 (zh)
KR (1) KR101840183B1 (zh)
CN (1) CN102312220B (zh)
TW (1) TWI514473B (zh)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5785770B2 (ja) 2010-05-14 2015-09-30 株式会社半導体エネルギー研究所 微結晶半導体膜の作製方法、及び半導体装置の作製方法
CN102386072B (zh) * 2010-08-25 2016-05-04 株式会社半导体能源研究所 微晶半导体膜的制造方法及半导体装置的制造方法
US8450158B2 (en) * 2010-11-04 2013-05-28 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
US9048327B2 (en) * 2011-01-25 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Microcrystalline semiconductor film, method for manufacturing the same, and method for manufacturing semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2014045175A (ja) 2012-08-02 2014-03-13 Semiconductor Energy Lab Co Ltd 半導体装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102938379B (zh) * 2012-11-21 2015-06-17 深圳市华星光电技术有限公司 开关管的制作方法及开关管的蚀刻设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6083604B2 (ja) * 2013-05-27 2017-02-22 国立研究開発法人海洋研究開発機構 応力履歴測定方法および応力センサー
KR102258374B1 (ko) * 2013-10-18 2021-06-01 삼성디스플레이 주식회사 박막 트랜지스터, 이를 포함하는 표시 패널 및 이의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104319279B (zh) * 2014-11-10 2017-11-14 京东方科技集团股份有限公司 阵列基板及其制造方法、显示装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102326316B1 (ko) 2015-04-10 2021-11-16 삼성전자주식회사 반도체 소자의 제조 방법
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP6856398B2 (ja) * 2017-02-10 2021-04-07 株式会社半導体エネルギー研究所 表示装置の作製方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0897427A (ja) * 1994-07-27 1996-04-12 Sharp Corp 薄膜半導体素子および薄膜トランジスタ並びにその製造方法
US20090047758A1 (en) * 2007-08-17 2009-02-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing display device
JP2010123926A (ja) * 2008-10-21 2010-06-03 Semiconductor Energy Lab Co Ltd 薄膜トランジスタ

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5091334A (en) 1980-03-03 1992-02-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JPS56122123A (en) 1980-03-03 1981-09-25 Shunpei Yamazaki Semiamorphous semiconductor
JPH03201492A (ja) 1989-12-28 1991-09-03 Toshiba Corp レーザ発振器の光量制御装置
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
KR950013784B1 (ko) 1990-11-20 1995-11-16 가부시키가이샤 한도오따이 에네루기 겐큐쇼 반도체 전계효과 트랜지스터 및 그 제조방법과 박막트랜지스터
JP2791422B2 (ja) 1990-12-25 1998-08-27 株式会社 半導体エネルギー研究所 電気光学装置およびその作製方法
US7115902B1 (en) 1990-11-20 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5514879A (en) 1990-11-20 1996-05-07 Semiconductor Energy Laboratory Co., Ltd. Gate insulated field effect transistors and method of manufacturing the same
US7098479B1 (en) 1990-12-25 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US7576360B2 (en) 1990-12-25 2009-08-18 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device which comprises thin film transistors and method for manufacturing the same
JPH05129608A (ja) 1991-10-31 1993-05-25 Sharp Corp 半導体装置
EP0535979A3 (en) 1991-10-02 1993-07-21 Sharp Kabushiki Kaisha A thin film transistor and a method for producing the same
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
JP3201492B2 (ja) 1992-03-27 2001-08-20 キヤノン株式会社 非晶質シリコン膜の製造方法、非晶質窒化シリコン膜の製造方法、微結晶シリコン膜の製造方法、及び非単結晶半導体装置
JP2924441B2 (ja) 1992-04-27 1999-07-26 日本電気株式会社 薄膜トランジスタ及びその製造方法
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
JPH0745833A (ja) 1993-07-26 1995-02-14 Nec Corp 電界効果薄膜型トランジスタ素子の製造方法
JPH07131030A (ja) 1993-11-05 1995-05-19 Sony Corp 表示用薄膜半導体装置及びその製造方法
JP3352191B2 (ja) 1993-12-08 2002-12-03 キヤノン株式会社 薄膜トランジスタの製造方法
JP3152829B2 (ja) 1994-01-18 2001-04-03 株式会社東芝 半導体装置の製造方法
JPH08148690A (ja) * 1994-11-25 1996-06-07 Sharp Corp 薄膜トランジスタおよび半導体膜の製造方法
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
JPH09232235A (ja) 1995-02-24 1997-09-05 Mitsui Toatsu Chem Inc 光電変換素子
JPH1055963A (ja) * 1996-08-08 1998-02-24 Toshiba Corp 非単結晶半導体薄膜の形成方法および形成装置
JP2005167264A (ja) 1997-03-10 2005-06-23 Canon Inc 堆積膜形成方法、半導体素子の製造方法、及び光電変換素子の製造方法
CN1097299C (zh) 1997-03-10 2002-12-25 佳能株式会社 淀积膜形成工艺
EP0994515B1 (en) 1998-10-12 2007-08-22 Kaneka Corporation Method of manufacturing silicon-based thin-film photoelectric conversion device
JP3672754B2 (ja) 1998-12-09 2005-07-20 株式会社カネカ シリコン系薄膜光電変換装置の製造方法
JP3682178B2 (ja) 1999-03-15 2005-08-10 株式会社東芝 プラズマ処理方法及びプラズマ処理装置
US6344420B1 (en) 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
JP2000277439A (ja) 1999-03-25 2000-10-06 Kanegafuchi Chem Ind Co Ltd 結晶質シリコン系薄膜のプラズマcvd方法およびシリコン系薄膜光電変換装置の製造方法
JP2001053283A (ja) 1999-08-12 2001-02-23 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
JP2002206168A (ja) 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子
JP2002246605A (ja) 2001-02-20 2002-08-30 Matsushita Electric Ind Co Ltd 液晶表示用薄膜トランジスタの製造方法
JP2002280309A (ja) 2001-03-19 2002-09-27 Toshiba Corp 薄膜形成方法
JP2003037278A (ja) 2001-07-19 2003-02-07 Sanyo Electric Co Ltd 光起電力素子の製造方法及び光起電力素子
JP4292002B2 (ja) 2002-12-18 2009-07-08 株式会社日立国際電気 プラズマ処理装置
EP1445802A1 (en) * 2003-02-06 2004-08-11 Centre National De La Recherche Scientifique (Cnrs) Transistor for active matrix display, a display unit comprising the said transistor and a method for producing said transistor
CN100474084C (zh) * 2003-07-14 2009-04-01 株式会社半导体能源研究所 液晶显示器件
JP4748954B2 (ja) 2003-07-14 2011-08-17 株式会社半導体エネルギー研究所 液晶表示装置
KR101188356B1 (ko) 2003-12-02 2012-10-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 레이저 조사장치, 레이저 조사방법 및 반도체장치의제조방법
JP5159021B2 (ja) 2003-12-02 2013-03-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4408821B2 (ja) 2005-02-28 2010-02-03 三洋電機株式会社 プラズマ処理装置
JP2007049171A (ja) 2006-08-30 2007-02-22 Chi Mei Electronics Corp 微結晶薄膜トランジスタを用いた画像表示装置
US9176353B2 (en) 2007-06-29 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device
JP5331407B2 (ja) 2007-08-17 2013-10-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US9054206B2 (en) 2007-08-17 2015-06-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8030147B2 (en) 2007-09-14 2011-10-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor and display device including the thin film transistor
JP5314870B2 (ja) 2007-09-21 2013-10-16 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
JP2009094198A (ja) * 2007-10-05 2009-04-30 Kaneka Corp ハイブリッド薄膜太陽電池の製造方法
JP2009130229A (ja) * 2007-11-27 2009-06-11 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7989325B2 (en) 2009-01-13 2011-08-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing crystalline semiconductor film and method for manufacturing thin film transistor
US8557687B2 (en) 2009-07-23 2013-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing thin film transistor
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
KR20110021654A (ko) * 2009-08-25 2011-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법
JP5785770B2 (ja) 2010-05-14 2015-09-30 株式会社半導体エネルギー研究所 微結晶半導体膜の作製方法、及び半導体装置の作製方法
US8884297B2 (en) 2010-05-14 2014-11-11 Semiconductor Energy Laboratory Co., Ltd. Microcrystalline silicon film, manufacturing method thereof, semiconductor device, and manufacturing method thereof
US8916425B2 (en) 2010-07-26 2014-12-23 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
CN102386072B (zh) 2010-08-25 2016-05-04 株式会社半导体能源研究所 微晶半导体膜的制造方法及半导体装置的制造方法
JP2012089708A (ja) 2010-10-20 2012-05-10 Semiconductor Energy Lab Co Ltd 微結晶シリコン膜の作製方法、半導体装置の作製方法
US8450158B2 (en) 2010-11-04 2013-05-28 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0897427A (ja) * 1994-07-27 1996-04-12 Sharp Corp 薄膜半導体素子および薄膜トランジスタ並びにその製造方法
US20090047758A1 (en) * 2007-08-17 2009-02-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing display device
JP2010123926A (ja) * 2008-10-21 2010-06-03 Semiconductor Energy Lab Co Ltd 薄膜トランジスタ

Also Published As

Publication number Publication date
CN102312220A (zh) 2012-01-11
JP2012033902A (ja) 2012-02-16
US20110318888A1 (en) 2011-12-29
US8778745B2 (en) 2014-07-15
KR101840183B1 (ko) 2018-03-20
CN102312220B (zh) 2015-07-08
KR20120001640A (ko) 2012-01-04
JP5808589B2 (ja) 2015-11-10
TW201218272A (en) 2012-05-01

Similar Documents

Publication Publication Date Title
TWI514473B (zh) 半導體裝置的形成方法
TWI523076B (zh) 微晶半導體膜的形成方法及半導體裝置的製造方法
JP5700637B2 (ja) トランジスタ
TWI517214B (zh) 微晶半導體膜之製造方法及半導體裝置之製造方法
TWI540734B (zh) 薄膜電晶體
TW201207906A (en) Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
JP5912569B2 (ja) 微結晶半導体膜の作製方法、及び、半導体装置の作製方法
JP5823821B2 (ja) 微結晶半導体膜の作製方法、及び半導体装置の作製方法
TWI606490B (zh) 半導體膜的製造方法,半導體裝置的製造方法,和光電轉換裝置的製造方法
JP5709579B2 (ja) 微結晶半導体膜の作製方法
TWI497724B (zh) 薄膜電晶體及其製造方法
JP6006948B2 (ja) 微結晶半導体膜、及び半導体装置の作製方法
JP6153296B2 (ja) 半導体装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees