TWI414595B - 利用單相及雙相介質移除粒子的材料 - Google Patents

利用單相及雙相介質移除粒子的材料 Download PDF

Info

Publication number
TWI414595B
TWI414595B TW097148598A TW97148598A TWI414595B TW I414595 B TWI414595 B TW I414595B TW 097148598 A TW097148598 A TW 097148598A TW 97148598 A TW97148598 A TW 97148598A TW I414595 B TWI414595 B TW I414595B
Authority
TW
Taiwan
Prior art keywords
cleaning material
cleaning
polymer
substrate
contaminants
Prior art date
Application number
TW097148598A
Other languages
English (en)
Other versions
TW200948953A (en
Inventor
David S L Mui
Satish Srinivasan
Grant Peng
Ji Zhu
Shih Chung Kon
Dragan Podlesnik
Arjun Mendiratta
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200948953A publication Critical patent/TW200948953A/zh
Application granted granted Critical
Publication of TWI414595B publication Critical patent/TWI414595B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3723Polyamines or polyalkyleneimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3773(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)

Description

利用單相及雙相介質移除粒子的材料
本發明係關於利用單相及雙相介質移除粒子的材料。
【優先權主張】
依照美國法典第35條第119項第(e)款規定,本申請案主張西元2007年12月14日申請的美國臨時專利申請案第61/013950號之優先權,其案名為「Materials and Methods for Particle Removal by Single-Phase and Two-Phase Media」。此臨時申請案於此併入參考。
【交叉參考之相關申請案】
本申請案係關於與本案同日提申之美國專利申請案第(      )號(代理人案號為LAM2P628C),其案名為「Methods for Particle Removal by Single-Phase and Two-Phase Media」。本申請案亦關於與本案同日提申之美國專利申請案第(      )號(代理人案號為LAM2P628G),其案名為「Apparatus for Particle Removal by Single-Phase and Two-Phase Media」。本申請案亦關於西元2006年9月15日提申之美國專利申請案第(11/532491)號(代理人案號為LAM2P548B),其案名為「Method and Material for Cleaning a Substrate」、西元2006年9月15日提申之美國專利申請案第(11/532,493)號(代理人案號為LAM2P548C),其案名為「Apparatus and System for Cleaning a Substrate」、以及西元2006年12月18日提申之美國專利申請案第(11/641,362)號(代理人案號為LAM2P581),其案名為「Substrate Preparation Using Stabilized Fluid Solutions and Methods for Making Stable Fluid Solutions」。上述各篇相關申請案的揭露內容併入於本文以供參考。
在製造半導體裝置如積體電路、記憶體單元及其類似者之期間,施行一系統的製造操作以將特徵部形成於半導體晶圓(晶圓)上。該些晶圓(或基板)包含了以多層結構之形式形成於矽基板上的積體電路裝置。在基板層級,形成具有擴散區的電晶體裝置。在隨後的層級中,圖型化內連線金屬線並使其電連接至電晶體裝置,以形成所欲之積體電路裝置。又,經圖型化之導電層係藉由介電材料來與其他導電層絕緣。
在一系列的製造操作期間,晶圓表面會暴露至各種類型的污染物中。基本上,任何存在於製造操作中的材料皆為潛在的污染源。例如,污染源尤其可包含處理氣體、化學品、沈積材料及液體。各種污染物可以微粒形式而沈積至晶圓表面上。若未移除微粒污染,則在污染區域內的裝置將很可能會無法操作。因此,需要在不損害形成在晶圓上之特徵部的情況下,以實質上完全的方式自晶圓表面清除污染物。然而,微粒污染的尺寸等級通常為在晶圓上所製造出之特徵部的臨界尺寸。要移除此類小微粒污染物卻又不對晶圓上的特徵部產生不良影響可能會是極困難的任務。
習知的晶圓清洗方法大多倚賴機械力以自晶圓表面移除微粒污染。當特徵部尺寸持續縮小並且變得更脆弱時,因施加機械力至晶圓表面而對特徵部產生損害的機率大為增加。例如,具有高縱橫比之特徵部在受到足夠的機械力衝擊時,容易倒塌或斷裂。除了更進一步地使清洗問題複雜化之外,朝著更小特徵部尺寸前進亦會造成微粒污染的尺寸縮小。尺寸充分小的微粒污染可能會難以到達晶圓表面上之區域,例如被高縱橫比之特徵部包圍的溝槽部。因此在現代半導體製造期間中,將污染物有效並以無損害的方式移除代表著晶圓清洗技術的持續進步中會不斷遭遇到之困難。應注意:平面顯示器用之製造操作遭受到與上述之積體電路製造相同的缺點。
因此,需要用以清洗圖型化晶圓的設備與方法,其可有效移除污染物而不損害圖型化晶圓上的特徵部。
大體而言,本發明之實施例提供了用以清洗晶圓表面(特別是圖型化晶圓或基板的表面)的改良材料、設備、及方法。此處所討論的清洗材料、方法、及設備具有可清洗包含細微特徵部的圖型化基板而不實質損壞該特徵部的優點。該清洗材料係為液相、或液/氣相之流體,且可於裝置特徵部周圍變形,因此清洗材料實質上不會損壞裝置特徵部或可降低對其所有的破壞。清洗材料捕獲基板上的污染物,清洗材料包含一或多個高分子量聚合化合物之高分子。對於由一種單體組成的高分子而言,該高分子包含一聚合化合物。對於由超過一種單體組成的高分子(如共聚物或高分子的混合物)而言,該高分子包含超過一之聚合化合物。此外,清洗材料截留污染物而不使其返回基板表面。
此高分子量聚合化合物之高分子形成聚合物長鏈,其亦可交聯而形成網狀構造(或聚合物網)。實質未交聯或幾乎不交聯的高分子之聚合物鏈長度可藉著將高分子的分子量除以單體物種的分子量來估計(長度~(高分子的分子量)/(單體重量))。相較於習知清洗材料,此聚合物長鏈及/或聚合物網顯示了捕獲及截留污染物之優異能力。因此,包含此種高分子之流體形式的清洗材料顯示了優異的顆粒移除效能。所捕獲或截留的污染物接著自基板表面移除。
如上所述,高分子可進行交聯。然而,交聯程度受到相當的限制,以避免使高分子太硬或太堅固,此將妨礙高分子溶解於溶劑中以及於基板表面上的裝置特徵部周圍變形。
應注意:可以數種方式來施行本發明,包含如系統、方法、以及腔室。以下將闡述本發明的數個創新實施例。
在一實施例中,提供一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物。該清洗材料包含一溶劑,及一緩衝劑,用以改變該清洗材料之pH值。該緩衝劑與該溶劑形成一清洗溶液。該清洗材料也包含分子量大於10000g/mol之聚合化合物的高分子。該高分子可溶於該清洗溶液中而形成該清洗材料。溶解的高分子具有聚合物長鏈,俾可自用以定義積體電路裝置之該圖型化基板表面捕獲並截留至少若干污染物。該清洗材料係界定為液相。當一外力作用在覆蓋該圖型化基板的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形。該清洗材料係施加於該圖型化基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部。在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
在另一實施例中,提供一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物。該清洗材料包含一溶劑;以及高分子,具有一足夠大之分子量,俾當該高分子溶於該溶劑中時形成膠體形式之聚合物鏈與聚合物網。該溶劑與溶解的高分子形成該清洗材料。該清洗材料在施加於該圖型化基板表面上之前所包含的金屬污染物少於1ppb(十億分之一)。具有聚合物鏈與聚合物網之該高分子自用以定義積體電路裝置之該圖型化基板表面捕獲並截留污染物。當一外力作用在覆蓋該圖型化基板表面的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形。該清洗材料係施加於該基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部。在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
在另一實施例中,提供一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物。該清洗材料包含一溶劑,及一緩衝劑,用以改變該清洗材料之pH值。該緩衝劑與該溶劑形成一清洗溶液。該清洗材料也包含分子量大於等於500000g/mol之聚丙烯醯胺(PAM)的高分子。該高分子可溶於該清洗溶液中而形成該清洗材料。該清洗材料在施加於該圖型化基板表面上之前所包含的金屬污染物少於1ppb,且其pH值介於約7至12之間。
溶解的高分子具有聚合物長鏈,俾可自用以定義積體電路裝置之該圖型化基板表面捕獲並截留至少若干污染物。該清洗材料係界定為液相。當一外力作用在覆蓋該圖型化基板的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形。該清洗材料係施加於該圖型化基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部。在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
在更另一實施例中,提供一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物。該清洗材料包含一溶劑,及一緩衝劑,用以改變該清洗材料之pH值,其中該緩衝劑與該溶劑形成一清洗溶液。該清洗材料也包含分子量大於等於500000g/mol之Carbopol 940TM 的高分子。該高分子可溶於該清洗溶液中而形成該清洗材料。該清洗材料在施加於該圖型化基板表面上之前所包含的金屬污染物少於1ppb。
溶解的高分子具有聚合物長鏈,俾可自用以定義積體電路裝置之該圖型化基板表面捕獲並截留至少若干污染物。該清洗材料係界定為液相。當一外力作用在覆蓋該圖型化基板的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形。該清洗材料係施加於該圖型化基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部。在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
接下來將描述用於清洗晶圓表面而不破壞表面特徵部之材料、方法、及設備的實施例。此處所討論的清洗材料、方法、及設備具有可清洗包含細微特徵部的圖型化基板而不損壞該特徵部的優點。該清洗材料係為液相、或液/氣相之流體,且可於裝置特徵部周圍變形,因此清洗材料不會損壞裝置特徵部。清洗材料捕獲基板上的污染物,其包含高分子量聚合化合物之高分子。此外,清洗材料截留污染物而不使其返回基板表面。此高分子量聚合化合物之高分子形成聚合物長鏈,其亦可交聯而形成網狀構造(或聚合物網)。相較於習知清洗材料,此聚合物長鏈及/或聚合物網顯示了捕獲及截留污染物之優異能力。
然而熟知此項技藝者應瞭解:可在脫離部分或全部該些具體細節的情況下施行本發明。在其他情況下便不再贅述習知之處理操作,以免不必要地模糊本發明之焦點。
此處所述之實施例提供了清洗材料及清洗方法,其可有效的移除污染物而不破壞圖型化晶圓上的特徵部,而其中某些晶圓可包含高縱橫比的特徵部。雖然此些實施例提供關於半導體清洗應用的具體實例,但該些清洗應用可延伸至需要自基板移除污染物的任何技術。
圖1係根據本發明之一實施例,顯示具有基板本體101之基板100。在基板101上,裝置結構102及顆粒103位於表面105附近。顆粒103具有一近似直徑107,其可具有與裝置結構102之寬度104相同的數量級。
對於先進的技術而言,如65nm、45nm、32nm、22nm、及16nm技術點,裝置結構102之寬度104係等於或小於65nm。裝置結構的寬度(如裝置結構102之寬度104)隨著各技術點而不斷縮小,以將更多元件設置於晶片的有限表面積上。由於電阻率之考量,裝置結構的高度(如裝置結構102之高度106)通常不與裝置結構的寬度成比例的縮小。對於導電結構而言,如多晶矽線與金屬內連線,縮短結構的寬度與高度會大幅提高電阻率,因而造成明顯的RC延遲現象並對導電結構產生過多的熱。因此,如結構102之裝置結構具有高縱橫比,此將使得其易於被施加於結構上的力量111所破壞。在一實施例中,裝置結構的縱橫比可在約2以上的範圍。施加力量112於顆粒103上以助於移除顆粒103。力量111與112藉著清洗材料(圖未示)而施於裝置結構102附近的基板表面,以移除如顆粒103的表面微粒。在一實施例中,由於力量111與112係位於彼此的鄰近區域中,因此其強度非常接近。施加於基板表面上的力量111與112可來自清洗材料與基板表面間之任何相對運動。例如,其可來自於清洗材料之施予或清洗材料的沖洗。
裝置結構102之縮減寬度104與裝置結構102相對高的縱橫比,使得裝置結構102在施加力量111下易於損壞或在施加力量111下累積能量。破壞的裝置結構102變成顆粒來源而降低良率。此外,破壞的裝置結構102亦會因破壞而變得無法運作。
圖2A係根據本發明之一實施例,顯示關於將清洗材料施加於圖型化基板上之3條反應曲線。曲線201顯示藉由清洗材料施予基板表面上之強度相對於能量(由力量所造成)的情形。由清洗材料所施予之清洗能量的強度在EP 達到高峰。曲線202顯示顆粒移除效率,其為清洗材料施加於基板上之能量的函數。此顆粒移除速率在ER 附近達到高峰。當清洗材料施予的能量到達ER 時,清洗材料係以最佳效率自基板表面移除顆粒。曲線203顯示由清洗材料所引起之裝置結構的破壞數量,其為清洗材料施加於基板上之能量的函數。裝置結構在ES 會被破壞,其係大於清洗材料施加於基板上之能量的較高端點EN 。由於裝置結構破壞曲線203係位於清洗材料施加於圖型化基板上之能量曲線201以外,因此圖型化基板上之裝置結構不會被破壞。顆粒移除曲線202顯示清洗材料可自基板表面移除顆粒(或接觸物),而不破壞基板上的結構。
圖2B顯示關於將清洗材料施加於圖型化基板上之3條反應曲線。曲線201’顯示藉由清洗材料施予圖型化基板上的強度相對於能量的情形。由清洗材料所施予之強度在EP’ 達到高峰。曲線202’顯示顆粒移除速率相對於施加於基板上之能量的情形。此顆粒移除速率在ER’ 附近達到高峰。當清洗材料施予的能量到達ER’ 時,清洗材料係以最佳效率自基板表面移除顆粒。曲線203’顯示由清洗材料所引起之裝置結構的破壞數量,其為清洗材料施加於基板表面上之能量的函數。基板上的裝置結構在ES’ 會被破壞,其係小於清洗材料所施加能量之能量分布的較高端點EN’ 。由於裝置結構破壞曲線203’係位於清洗材料施加於圖型化基板上之能量曲線201’內,因此圖型化基板上之裝置結構會受到清洗材料的破壞而增加顆粒(或缺陷)。
如上所述,在清洗處理期間,破壞的裝置結構會使該裝置無法運作,且破壞的裝置結構會留置於基板表面上而降低裝置良率。因此,圖2B中清洗曲線201’與破壞曲線203’之間的關係為非理想的。相反的,圖2A中清洗曲線201與破壞曲線203之間的關係則為理想的。
習知的基板清洗設備與方法包含刷子與襯墊,其利用機械力以將微粒自基板表面移除。對於具有寬度窄且縱橫比高的裝置結構之先進技術而言,刷子和襯墊所施加的機械例會破壞裝置結構。此外,粗糙的刷子和襯墊亦會造成基板表面上的刮傷。利用空泡(cavitation bubble)與聲流(acoustic streaming)來清洗基板的清洗技術,如百萬週波超音波(megasonic)清洗及超音波清洗技術,亦會破壞脆弱的結構。利用噴射與噴灑的清洗技術會造成薄膜的腐蝕,亦會破壞脆弱的結構。
圖2C係根據本發明之一實施例,顯示以習知方法(如百萬週波超音波清洗技術)施加習知清洗材料的清洗曲線201”。對於三個技術點90nm、65nm、以及45nm分別有破壞曲線203I 、203II 、以及203III 。對於90nm技術點之圖型化晶圓的曲線203I 而言,破壞係開始於能量ESI 。ESI 大於圖型化基板上之清洗材料的能量分布之較高端點EN ”。因此不會對裝置結構產生破壞。圖2C中之習知清洗材料對於65nm技術點仍可作用,此係因其破壞開始於ESII ,而其大於EN ”。隨著技術進展到更窄的寬度,破壞會開始於更低的能量層級。當技術點變成45nm以下時,曲線201”之習知清洗材料及方法會對裝置結構造成破壞。45nm技術點之破壞起始點ESIII 係小於EN ”。圖2C顯示雖然某些清洗材料與方法適用於習知技術,但它們卻不再適用於具較窄之特徵部寬度的先進技術。因此,需要發展一適用於先進技術之清洗機制,其使用對裝置結構溫和且可有效自基板表面移除顆粒的清洗材料。
圖3A係根據本發明之一實施例之液態清洗材料300,其含有清洗溶液305以及溶解於清洗溶液305中的高分子量聚合物310。在一實施例中,液態清洗材料300為凝膠體(gel)。在另一實施例中,液態清洗材料300為溶膠(sol)。在又另一實施例中,液態清洗材料300為液態溶液。當施加於基板(基板表面上帶有顆粒)時,液態清洗材料300可移除基板表面上的顆粒。在一實施例中,如圖3B所示,被移除的顆粒320附著於聚合物310上。高分子量(如大於10000g/mol或100000g/mol)聚合化合物之高分子形成聚合物長鏈及聚合物網以捕獲及截留被移除的顆粒,如此避免顆粒返回至基板表面。聚合物溶解於清洗溶液中,清洗溶液含有會影響pH值並可增進聚合物之溶解度的元素。溶解於清洗溶液中的聚合物可為軟凝膠、或成為懸浮於清洗溶液中的膠狀微滴。在一實施例中,當聚合物分子靠近污染物附近時,基板表面上的污染物會藉著離子力、凡得瓦力、靜電力、疏水作用、立體交互作用、或化學鍵結而附著於溶解的聚合物上。聚合物捕獲及截留污染物。
在一實施例中,高分子量聚合化合物之高分子在清洗溶液305中形成網狀物。此外,高分子量聚合化合物之高分子分散在液態清洗溶液305中。在清洗處理期間,液態清洗材料300對於基板上的裝置結構係溫和的。清洗材料300中的聚合物310可於裝置結構(如圖3C之清洗容積330中所示的結構302)周圍滑動,而不會對裝置結構302產生強大衝擊。相反的,如上所述的堅硬刷子及襯墊會與裝置結構產生剛性接觸並破壞裝置結構。在百萬週波超音波清洗中由空化作用(cavitation)所產生的力(或能量),以及在噴流噴灑期間液體所產生的高速衝擊亦會破壞結構。另一方面,超過一種聚合物可溶解於清洗溶液中以配製清洗材料。例如,清洗材料中的聚合物可包含「A」聚合化合物以及「B」聚合化合物。
高分子量聚合化合物之高分子形成聚合物長鏈,其交聯或未交聯以形成聚合物網。如圖3C所示,聚合物311與圖型化(或未圖型化)基板表面上的污染物(如污染物320I 、320II 、320III 、320IV )接觸,並捕獲污染物。在聚合物捕獲污染物之後,污染物附著於聚合物上,並懸浮於清洗材料中。圖3C顯示分別附著於聚合物鏈311I 及311II 上的污染物320III 及320IV 。污染物320I 及320II 附著於其他的聚合物鏈上。或者,污染物320I 、320II 、320III 、320IV 可個別附著於多個聚合物鏈上,或附著於聚合物網。當清洗材料300中的聚合物例如藉著沖洗而從基板表面移除時,附著於聚合物鏈上的污染物會隨著聚合物鏈而從基板表面移除。
圖3C所示的實施例僅示出一個裝置結構302。依據本發明一實施例,在一基板上(如基板301),可如圖3D所示聚集多個裝置結構(302I ,、302II 、302III 、302IV )且其彼此靠近。類似於圖3C,在清洗處理期間,清洗容積330’中的液態清洗材料300對於基板上的裝置結構係為溫和的。清洗材料300中的聚合物310可於裝置結構302I ,、302II 、302III 、302IV 周圍滑動,而不會對裝置結構產生強大衝擊。類似於圖3C中附著於聚合物鏈的污染物320I 、320II 、320III 、320IV ,污染物325I 、325II 、325III 、325IV 亦附著於聚合物鏈上。
除了清洗具有細微特徵部之基板(如圖3C及3D中之基板)外,亦可利用本發明所描述的材料與方法來清洗具有其他圖型化特徵部的基板。圖3E係根據本發明之一實施例,顯示具有結構302’的基板301’,結構302’形成介層孔315及渠溝316。藉由以上圖3C及3D所討論的機制,污染物326I 、326II 、326III 、326IV 亦可利用清洗材料300來移除。在一實施例中,聚合物作為一絮凝劑,可使來自基板表面的顆粒(或污染物)成為絮凝體(floc),其係藉由細微懸浮顆粒聚集所形成的塊體。在另一實施例中,聚合物並不作為絮凝劑。
如上所述,高分子量聚合化合物之高分子分散於清洗溶液中。高分子量聚合化合物之實例包含但不限於:丙烯酸聚合物,如聚丙烯醯胺(PAM)、聚丙烯酸(PAA),如Carbopol 940TM 及Carbopol 941TM 、聚-(N,N-二甲基-丙烯醯胺)(PDMAAm)、聚-(N-異丙基-丙烯醯胺)(PIPAAm)、聚甲基丙烯酸(PMAA)、聚甲基丙烯醯胺(PMAAm);聚亞胺及氧化物,如聚乙烯亞胺(PEI)、聚氧化乙烯(PEO)、聚氧化丙烯(PPO)等;乙烯聚合物,如聚乙烯醇(PVA)、聚乙烯磺酸(PESA)、聚乙烯胺(PVAm)、聚乙烯吡咯烷酮(PVP)、聚-(4-乙烯-吡啶)(P4VP)等;纖維素衍生物,如甲基纖維素(MC)、乙基纖維素(EC)、羥乙基纖維素(HEC)、羧甲基纖維素(CMC)等;多醣體,如阿拉伯樹膠(Gum Arabic)、洋菜及洋菜糖、肝素、關華豆膠、三仙膠等;蛋白質,如卵白蛋白、膠原蛋白、麩質等。舉例說明數個聚合物結構的例子,聚丙烯醯胺為丙烯酸酯聚合物(-CH2 CHCONH2 -)n,由丙烯醯胺子單元形成。聚乙烯醇為聚合物(-CH2 CHOH-)m,由乙烯醇子單元形成。聚丙烯酸為聚合物(-CH2 =CH-COOH-)o,由丙烯酸子單元形成。其中『n』、『m』、『o』為整數。高分子量聚合化合物之高分子也可溶解於水溶液之中,或為高度吸水以便在水溶液中形成軟凝膠。在一實施例中,聚合化合物之分子量大於100000g/mol。在另一實施例中,聚合化合物之分子量範圍約在0.1M g/mol~100M g/mol之間。在另一實施例中,聚合化合物之分子量範圍約在1M g/mol~20M g/mol之間。在又另一實施例中,聚合化合物之分子量範圍約在15M g/mol~20M g/mol之間。在一實施例中,在清洗材料中的聚合物之重量百分比範圍約在0.001%~20%之間。在另一實施例中,重量百分比範圍約在0.001%~10%之間。在另一實施例中,重量百分比範圍約在0.01%~10%之間。在又另一實施例中,重量百分比範圍約在0.05%~5%之間。聚合物可溶解於清洗溶液之中,在清洗溶液中完全分散,形成液滴(乳化)或形成塊狀於清洗溶液中。
另一方面,聚合物可為共聚物,衍生自二個以上之單體物質。例如,共聚物可包含90%聚丙烯醯胺(PAM)及10%聚丙烯酸(PAA),且由PAM與PAA之單體組成。此外,聚合物可為兩種以上聚合物之混合物。例如,聚合物可於溶劑中混合兩種聚合物而製成,如90%聚丙烯醯胺(PAM)及10%聚丙烯酸(PAA)。
在圖3A-3C之實施例中,高分子量聚合化合物之高分子可均勻溶解於清洗溶液之中。清洗溶液之基本液體或溶劑可為非極性液體(如松節油),或極性液體(如水(H2 O))。溶劑的其他實例包含異丙醇(IPA)、二甲基亞碸(DMSO)、及二甲基甲醯胺(DMF)。在一實施例中,溶劑包含超過一種液體且為兩種以上液體之混合物。對具有極性之聚合物(如PAM、PAA、或PVA)而言,清洗溶液的適合溶劑為極性液體(如水(H2 O))。
在另一實施例中,清洗溶液除了溶劑(如水)外還包含化合物,以便於改變藉著將聚合物混合於清洗溶液中而形成之清洗材料的性質。例如,清洗溶液可包含一緩衝劑(可為弱酸或弱鹼),以調整清洗溶液及由清洗溶液所形成的清洗材料之pH值。弱酸之例為檸檬酸;弱鹼之例為銨(NH4 OH)。清洗材料之pH值範圍約在1~12之間。在一實施例中,對前端應用(在銅及金屬間介電層沉積之前)而言,清洗材料為鹼性。在一實施例中,前端應用之pH值範圍約在7~12之間;在另一實施例中,前端應用之pH值範圍約在8~11之間;在又另一實施例中,前端應用之pH值範圍約在8~10之間。在一實施例中,對後端製程(在銅及金屬間介電層沉積之後)而言,清洗溶液為微鹼性、中性、或酸性。具有銨(其會侵蝕銅)之鹼性溶液不適用於後端內連線中的銅。在一實施例中,後端應用之pH值範圍約在1~7之間;在另一實施例中,後端應用之pH值範圍約在1~5之間;在又另一實施例中,後端應用之pH值範圍約在1~2之間。在一實施例中,清洗溶液包含界面活性劑,如十二烷基硫酸銨(ADS),以協助聚合物散佈在清洗溶液中。在一實施例中,界面活性劑亦可輔助在基板表面上之清洗材料之濕潤性。在基板表面上之清洗材料之濕潤性容許清洗材料與基板表面及基板表面上之微粒有密切接觸。濕潤性也增進清潔效率。此外,可添加其他添加物以增進表面濕潤性、基板清潔、沖洗、及其他相關性質。
緩衝清洗溶液(或清洗溶液)之例子包含緩衝銨溶液(BAS),其在溶液中包含鹼性及酸性的緩衝劑,如0.44wt%(重量百分比)的銨(NH4 OH)與0.4wt%的檸檬酸。此外,緩衝溶液(如BAS)可包含些許界面活性劑(如1wt%的ADS),以協助聚合物懸浮及散佈於清洗溶液之中。一包含1wt%ADS、0.44wt% NH3 、及0.4wt%檸檬酸的溶液稱為溶液『100』。溶液『100』及BAS之pH值皆約為10。
圖3A-3E中所示的實施例提供了液態清洗材料300,其具有均勻分散(或溶解)於清洗溶液305中之高分子量聚合物310。如上所述,此處所利用之高分子量聚合物完全溶解於可為水性之清洗溶液中。聚合物可為高度吸水性以在水溶液中形成軟凝膠。圖3F顯示一液態清洗材料300’之實施例,其具有乳化於清洗溶液305’中之膠狀聚合物微滴340。此清洗溶液305’也可包含小且孤立的聚合物306。一界面活性劑(如ADS)可添加至清洗溶液,以協助膠狀聚合物微滴340均勻散佈於清洗溶液305’之中。在圖3F所示之實施例中,於清洗溶液305’及膠狀聚合物微滴340之間存在邊界341。膠狀聚合物微滴340為軟性,其在基板表面上之裝置特徵部附近會變形。由於膠狀聚合物微滴340在裝置特徵部附近會變形,因此它們並不會對裝置特徵部施加強大能量(或力)而破壞它們。在一實施例中,微滴之直徑範圍約在0.1μm(微米)~100μm之間。
在另一實施例中,如圖3G所示,高分子量聚合化合物之高分子溶解於清洗溶液中而形成膠狀聚合物團塊350,其和清洗溶液305”沒有明顯邊界。清洗溶液305”也可包含小且孤立的聚合物306。膠狀聚合物團塊350為軟性,其在基板表面上之裝置特徵部附近會變形,並不會對基板表面上之裝置特徵部施加大量能量(或力)而破壞它們。在一實施例中,聚合物團塊之直徑範圍約在0.1μm~100μm之間。
以上討論之清洗材料皆為液態。在又另一實施例中,可攪拌清洗材料,如上述之液態清洗材料300、300’、300”,經由增加氣體(如氮氣,惰性氣體)或氣體混合物(如空氣)使清洗材料變成泡沫,如圖3H所示。在圖3H中,清洗材料300*具有氣泡360散佈於清洗溶液305中。聚合物310亦散佈於清洗溶液305中。在其他實施例中,圖3H中的聚合物310可為圖3F及3G中所述之聚合物微滴340或聚合物團塊350。清洗材料300*包含氣相及液相。
上述清洗材料可藉著許多機制施於基板表面上。如圖2A與2B中所討論,為了避免破壞圖型化基板上的裝置特徵部,清洗材料施加於圖型化表面上的能量必須小於最小力量Es或Es’,以避免破壞裝置特徵部。清洗材料(如上述之清洗材料300、300’、300”、及300*)係為液相或氣/液相。液體或泡沫可於基板表面上流動,並可在基板表面上之裝置特徵部附近變形(或流動)。因此,可將清洗材料施加於圖型化基板上而不會對基板表面上的裝置特徵部施加強大能量。
圖4A係根據本發明之一實施例之簡化示意圖,其顯示用於清洗基板之系統400之俯視圖。晶圓(或基板)420以線性方向朝清洗機頭410(或清洗近接機頭)移動。清洗機頭被支撐結構450(可為臂桿)所支持。清洗機頭410提供(或施加)上述之清洗材料。在一實施例中,清洗機頭410的長度440大於晶圓420的直徑451。晶圓420僅於清洗機頭下方移動一次。在另一實施例中,清洗機頭410的長度440小於晶圓420的直徑451。晶圓420於清洗機頭410下方移動數次以確保整個晶圓420已被清洗。
在一實施例中,清洗材料由可被加壓之儲槽470輸送通過供應管線460。此外,清洗機頭410可於晶圓420上方移動,而晶圓420係為靜止或移動。如上所述,清洗材料可為液態溶液、泡沫、或乳化液的形式。如果儲槽470被加壓,則清洗溶液或乳化液在輸送至清洗機頭之前會暴露於空氣中並成為泡沫。在儲槽未加壓的情況下,可透過其他熟知的手段來泵抽或輸送清洗溶液。
在一實施例中,清洗機頭亦連接至一容器423,其係用於自基板表面真空抽取之使用過的清洗材料,並連接至提供該真空的真空泵425。
圖4B係根據本發明之一實施例之清洗機頭410之例示性底視圖,其具有數個用以施加清洗材料的分配孔411。或者,分配孔411可以長且窄的分配狹縫來取代。在一實施例中,分配孔411(或其列)係被真空孔414所圍繞,真空孔414自基板表面移除清洗材料。
圖4C顯示一實施例之清洗機頭410之側視圖,其於清洗機頭410下將清洗材料之清洗主體430施加於晶圓420之表面421上,以清洗表面421。藉由供應管線460來提供清洗材料。清洗材料藉由真空管線465所提供的真空而自基板表面移除。晶圓420以箭頭422所示之方向在清洗機頭410下方移動。清洗材料的清洗主體430形成一『彎液面』。此處所使用之『彎液面』一詞係指在某種程度上被液體的表面張力所限制與控制之液體的清洗主體(或體積)430。彎液面亦可控制,並可在表面上以受控形狀移動。在特定實施例中,彎液面可藉著將流體輸送至表面且同時移除流體來維持,俾使該彎液面保持可控制的狀態。此外,彎液面形狀可藉由精準的流體輸送及移除系統來控制,該流體輸送及移除系統部分與可被網路化之計算系統的控制器鄉接合。在基板表面上形成彎液面之分配機頭的細節描述於西元2006年12月18日提申之美國專利申請案第(11/641,362)號(代理人案號LAM2P581),其案名為“Substrate Preparation Using Stabilized Fluid Solutions and Methods for Making Stable Fluid Solutions”。上述相關申請案之內容於此併入參考。
在一實施例中,當晶圓420於清洗機頭410下方移動時,清洗主體430會在表面421上留下一清洗材料之薄層(圖未示)。此清洗材料之薄層係由於清洗材料未完全被真空移除所致。清洗機頭410被臂桿450支持於晶圓420之表面421附近。因此,清洗機頭410稱為近接機頭。在一實施例中,由清洗機頭410所分配之清洗材料在清洗主體430下方之基板表面421上施予一剪力432。
在另一實施例中,由清洗機頭410所分配之清洗材料亦在清洗主體430下方之基板表面421上施予一下壓力(圖未示)。在一實施例中,此下壓力及剪力幫助聚合物與污染物接觸,以使污染物得以附著於聚合物鏈及/或網。在一實施例中,污染物藉由凡德瓦力附著於聚合物。在另一實施例中,污染物被聚合物網所截留。在另一實施例中,使清洗溶液中的聚合物與污染物接觸不需要下壓力或剪力。當清洗材料散佈於基板表面上時,分散於清洗材料中的聚合物便會與基板表面上的污染物相接觸。在進行沖洗步驟以將清洗材料由基板表面移除期間,附著及/或截留於聚合物之污染物會隨著清洗材料一起自基板表面移除。
圖4D顯示將清洗材料施於基板420上之清洗機頭410”的剖面圖。清洗材料透過連接至清洗材料供應管線460之分配孔而施加,並藉著連接至真空管線465之真空孔而自基板420表面移除。清洗材料在清洗機頭410”與基板420之間形成彎液面430’。此外,亦具有表面張力降低氣體之分配孔(圖未示),其連接至表面張力降低氣體之供應管線467,並用以降低基板420表面之表面張力。在一實施例中,表面張力降低氣體包含異丙醇(IPA)及氮氣的混合物。
圖4E顯示具有清洗材料分配組件418之清洗系統400’的實施例,其包含上清洗機頭(或近接機頭)410,下清洗機頭(或近接機頭)410’、及支撐結構419。上清洗機頭410係為下清洗機頭410’之鏡像。清洗材料分配組件418被控制器416所控制。被基板支架424所支持的基板420以方向466通過上與下清洗機頭410及410’。藉著上與下清洗機頭410及410’,可同時清洗基板的正面與背面。
各清洗機頭包含複數個分配孔(或噴嘴),透過此等分配孔而提供清洗材料以形成彎液面430及430’,如圖4C及4D所示。液體可為去離子水、清洗溶液、或其他用以處理、清潔、或沖洗基板420之液體。複數個真空口466在彎液面430及430’周圍提供真空。真空口466自彎液面430及430’吸取液體及周圍的流體。在某些實施例中,噴嘴468環繞真空口466,並提供異丙醇蒸氣、氮氣、其混合物、或其他氣體或雙相之氣/液流體。噴嘴468及由其所提供之流體有助於在彎液面430’的表面維持連貫的液/氣介面。更多關於近接機頭之結構與操作係描述於上述【交叉參考之相關申請案】中,並於此併入參考。具體而言,其他關於近接機頭之結構與操作之細節請參照美國專利申請案第10/261,839、10/330,843、及10/330,897號。
使用近接機頭施加清洗材料之清洗設備的細節係描述於西元2006年9月15日提申之美國專利申請案第11/532,491號(代理人案號LAM2P548B),其案名為“Method and Material for Cleaning a Substrate”、西元2006年9月15日提申之美國專利申請案第11/532,493號(代理人案號LAM2P548C),其案名為“Apparatus and System for Cleaning a Substrate”、以及西元2006年12月18日提申之美國專利申請案第11/641,362號(代理人案號LAM2P581),其案名為“Substrate Preparation Using Stabilized Fluid Solutions and Methods for Making Stable Fluid Solutions”。上述相關申請案之揭露內容於此併入參考。
上述實施例僅係例示性。用於將清洗材料施加於基板表面上並自基板表面移除清洗材料之清洗機頭的其他實施例亦為可行。圖4F係依據本發明一實施例,顯示含有清洗材料481之清洗槽480與含有沖洗液491之沖洗槽490。首先將基板載具424所支持的基板420’浸入槽480之清洗材料481中,以使清洗材料與基板表面上的污染物相接觸。藉著一機械機構(圖未示)將基板420’降至清洗槽480內之清洗材料481中,並自其中升起。其後,將基板載具426所支持的基板420’浸入沖洗槽490之沖洗液491中,以沖去清洗材料。利用一機械機構(圖未示)將基板降下及升起而進出沖洗槽490。當清洗材料在沖洗槽490中離開基板420’表面時,污染物會隨著清洗材料一起自基板表面移除。藉著一機械機構(圖未示)將基板420’降至沖洗槽490內之沖洗液491中。雖然圖4F中所示之基板方向係為垂直,但是其他方向亦為可行。舉例而言,基板可以水平方向浸入清洗槽及/或沖洗槽中。
圖4G顯示用以自基板表面清除污染物之清洗設備499的另一實施例。清洗設備499具有包含基板支座483之清洗槽485。將基板420*放置於清洗處理期間會旋轉的基板支座483上。清洗設備499具有清洗材料分配機頭497,其將清洗材料施於基板420*之表面上。清洗材料分配機頭497(或分配噴嘴)連接至清洗材料之儲槽470。清洗設備499也具有沖洗液分配機頭498(或分配噴嘴),其將沖洗液噴灑於基板420*之表面上。沖洗液分配機頭498連接至沖洗液之儲槽496。旋轉的基板420*使清洗材料與沖洗液得以覆蓋整個基板表面。清洗材料施於基板表面上,而後施加沖洗液以自基板表面移除清洗材料。
在將清洗材料自圖型化基板表面沖去之後,藉著以相當高的速度旋轉(或轉動)基板來使圖型化基板乾燥。在旋轉期間,基板係藉著一裝置(或機構)而固定,其未示於圖4G之中。在一實施例中,可在圖型化基板的表面施加表面張力降低氣體,以幫助移除沖洗及可能殘留的清洗材料。在一實施例中,表面張力降低氣體包含異丙醇(IPA)及氮氣的混合物。亦可使用其他表面張力降低氣體。
清洗槽485可容納清洗處理之廢料。清洗處理之廢料包含廢棄的清洗材料及廢棄的沖洗液。在一實施例中,清洗槽485具有連接至廢料管線404之排水口403。廢料管線404連接至閥405,其控制來自清洗槽485之清洗廢料的排放。清洗廢料可被導引至回收處理器406或廢料處理器407。
上述清洗材料在清洗具有細微特徵部或佈局(如基板表面上的多晶矽線或金屬內連線(具有渠溝及/或介層孔))之基板時特別具有優勢。此等細微特徵部的最小寬度(或臨界尺寸)可為45nm、32nm、25nm或更小。對於利用上述清洗材料之先進清洗技術而言,清洗材料在製備時其金屬及/或微粒污染物應盡可能的少。在一實施例中,對所有的金屬污染物而言,在施加於基板表面上之前,所製備之清洗材料中的金屬污染物係指定為少於100ppb(十億分之一)。在另一實施例中,所製備之清洗材料中的金屬污染物係指定為少於10ppb(十億分之一)。在又另一實施例中,針對先進清洗技術所製備之清洗材料中的金屬污染物係指定為少於1ppb。在一實施例中,在施加於基板表面上之前,所製備之清洗材料中針對顆粒尺寸大於65nm之顆粒規範為少於50。在另一實施例中,針對顆粒尺寸大於65nm之顆粒規範為少於20。在另一實施例中,針對顆粒尺寸大於50nm之顆粒規範為少於10。在又另一實施例中,針對顆粒尺寸大於30nm之顆粒規範為少於5。對於具有更細微(或更小)之特徵部尺寸的更先進技術而言,其對金屬污染物與顆粒的規範更為嚴苛。
可使用許多方法與系統來製造(或純化)滿足金屬污染物規範的清洗材料。例如,可利用分餾法移除清洗材料中的金屬污染物(或純化清洗材料)。在一實施例中,將醇類加入聚合物的水溶液中。由於聚合物在醇類中遠較水中不易溶解,因此較純之聚合物會沉澱。除了醇類之外,亦可在聚合物的水溶液中添加酸以輔助將金屬與聚合物分離。酸可提供H+ 以取代與聚合物結合之金屬離子(如Na+ ),此可幫助將金屬與聚合物分離。另一個移除金屬污染物的方法係利用離子交換法。清洗材料通過填充有微小樹脂顆粒之管柱,俾使清洗材料中的金屬離子與管柱所提供的氫離子交換。管柱係充滿酸,其可提供氫離子以取代金屬離子(如Na+ )。Na+ 僅係用以作為一實例。其他金屬離子亦可藉此等方法與系統來移除。其他方法亦可用來純化清洗材料。
圖4H係根據本發明一實施例,用於清洗基板之系統475的示意圖。清洗機頭410(或清洗近接機頭)類似圖4A中所示者。基板420”被基板支架(或基板載具)424所支持。清洗機頭410連接至清洗材料(如上所述之清洗材料300)的儲槽470。清洗機頭410亦連接至使用過之清洗材料的容器472,其又連接至真空泵425。在一實施例中,系統475具有沖洗機頭417,其施加沖洗液以自基板420”之表面上移除清洗材料。沖洗機頭417連接至沖洗液之儲槽471。在一實施例中,沖洗機頭417之結構類似於清洗機頭,具有沖洗液分配孔以及真空孔。沖洗機頭417連接至使用過之沖洗液的容器408,其又連接至真空泵425’。再另一實施例中,系統475具有真空機頭412,其可移除任何殘留在基板表面上的清洗材料及/或沖洗液。真空機頭連接至使用過之清洗材料及沖洗液的廢料容器409。廢料容器409又連接至真空泵425”。
圖4I係根據本發明一實施例之另一清洗系統400*之俯視圖。晶圓(或基板)420以線性方向朝清洗機頭410*(或清洗近接機頭)移動。清洗機頭被支撐結構450(可為臂桿)所支持。清洗機頭410*連接至清洗材料的儲槽470。清洗機頭410*提供(或施加)上述之清洗材料。在一實施例中,清洗機頭410*的長度440大於晶圓420的直徑451。晶圓420僅於清洗機頭下方移動一次。在另一實施例中,清洗機頭410*的長度440小於晶圓420的直徑451。晶圓420於清洗機頭410*下方移動數次以確保整個晶圓420已被清洗。
在圖4I之實施例中,緊鄰著清洗機頭410*具有一沖洗機頭417*。類似於清洗機頭410*,沖洗機頭417*的長度440’可大於或小於晶圓的直徑451。晶圓420首先於清洗機頭410*下方移動,接著再於沖洗機頭417*下方移動。清洗機頭410*包含用以施加清洗材料的狹縫411*。圖4J包含具有狹縫411*之清洗機頭410*的底視圖。沖洗機頭417*連接至沖洗液之儲槽471。在一實施例中,沖洗機頭417*之結構類似於圖4A和4B之清洗機頭410,具有沖洗液分配孔401以及真空孔402。圖4J包含沖洗機頭417*之底視圖,其具有多個沖洗液分配孔401被多個真空孔402所圍繞。沖洗機頭417*連接至使用過之沖洗液的容器408,其又連接至真空泵425’。
當晶圓420於清洗機頭410*及沖洗機頭417*下方移動,清洗機頭410*將清洗材料施於基板表面上,且沖洗機頭417*將清洗材料自晶圓420表面沖去。沖洗機頭417*也移除清洗廢料,其包含晶圓420表面上的顆粒和污染物、清洗材料、以及沖洗液。
圖4K係根據本發明一實施例之清洗材料製備系統482。系統482具有聚合物容器484,儲存用於清洗材料中的聚合物。聚合物容器484連接至分配控制器488,其控制被分配到系統482之預混合容器493中的聚合物量。系統482亦具有溶劑容器486,儲存用於清洗材料中的溶劑。溶劑容器486連接至分配控制器489,其控制被分配到預混合容器493中以及清洗材料調整容器495(將在以下詳述)的溶劑量。此外,系統482具有緩衝劑與添加物容器487,儲存用於清洗材料中的緩衝劑與添加物(如界面活性劑)。緩衝劑與添加物容器487連接至分配控制器492,其控制被分配到預混合容器493中以及清洗材料調整容器495的緩衝劑與添加物量。再另一實施例中,清洗材料中不需要添加物,並且在緩衝劑與添加物容器487中沒有添加物。再又另一實施例中,緩衝劑與添加物係位於不同的容器中,並由不同的控制器所控制。
在一實施例中,聚合物、溶劑、緩衝劑、與添加物先在預混合容器493中混合。其後,來自容器493的混合物被供應至純化器(或純化系統)494,以自混合物中移除金屬污染物或其他污染物。在一實施例中,純化器494亦具有過濾的功能,以從混合物中濾除任何顆粒(軟性或研磨性)。再另一實施例中,僅聚合物與溶劑在預混合容器493中混合。緩衝劑及添加物並未在預混合容器493中與聚合物及溶劑一起混合。
在移除金屬污染物之後,將混合物移動至調整容器495,以加入製備清洗材料之最終混合物所需之額外溶劑、緩衝劑、及添加物。所製備之清洗材料儲存於供清洗基板所使用之容器427。或者,由純化器494輸出之混合物即可使用,因此不需要在清洗材料之調整容器495中進一步處理。在此狀況下,由純化器494輸出之混合物即為最終清洗材料並供應至清洗材料之容器427。再另一實施例中,來自預混合容器493之混合物即可使用,因此不需要通過純化器494。在此情況下,將混合物(即清洗材料)供應至容器427。
系統482不具有純化器494及調整容器495,且預混合容器係為一混合容器。在此情況下,混合的清洗材料直接供應至容器427。在一實施例中,圖4A、4E、4G、4H、4I之清洗材料儲槽470中的清洗材料係來自清洗材料的容器427。
表I針對不同重量百分比之Carbopol 941TM PAA在BAS中的黏度、沖洗時間、顆粒移除效率(PRE)進行比較。黏度係在500s-1 的應變率下進行測量。沖洗時間係測量將清洗材料自基板表面沖去所需時間。PRE則利用顆粒監測基板來進行測量,顆粒監測基板係刻意沉積有不同尺寸之氮化矽顆粒。在此研究中,僅測量介於90nm與1μm之間的顆粒尺寸。PRE藉著下列式(1)來計算:
PRE=(清洗前總數一清洗後總數)/清洗前總數................(1)
表I之清洗材料係藉著將市售的Carbopol 941TM PAA混合於上述的BAS中而製得。所使用的Carbopol 941TM PAA具有1250000(或1.25M)g/mol的分子量。表I中的結果顯示PRE隨著Carbopol 941TM PAA的重量百分比(直到約0.5%)而增加。聚合物介於0.5%與1%之間的PRE並無明顯差異。其結果亦顯示清洗材料的黏度隨著聚合物的重量百分比而增加。此外,沖掉清洗材料所需的沖洗時間隨著清洗材料的黏度而增加。使用水來沖洗基板。
表II係比較不同清洗材料將顆粒截留或懸浮在清洗材料中的能力。刻意將氮化矽顆粒加入清洗材料中。在加入氮化矽顆粒之後,將清洗材料施於乾淨的基板上。接著將清洗材料自基板沖掉,然後測量其表面上的顆粒(氮化矽)數目。
使用五種溶液作為清洗材料。第一種清洗材料「DIW」為去離子水。第二種清洗材料為加入銨以將pH值調整至大於10的DIW。第三種為溶液「100」,其為加入1wt% ADS的BAS。如上所述,溶液「100」之pH值為10。第四種清洗材料為0.2wt%之Carbopol 940TM PAA溶解於溶液「100」中。Carbopol 940TM PAA的分子量為4M(或4百萬)g/mol。第五種為0.5wt%之PAM溶解於溶液「100」中。PAM的分子量為18M g/mol。第五種清洗材料的pH值約為10。此五種清洗材料與兩個數量的氮化矽顆粒混合(即1X與50X)。50X之氮化矽顆粒數目為1X之顆粒數目的五十倍。1X氮化物顆粒代表氮化物顆粒重量%為0.00048%,而50X氮化物顆粒代表氮化物顆粒重量%為0.024%。
結果顯示DIW將氮化矽顆粒懸浮與保留於DIW中的效果並不好。大量(飽和)的氮化矽顆粒留在基板表面上。表II中所使用的「飽和」一詞係說明顆粒(或缺陷)總數超過75000。相對來說,0.2% Carbopol 940TM PAA溶解於“100”中與0.5% PAM溶解於“100”中之清洗材料,將氮化矽顆粒懸浮於清洗材料中的效果好的多。0.5% PAM溶解於“100”中之清洗材料截留或懸浮清洗材料中所加入的氮化矽顆粒之效果特別好。僅有少量(對於1X氮化矽顆粒為53,而對於50X氮化矽顆粒為104)清洗材料中的氮化矽(或Si3 N4 )顆粒留在基板表面上。
清洗材料中所使用的聚合物之分子量會影響顆粒移除效率(PRE)。圖5A顯示利用清洗材料將基板上大於90nm之氮化矽顆粒移除之PRE圖表,清洗材料係在溶液「100」中具有1%(重量%)的PAA,以及在溶液「100」中具有1%(重量%)的羥乙基纖維素(HEC),PRE係為此二聚合物(PAA與HEC)之分子量的函數。圖5A中的資料顯示PRE隨著介於100000g/mol與1M(或1000000)g/mol之間的HEC之分子量而增加。圖5A中的資料亦顯示PRE隨著介於500000g/mol與1M g/mol之間的PAA之分子量而增加。然而,對於1M g/mol與1.25M g/mol之間的PAA而言,PRE並未大幅改變。圖5B顯示利用清洗材料將基板上大於90nm之氮化矽顆粒移除之PRE圖表,清洗材料係在溶液「100」中具有1%(重量%)的PAM,PRE係為PAM之分子量的函數。圖5B中的資料顯示PRE隨著介於500000g/mol與18M g/mol之間的PAM之分子量而增加。兩圖表中的資料均顯示分子量對於PRE的效果。
如上所述,清洗材料之黏度會影響自基板表面移除清洗材料之沖洗時間。圖5C顯示將氯化銨(NH4 Cl)加入清洗材料之結果,清洗材料具有溶解於去離子水中之0.2wt%至1wt%的PAM。PAM具有18M g/mol之分子量。添加的氯化銨在清洗溶液中離子化而對清洗材料提供額外的離子,以增加清洗材料的離子強度。增加的離子強度降低了清洗材料的黏度。舉例來說,對於具有1wt%PAM之清洗材料而言,1.5wt%的氯化銨可將其黏度從約100cP降至60cP。對於具有0.5wt% PAM之清洗材料而言,1.5wt%的氯化銨亦可將其黏度從約50cP降至25cP。降低黏度可縮短從基板表面沖掉清洗材料所需的時間。在一實施例中,清洗材料的黏度低於500cP以確保可在合理的時間框架內完成基板清潔,從而達成生產目標。
圖6A係根據本發明一實施例之利用清洗材料來清洗圖型化基板的流程圖600,清洗材料含有高分子量聚合化合物之高分子。清洗材料係如上述。在步驟601中,將圖型化基板放置於清洗設備中。在步驟602中,將清洗材料施於圖型化基板的表面上。在步驟603中,將沖洗液施於圖型化基板的表面上以沖去清洗材料。沖洗液係如上述。在一實施例中,在將沖洗液施加於基板表面上之後,可藉著真空將基板表面上的沖洗液、清洗材料、以及污染物自圖型化基板的表面移除。
圖6B係根據本發明一實施例之製備用以清洗圖型化基板之清洗材料的流程圖650。含有高分子量聚合化合物之高分子的清洗材料係如上述。在步驟651中,將材料,如聚合物、溶劑、與添加物(如緩衝劑、及/或界面活性劑)混合在一起以形成清洗材料或清洗材料的預混物。在步驟653中,純化清洗材料(或其預混物)以使其金屬污染物少於1ppb。在純化處理之後,亦可能需要加入些許的添加物、溶劑、及/或緩衝劑,以使清洗材料恢復至所需的配方。在此情況下,加入添加物、溶劑、及/或緩衝劑以製備清洗材料的最終產物。
如上所述,有許多用以純化清洗材料而將清洗材料的金屬污染物清除的方法。或者,可在清洗材料製備過程期間進行純化。圖6C係根據本發明另一實施例之製備用以清洗圖型化基板之清洗材料的流程圖670。在步驟671中,將聚合化合物與些許溶劑混合在一起以形成一混合物。在步驟672中,純化聚合物與溶劑的混合物以使其金屬污染物少於1ppb。在步驟673中,將聚合物與溶劑的混合物與其餘成分混合而形成清洗材料。其他純化清洗材料的實施例亦為可行。
上述清洗材料、設備、與方法有利於清洗具有細微特徵部之圖型化基板而不破壞其特徵部。清洗材料係為流態(液相或液/氣相(泡沫)),且可於裝置特徵部周圍變形;因此清洗材料不會損壞裝置特徵部。液相之清洗材料可為液體、溶膠、或凝膠體的形式。含有高分子量聚合化合物之高分子的清洗材料捕獲基板上的污染物。此外,清洗材料截留污染物,而不使其返回基板表面。高分子量聚合化合物之高分子形成聚合物長鏈,其亦可交聯而形成聚合物網。相較於習知清洗材料,此聚合物長鏈及/或聚合物網顯示了捕獲及截留污染物之優異能力。
在將清洗材料施加於基板表面上以自基板表面移除污染物或顆粒之前,清洗材料實質上並不含有非可變形顆粒(或研磨顆粒)。非可變形顆粒係堅硬顆粒(如泥漿或沙地中的顆粒),且會破壞圖型化基板上之細微裝置特徵部。在基板清洗處理期間,清洗材料自基板表面收集污染物或顆粒。然而,在將清洗材料施加於基板表面上以清潔基板之前,並不會刻意將非可變形顆粒混合於清洗材料中。
雖然上述實施例描述用於清洗圖型化基板的材料、方法、及系統,但此等材料、方法、及系統亦可用於清洗未圖型化(空白)基板。
雖然以上討論係集中於自圖型化晶圓清除污染物,但此清洗設備及方法亦可用於自未圖型化晶圓清除污染物。此外,上述圖型化晶圓上的示範性圖案係為突出線路,如多晶矽線或金屬線。然而,本發明之概念可應用於具有凹陷特徵部之基板。例如,CMP之後的凹陷介層孔可形成晶圓上的圖案,並且可利用通道之最適設計法來達到最佳的污染物移除效率。
此處所提及作為實例之基板表示(但不限於)半導體晶圓、硬碟、光碟、玻璃基板、平面顯示器表面、液晶顯示器表面等等,其在製造或處理作業期間可能受到污染。依實際基板的不同,其表面可能以不同的方式受到污染,並且污染可忍受的程度係由處理基板之特定產業所定義。
雖然僅以數個實施例之方式來闡述本發明,但應注意:熟知此項技藝者在閱讀前述之說明書及研讀圖示時,當可體會出本發明之各種變化、添加、變更及等效物。因此,本發明應包含所有落在本發明之真實精神與範疇內之此類變化、添加、變更及等效物。在申請專利範圍中,除非申請專利範圍之項次中有明確記載,否則其中的元件及/或步驟並未暗示任何特定的操作順序。
100...基板
101...基板本體
102...裝置結構
103...顆粒
104...裝置結構的寬度
105...表面
106...裝置結構的高度
107...顆粒之近似直徑
111...力量
112...力量
201~203...曲線
201’~203’...曲線
201”...曲線
203I ~203III ...曲線
300、300’、300”、300*...清洗材料
301、301’...基板
302...裝置結構
302I ~302IV ...裝置結構
302’...結構
305、305’、305”...清洗溶液
306...小且孤立的聚合物
310...聚合物
311I 、311II ...聚合物鏈
315...介層孔
316...渠溝
320...顆粒
320I ~320IV ...污染物
325I ~325IV ...污染物
326I ~326IV ...污染物
330、330’、330”...清洗容積
340...聚合物微滴
341...邊界
350...聚合物團塊
360...氣泡
400...清洗基板之系統
401...沖洗液分配孔
402...真空孔
403...排水口
404...廢料管線
405...閥
406...回收處理器
407...廢料處理器
408...使用過之沖洗液的容器
409...廢料容器
410...清洗機頭
411*...狹縫
411...分配孔
412...真空機頭
414...真空孔
416...控制器
417...沖洗機頭
418...清洗材料分配組件
419...支撐結構
420...基板
421...表面
422...箭頭
423...容器
424...基板支架(基板載具)
425...真空泵
426...基板載具
427...容器
430...清洗主體
430’...彎液面
432...剪力
440...清洗機頭的長度
450...支撐結構(臂桿)
451...晶圓的直徑
460...供應管線
465...真空管線
466...真空口
467...供應管線
468...噴嘴
470...儲槽
471...沖洗液之儲槽
472...容器
475...清洗基板之系統
480...清洗槽
481...清洗材料
482...清洗材料製備系統
483...基板支座
484...聚合物容器
485...清洗槽
486...溶劑容器
487...緩衝劑與添加物容器
488...聚合物分配控制器
489...溶劑分配控制器
490...沖洗槽
491...沖洗液
492...緩衝劑與添加物分配控制器
493...預混合容器
494...純化器
495...調整容器
496...沖洗液之儲槽
497...清洗材料分配機頭
498...沖洗液分配機頭
499...清洗設備
藉著上述詳細說明並結合隨附圖示,本發明將更易於了解,相似的參考符號代表相似的結構元件。
圖1係根據本發明之一實施例,顯示基板上之缺陷與裝置特徵部。
圖2A係根據本發明之一實施例,顯示關於將清洗材料施加於圖型化基板上之3條反應曲線。
圖2B顯示關於將清洗材料施加於圖型化基板上之3條反應曲線。
圖2C係根據本發明之一實施例,顯示不同技術點之3條破壞曲線以及清洗材料的力量強度曲線。
圖3A係根據本發明之一實施例之清洗材料,其含有溶解於清洗溶液中的高分子量聚合化合物之高分子。
圖3B係根據本發明之一實施例,顯示圖3A之清洗材料截留污染物。
圖3C係根據本發明之一實施例,顯示圖3A之清洗材料施於圖型化晶圓上以自基板表面清洗污染物。
圖3D係根據本發明之一實施例,顯示圖3A之清洗材料施於圖型化晶圓上以自基板表面清洗污染物。
圖3E係根據本發明之一實施例,顯示圖3A之清洗材料施於帶有渠溝與介層孔之圖型化晶圓上以自基板表面清洗污染物。
圖3F係根據本發明之一實施例之清洗材料,其含有乳化於清洗溶液中的膠狀聚合物微滴。
圖3G係根據本發明之一實施例之清洗材料,其含有懸浮於清洗溶液中的膠狀聚合物團塊。
圖3H係根據本發明之一實施例之泡沫清洗材料。
圖4A係根據本發明之一實施例之簡化示意圖,其顯示用於清洗基板之系統之俯視圖。
圖4B係根據本發明之一實施例,顯示圖4A之清洗機頭之底視圖。
圖4C係根據本發明之一實施例之清洗機頭之側視圖,其於清洗機頭下將清洗材料之清洗主體施加於基板表面上。
圖4D係根據本發明一實施例之位於基板上之清洗機頭的剖面圖。
圖4E係根據本發明一實施例之基板清洗系統。
圖4F係依據本發明一實施例,顯示利用含有高分子量聚合化合物之高分子之清洗材料來清洗基板之清洗設備,以及用以沖掉清洗材料之之沖洗設備。
圖4G係依據本發明一實施例,顯示利用含有高分子量聚合化合物之高分子之清洗材料來清潔基板之清洗與沖洗設備。
圖4H係依據本發明一實施例之清洗系統。
圖4I係根據本發明之一實施例之簡化示意圖,其顯示用於清洗基板之系統之俯視圖。
圖4J係根據本發明一實施例,圖4I之清洗機頭及沖洗機頭之底視圖。
圖4K係根據本發明一實施例之用於製備清洗材料的系統。
圖5A係根據本發明一實施例,顯示顆粒移除效率(PRE)作為聚丙烯酸(PAA)與羥乙基纖維素(HEC)之分子量的函數。
圖5B係根據本發明一實施例,顯示PRE作為聚丙烯醯胺(PAM)之分子量的函數。
圖5C係根據本發明一實施例,顯示使用氯化銨來降低以聚丙烯醯胺(PAM)聚合物所製得之清洗材料之黏度的實驗結果。
圖6A係根據本發明一實施例之利用清洗材料來清洗圖型化基板的流程圖,清洗材料含有高分子量聚合化合物之高分子。
圖6B係根據本發明一實施例之純化清洗材料的流程圖。
圖6C係根據本發明另一實施例之純化清洗材料的流程圖。
300...清洗材料
301...基板
302...裝置結構
305...清洗溶液
310...聚合物
311I 、311II ...聚合物鏈
320I ~320IV ...污染物
330...清洗容積

Claims (29)

  1. 一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物,該清洗材料包含:一溶劑;一緩衝劑,用以改變該清洗材料之pH值,其中該緩衝劑為弱酸或弱鹼,且該緩衝劑與該溶劑形成一清洗溶液;一離子提供化合物,其於該清洗溶液中離子化而為該清洗材料提供較高之離子強度,以降低該清洗材料的黏度,其中該離子提供化合物包含氯化銨(NH4 Cl);以及分子量大於10000 g/mol之聚合化合物的高分子,其中該高分子可溶於該清洗溶液中而形成該清洗材料,溶解的高分子具有聚合物長鏈,俾可自用以定義積體電路裝置之該圖型化基板表面捕獲並截留至少若干污染物,該清洗材料係界定為液相,其中當一外力作用在覆蓋該圖型化基板的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形,該清洗材料係施加於該圖型化基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部,在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
  2. 如申請專利範圍第1項之清洗材料,其中該溶劑係選自於由水、異丙醇(IPA)、二甲基亞碸(DMSO)、二甲基甲醯胺(DMF)、或其組合所構成之群組。
  3. 如申請專利範圍第1項之清洗材料,其中該聚合化合物係選自於由丙烯酸聚合物、聚亞胺及氧化物、乙烯聚合物、纖維素衍生物、多醣體、與蛋白質所構成之群組。
  4. 如申請專利範圍第3項之清洗材料,其中該丙烯酸聚合物為聚丙烯醯胺(PAM)、聚丙烯酸(PAA)、PAM與PAA的共聚物、聚-(N,N-二甲基-丙烯醯胺)(PDMAAm)、聚-(N-異丙基-丙烯醯胺) (PIPAAm)、聚甲基丙烯酸(PMAA)、或聚甲基丙烯醯胺(PMAAm)。
  5. 如申請專利範圍第4項之清洗材料,其中該聚丙烯酸(PAA)為Carbopol 940TM 或Carbopol 941TM
  6. 如申請專利範圍第3項之清洗材料,其中該聚亞胺及氧化物為聚乙烯亞胺(PEI)、聚氧化乙烯(PEO)、或聚氧化丙烯(PPO)。
  7. 如申請專利範圍第3項之清洗材料,其中該乙烯聚合物為聚乙烯醇(PVA)、聚乙烯磺酸(PESA)、聚乙烯胺(PVAm)、聚乙烯吡咯烷酮(PVP)、或聚-(4-乙烯-吡啶)(P4VP)。
  8. 如申請專利範圍第3項之清洗材料,其中該纖維素衍生物為甲基纖維素(MC)、乙基纖維素(EC)、羥乙基纖維素(HEC)、或羧甲基纖維素(CMC)。
  9. 如申請專利範圍第3項之清洗材料,其中該多醣體為阿拉伯樹膠、洋菜及洋菜糖、肝素、關華豆膠、或三仙膠。
  10. 如申請專利範圍第3項之清洗材料,其中該蛋白質為卵白蛋白、膠原蛋白、或麩質。
  11. 如申請專利範圍第1項之清洗材料,其中該分子量介於約0.1M g/mol至100M g/mol之間。
  12. 如申請專利範圍第1項之清洗材料,其中該高分子在該清洗材料中的重量百分比係介於約0.001%至10%之間。
  13. 如申請專利範圍第1項之清洗材料,更包含:一界面活性劑,用以促進該高分子散佈或濕潤於該清洗溶液 中。
  14. 如申請專利範圍第13項之清洗材料,其中該界面活性劑為十二烷基硫酸銨(ADS)。
  15. 如申請專利範圍第1項之清洗材料,其中該清洗材料為流體,其為液體、溶膠、或凝膠體之形式。
  16. 如申請專利範圍第15項之清洗材料,其中該清洗材料為液相之乳化液,其具有溶解於該清洗溶液中之高分子微滴。
  17. 如申請專利範圍第1項之清洗材料,更包含:一氣體,其中該清洗材料為具有兩相的泡沫,其包含液相及氣相。
  18. 如申請專利範圍第1項之清洗材料,其中對於前端應用而言,該pH值介於約7至12之間。
  19. 如申請專利範圍第1項之清洗材料,其中對於後端應用而言,該pH值介於約1至7之間。
  20. 如申請專利範圍第1項之清洗材料,其中高分子係至少部分形成聚合物鏈,且受到離子力、靜電力、凡得瓦力、疏水作用、立體交互作用、或化學鍵結之影響而捕獲及截留污染物。
  21. 如申請專利範圍第1項之清洗材料,其中該清洗材料的黏度小於500 cP。
  22. 如申請專利範圍第1項之清洗材料,其中該裝置特徵部之特徵部大小具有小於或等於約45 nm之臨界尺寸。
  23. 如申請專利範圍第1項之清洗材料,其中部分的聚合物長鏈係交聯而形成聚合物網,其協助捕獲及截留污染物。
  24. 如申請專利範圍第1項之清洗材料,其中該清洗材料之金屬污染物少於1 ppb。
  25. 如申請專利範圍第1項之清洗材料,其中對於顆粒尺寸大於等於65 nm之微粒污染物而言,該清洗材料之微粒污染物的數量少於20顆。
  26. 如申請專利範圍第1項之清洗材料,其中該聚合化合物為聚丙烯醯胺(PAM),且PAM之分子量大於等於500000 g/mol。
  27. 一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物,該清洗材料包含:一溶劑;一離子提供化合物,其於該清洗溶液中離子化而為該清洗材料提供較高之離子強度,以降低該清洗材料的黏度,其中該離子提供化合物包含氯化銨(NH4 Cl);以及高分子,具有一足夠大之分子量,俾當該高分子溶於該溶劑中時形成膠體形式之聚合物鏈與聚合物網,該溶劑與溶解的高分子形成該清洗材料,該清洗材料在施加於該圖型化基板表面上之前所包含的金屬污染物少於1 ppb(十億分之一),具有聚合物鏈與聚合物網之該高分子自用以定義積體電路裝置之該圖型化基板表面捕獲並截留污染物,其中當一外力作用在覆蓋該圖型化基板表面的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形,該清洗材料係施加於該基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部,在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
  28. 一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物,該清洗材料包含:一溶劑;一緩衝劑,用以改變該清洗材料之pH值,其中該緩衝劑為弱酸或弱鹼,且該緩衝劑與該溶劑形成一清洗溶液;一離子提供化合物,其於該清洗溶液中離子化而為該清洗材料提供較高之離子強度,以降低該清洗材料的黏度,其中該離子提供化合物包含氯化銨(NH4 Cl);以及分子量大於等於500000 g/mol之聚丙烯醯胺(PAM)的高分子,其中該高分子可溶於該清洗溶液中而形成該清洗材料,該清洗材料在施加於該圖型化基板表面上之前所包含的金屬污染物少於1 ppb,且其pH值介於約7至12之間,溶解的高分子具有聚合物長鏈,俾可自用以定義積體電路裝置之該圖型化基板表面捕獲並截留至少若干污染物,該清洗材料係界定為液相,其中當一外力作用在覆蓋該圖型化基板的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形,該清洗材料係施加於該圖型化基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部,在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
  29. 一種清洗材料,施加於一用以定義積體電路裝置之圖型化基板之表面上,以自該表面移除污染物,該清洗材料包含:一溶劑;一緩衝劑,用以改變該清洗材料之pH值,其中該緩衝劑為弱酸或弱鹼,且該緩衝劑與該溶劑形成一清洗溶液;一離子提供化合物,其於該清洗溶液中離子化而為該清洗材料提供較高之離子強度,以降低該清洗材料的黏度,其中該離子提供化合物包含氯化銨(NH4 Cl);以及分子量大於等於500000 g/mol之Carbopol 940TM 的高分子,其中該高分子可溶於該清洗溶液中而形成該清洗材料,該清洗材 料在施加於該圖型化基板表面上之前所包含的金屬污染物少於1 ppb,溶解的高分子具有聚合物長鏈,俾可自用以定義積體電路裝置之該圖型化基板表面捕獲並截留至少若干污染物,該清洗材料係界定為液相,其中當一外力作用在覆蓋該圖型化基板的清洗材料時,該清洗材料在該圖型化基板表面上之裝置特徵部周圍變形,該清洗材料係施加於該圖型化基板之表面上以自該表面移除污染物,而實質上不破壞該表面上之裝置特徵部,在將該清洗材料施加於該圖型化基板表面上之前,該清洗材料實質上不含有研磨顆粒。
TW097148598A 2007-12-14 2008-12-12 利用單相及雙相介質移除粒子的材料 TWI414595B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US1395007P 2007-12-14 2007-12-14
US12/131,654 US8211846B2 (en) 2007-12-14 2008-06-02 Materials for particle removal by single-phase and two-phase media

Publications (2)

Publication Number Publication Date
TW200948953A TW200948953A (en) 2009-12-01
TWI414595B true TWI414595B (zh) 2013-11-11

Family

ID=40751622

Family Applications (4)

Application Number Title Priority Date Filing Date
TW097148598A TWI414595B (zh) 2007-12-14 2008-12-12 利用單相及雙相介質移除粒子的材料
TW097148584A TWI390614B (zh) 2007-12-14 2008-12-12 利用單相及雙相介質移除粒子的設備
TW097148591A TWI360173B (en) 2007-12-14 2008-12-12 Methods for particle removal by single-phase and t
TW097148757A TWI464791B (zh) 2007-12-14 2008-12-15 自基板移除污染物的方法與設備

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW097148584A TWI390614B (zh) 2007-12-14 2008-12-12 利用單相及雙相介質移除粒子的設備
TW097148591A TWI360173B (en) 2007-12-14 2008-12-12 Methods for particle removal by single-phase and t
TW097148757A TWI464791B (zh) 2007-12-14 2008-12-15 自基板移除污染物的方法與設備

Country Status (7)

Country Link
US (6) US8084406B2 (zh)
EP (4) EP2220669A4 (zh)
JP (4) JP5290321B2 (zh)
KR (4) KR101592610B1 (zh)
CN (4) CN101903986B (zh)
TW (4) TWI414595B (zh)
WO (4) WO2009078975A2 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2229243A4 (en) 2007-12-07 2012-11-21 Fontana Technology METHOD AND COMPOSITION FOR CLEANING DISCS
US7981221B2 (en) * 2008-02-21 2011-07-19 Micron Technology, Inc. Rheological fluids for particle removal
US8105997B2 (en) * 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
CN101890413B (zh) * 2009-05-18 2013-11-06 鸿富锦精密工业(深圳)有限公司 一种用于清洗并风干物料的装置
US9455139B2 (en) * 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US8367594B2 (en) * 2009-06-24 2013-02-05 Lam Research Corporation Damage free, high-efficiency, particle removal cleaner comprising polyvinyl alcohol particles
JP2012533649A (ja) * 2009-07-15 2012-12-27 ラム リサーチ コーポレーション 高度な基板洗浄剤及び洗浄用システム
JP4927158B2 (ja) * 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
KR101846597B1 (ko) * 2010-10-01 2018-04-06 미쯔비시 케미컬 주식회사 반도체 디바이스용 기판의 세정액 및 세정 방법
JP5817139B2 (ja) * 2011-02-18 2015-11-18 富士通株式会社 化合物半導体装置の製造方法及び洗浄剤
US20120260517A1 (en) * 2011-04-18 2012-10-18 Lam Research Corporation Apparatus and Method for Reducing Substrate Pattern Collapse During Drying Operations
CN102220107A (zh) * 2011-04-19 2011-10-19 北京鑫诺美迪基因检测技术有限公司 一种测序仪毛细管清洗试剂
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
TWI826650B (zh) 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
MY180178A (en) 2013-02-28 2020-11-24 Seagate Technology Llc Method of cleaning magnetic head slider
WO2015175521A1 (en) * 2014-05-12 2015-11-19 Tokyo Electron Limited Method and system to improve drying of flexible nano-structures
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
CN107075411A (zh) * 2014-09-18 2017-08-18 应用材料公司 使用经设计的黏性流体的高效率后cmp清洗的方法与设备
US20160207076A1 (en) * 2015-01-20 2016-07-21 Ikonics Corporation Apparatus and method for removing abrasive particles from within a panel
US20170082852A1 (en) * 2015-09-18 2017-03-23 California Institute Of Technology Optic Green Light Illumination System
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
KR102189211B1 (ko) 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
CN108140549B (zh) 2015-10-04 2022-12-20 应用材料公司 缩减空间的处理腔室
KR102054605B1 (ko) 2015-10-04 2019-12-10 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피처들을 위한 건조 프로세스
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
CN108431931B (zh) * 2015-12-22 2023-08-18 巴斯夫欧洲公司 用于化学机械抛光后清洁的组合物
RU168186U1 (ru) * 2016-07-12 2017-01-23 Акционерное общество "Научно-исследовательский институт "Полюс" им. М.Ф. Стельмаха" Центрифуга
JP7073658B2 (ja) * 2017-09-25 2022-05-24 東京エレクトロン株式会社 基板処理方法、基板処理装置、及び記憶媒体
US10468243B2 (en) * 2017-11-22 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device and method of cleaning substrate
KR102046973B1 (ko) * 2018-04-10 2019-12-02 세메스 주식회사 기판의 세정방법 및 세정장치
CN110142271A (zh) * 2019-05-31 2019-08-20 苏州五方光电材料有限公司 一种光学镜片中片的清洗方法
KR102281885B1 (ko) * 2019-11-06 2021-07-27 세메스 주식회사 기판 세정 방법 및 기판 처리 장치
CN110834005A (zh) * 2019-11-20 2020-02-25 湖南金凯循环科技有限公司 一种锂电池回收用洗渣装置
CN112837994B (zh) * 2019-11-25 2022-12-09 中芯国际集成电路制造(北京)有限公司 一种半导体器件的清洗方法
KR102358801B1 (ko) * 2019-12-27 2022-02-08 주식회사 케이씨텍 표면 처리 조성물 및 이를 이용한 표면 처리 방법
CN112547662B (zh) * 2020-11-24 2022-04-05 江苏筑磊电子科技有限公司 异丙醇在火灾后电器表面处理的方法
US20240165657A1 (en) * 2021-03-19 2024-05-23 SCREEN Holdings Co., Ltd. Substrate processing method, substrate processing device, and polymer-containing liquid

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070186485A1 (en) * 2002-09-30 2007-08-16 Fujimi Incorporated Polishing composition and rinse composition

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL251243A (zh) 1959-05-04
US3212762A (en) 1960-05-23 1965-10-19 Dow Chemical Co Foam generator
US3167095A (en) 1961-02-02 1965-01-26 Dover Corp Automatic shut-off loading valve
US3436262A (en) 1964-09-25 1969-04-01 Dow Chemical Co Cleaning by foam contact,and foam regeneration method
US3617095A (en) 1967-10-18 1971-11-02 Petrolite Corp Method of transporting bulk solids
US4002571A (en) 1972-03-08 1977-01-11 S. C. Johnson & Son, Inc. Cleaning compositions
US3733538A (en) * 1972-03-28 1973-05-15 Westinghouse Electric Corp Apparatus for limiting instantaneous inverter current
US3978176A (en) 1972-09-05 1976-08-31 Minnesota Mining And Manufacturing Company Sparger
US3994744A (en) * 1973-10-01 1976-11-30 S. C. Johnson & Son, Inc. No-scrub cleaning method
GB1507472A (en) 1974-05-02 1978-04-12 Bunker Ramo Foamable coating remover composition
US4156619A (en) 1975-06-11 1979-05-29 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for cleaning semi-conductor discs
GB1519178A (en) 1975-09-17 1978-07-26 Ciba Geigy Ag Detergent compositions
US4133773A (en) 1977-07-28 1979-01-09 The Dow Chemical Company Apparatus for making foamed cleaning solutions and method of operation
US4238244A (en) 1978-10-10 1980-12-09 Halliburton Company Method of removing deposits from surfaces with a gas agitated cleaning liquid
US4330571A (en) * 1978-12-26 1982-05-18 Lockheed Corporation Method of applying corrosion preventive coating to metallic cable
US4613379A (en) * 1981-04-20 1986-09-23 Alcon Laboratories, Inc. Cleaning agent for optical surfaces
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4533399A (en) 1983-04-12 1985-08-06 Minnesota Mining And Manufacturing Company Contact lens cleaning method
GB8404000D0 (en) * 1984-02-15 1984-03-21 Unilever Plc Wiping surfaces
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
DE3533531A1 (de) 1985-09-20 1987-04-02 Henkel Kgaa Reinigungsmittel fuer harte oberflaechen
ES2011470B3 (es) 1986-07-08 1990-01-16 Kohlensaurewerk Deutschland Gmbh Procedimiento para la disgregacion de sustancias volatiles
NL8601939A (nl) 1986-07-28 1988-02-16 Philips Nv Werkwijze voor het verwijderen van ongewenste deeltjes van een oppervlak van een substraat.
US4839082A (en) * 1986-12-24 1989-06-13 Alcon Laboratories, Inc. Contact lens cleaning compositions containing a carboxy vinyl polymer
US4817652A (en) 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US4962776A (en) 1987-03-26 1990-10-16 Regents Of The University Of Minnesota Process for surface and fluid cleaning
US4849027A (en) 1987-04-16 1989-07-18 Simmons Bobby G Method for recycling foamed solvents
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US5048549A (en) 1988-03-02 1991-09-17 General Dynamics Corp., Air Defense Systems Div. Apparatus for cleaning and/or fluxing circuit card assemblies
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
GB2228944A (en) 1989-03-08 1990-09-12 Unilever Plc Non-aqueous liquid cleaning composition
US5000795A (en) 1989-06-16 1991-03-19 At&T Bell Laboratories Semiconductor wafer cleaning method and apparatus
US5102777A (en) 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
IE902759A1 (en) * 1990-02-16 1991-08-28 Rohm & Haas Liquid cleaning compositions containing water-soluble¹polymer
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
DE69102311T2 (de) 1990-03-07 1994-09-29 Hitachi Ltd Vorrichtung und Verfahren zur Oberflächenreinigung.
CA2020207C (en) * 1990-06-29 1996-06-25 Jack A. Rattee Bonded paper pigments
CA2047085A1 (en) 1990-07-16 1992-01-17 Karen L. Wisniewski Hard surface liquid cleaning composition with soil release polymer
JPH04162627A (ja) * 1990-10-26 1992-06-08 Matsushita Electric Ind Co Ltd 薬液処理装置
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
DE4038587A1 (de) 1990-12-04 1992-06-11 Hamatech Halbleiter Maschinenb Transportvorrichtung fuer substrate
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5261966A (en) 1991-01-28 1993-11-16 Kabushiki Kaisha Toshiba Method of cleaning semiconductor wafers using mixer containing a bundle of gas permeable hollow yarns
US5175124A (en) 1991-03-25 1992-12-29 Motorola, Inc. Process for fabricating a semiconductor device using re-ionized rinse water
US5585034A (en) * 1991-11-21 1996-12-17 Colgate-Palmolive Co. Gelled near tricritical point compositions
US5242669A (en) 1992-07-09 1993-09-07 The S. A. Day Mfg. Co., Inc. High purity potassium tetrafluoroaluminate and method of making same
US5288332A (en) 1993-02-05 1994-02-22 Honeywell Inc. A process for removing corrosive by-products from a circuit assembly
US5336371A (en) 1993-03-18 1994-08-09 At&T Bell Laboratories Semiconductor wafer cleaning and rinsing techniques using re-ionized water and tank overflow
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
US5855649A (en) * 1993-07-26 1999-01-05 Ada Technologies Solutions, Llc Liquid additives for particulate emissions control
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5950645A (en) 1993-10-20 1999-09-14 Verteq, Inc. Semiconductor wafer cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5518542A (en) 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5938504A (en) 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP2823813B2 (ja) * 1994-05-06 1998-11-11 鹿島建設株式会社 剥離性ポリマー膜による壁面汚れの剥離方法
US5534167A (en) * 1994-06-13 1996-07-09 S. C. Johnson & Son, Inc. Carpet cleaning and restoring composition
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
EP0692318B1 (en) 1994-06-28 2001-09-12 Ebara Corporation Method of and apparatus for cleaning workpiece
US6081650A (en) 1994-06-30 2000-06-27 Thomson Licensing S.A. Transport processor interface and video recorder/playback apparatus in a field structured datastream suitable for conveying television information
US5955414A (en) * 1994-10-05 1999-09-21 S. C. Johnson & Son, Inc. Cleaning foam having fluorinated stain repellent and low flammability
US5772784A (en) 1994-11-14 1998-06-30 Yieldup International Ultra-low particle semiconductor cleaner
JPH08172068A (ja) * 1994-12-19 1996-07-02 Fujitsu Ltd 半導体基板の洗浄方法及び半導体装置の製造方法
JPH08250455A (ja) * 1995-02-15 1996-09-27 Texas Instr Inc <Ti> 化学機械的に研磨される半導体ウェーハ面から汚染粒子を除去する方法および装置
US5663132A (en) * 1995-03-01 1997-09-02 Charvid Limited Liability Company Non-caustic composition comprising peroxygen compound and metasilicate and cleaning methods for using same
JP3504023B2 (ja) 1995-05-26 2004-03-08 株式会社ルネサステクノロジ 洗浄装置および洗浄方法
US5964958A (en) 1995-06-07 1999-10-12 Gary W. Ferrell Methods for drying and cleaning objects using aerosols
US5968285A (en) 1995-06-07 1999-10-19 Gary W. Ferrell Methods for drying and cleaning of objects using aerosols and inert gases
US6050283A (en) * 1995-07-07 2000-04-18 Air Liquide America Corporation System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing
US6532976B1 (en) 1995-07-10 2003-03-18 Lg Semicon Co., Ltd. Semiconductor wafer cleaning apparatus
US5750031A (en) * 1995-09-26 1998-05-12 Clariant Finance (Bvi) Limited Process for producing surfactant having a low metal ion level and developer produced therefrom
JPH09298180A (ja) * 1996-03-06 1997-11-18 Sumitomo Chem Co Ltd シリコンウエハーの洗浄方法
US6165970A (en) * 1996-03-29 2000-12-26 The Procter & Gamble Company Detergent composition comprising acrylic acid-based polymer and amino tricarboxylic acid-based compound
US5770548B1 (en) * 1996-05-14 1999-06-29 Johnson & Son Inc S C Rinseable hard surface cleaner comprising silicate and hydrophobic acrylic polymer
DE19622015A1 (de) 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US6092538A (en) 1996-09-25 2000-07-25 Shuzurifuresher Kaihatsukyodokumiai Method for using high density compressed liquefied gases in cleaning applications
US5997653A (en) 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6701941B1 (en) 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
JPH10321572A (ja) 1997-05-15 1998-12-04 Toshiba Corp 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法
US6340013B1 (en) * 1997-07-03 2002-01-22 Richard Berkeley Britton Four-stroke internal combustion engine with recuperator in cylinder head
JPH1126423A (ja) 1997-07-09 1999-01-29 Sugai:Kk 半導体ウエハ等の処理方法並びにその処理装置
US6152805A (en) 1997-07-17 2000-11-28 Canon Kabushiki Kaisha Polishing machine
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
JPH11137504A (ja) * 1997-11-10 1999-05-25 Masanobu Kujirada 清掃装置
DE19751859A1 (de) * 1997-11-22 1999-07-29 Henkel Ecolab Gmbh & Co Ohg Mittel zum Reinigen von harten Oberflächen
JP3039493B2 (ja) 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6270584B1 (en) 1997-12-03 2001-08-07 Gary W. Ferrell Apparatus for drying and cleaning objects using controlled aerosols and gases
US5865901A (en) 1997-12-29 1999-02-02 Siemens Aktiengesellschaft Wafer surface cleaning apparatus and method
US6042885A (en) 1998-04-17 2000-03-28 Abitec Corporation System and method for dispensing a gel
KR100265286B1 (ko) * 1998-04-20 2000-10-02 윤종용 반도체장치 제조용 케미컬 순환공급장치 및 이의 구동방법
CA2301141A1 (en) 1998-06-15 1999-12-23 The Lubrizol Corporation Methods of using an aqueous composition containing a water-soluble or water-dispersible synthetic polymer and resultant compositions formed thereof
US6462013B1 (en) 1998-06-26 2002-10-08 National Starch And Chemical Investment Holding Corporation Isotropic liquid detergent comprising ethylenically unsaturated acid monomer-C1 to C24 chain transfer agent polymerization product
US6049996A (en) 1998-07-10 2000-04-18 Ball Semiconductor, Inc. Device and fluid separator for processing spherical shaped devices
US5944581A (en) 1998-07-13 1999-08-31 Ford Motor Company CO2 cleaning system and method
JP3003684B1 (ja) 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
JP2000141215A (ja) 1998-11-05 2000-05-23 Sony Corp 平坦化研磨装置及び平坦化研磨方法
JP2000265945A (ja) 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000260739A (ja) 1999-03-11 2000-09-22 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
US6290780B1 (en) 1999-03-19 2001-09-18 Lam Research Corporation Method and apparatus for processing a wafer
US6733538B1 (en) * 1999-03-25 2004-05-11 The Procter & Gamble Company Laundry detergent compositions with certain cationically charged dye maintenance polymers
US6384109B1 (en) * 1999-03-25 2002-05-07 Proflow, Inc. Polymer make-down unit with flushing feature
US6272712B1 (en) 1999-04-02 2001-08-14 Lam Research Corporation Brush box containment apparatus
JP4516176B2 (ja) 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
JP4247587B2 (ja) 1999-06-23 2009-04-02 Jsr株式会社 半導体部品用洗浄剤、半導体部品の洗浄方法、研磨用組成物、および研磨方法
US6562726B1 (en) 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6120175A (en) * 1999-07-14 2000-09-19 The Porter Company/Mechanical Contractors Apparatus and method for controlled chemical blending
US6306012B1 (en) * 1999-07-20 2001-10-23 Micron Technology, Inc. Methods and apparatuses for planarizing microelectronic substrate assemblies
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6734121B2 (en) 1999-09-02 2004-05-11 Micron Technology, Inc. Methods of treating surfaces of substrates
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US7122126B1 (en) 2000-09-28 2006-10-17 Materials And Technologies Corporation Wet processing using a fluid meniscus, apparatus and method
US6858089B2 (en) 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6340663B1 (en) 1999-11-24 2002-01-22 The Clorox Company Cleaning wipes
US6576066B1 (en) 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
EP1292759B1 (en) 1999-12-29 2004-09-22 TR Oil Services Limited Process for altering the relative permeability of a hydrocarbon-bearing formation
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6276459B1 (en) 2000-02-01 2001-08-21 Bradford James Herrick Compressed air foam generator
US6594847B1 (en) 2000-03-28 2003-07-22 Lam Research Corporation Single wafer residue, thin film removal and clean
US6457199B1 (en) 2000-10-12 2002-10-01 Lam Research Corporation Substrate processing in an immersion, scrub and dry system
ES2288998T3 (es) 2000-05-17 2008-02-01 Henkel Kommanditgesellschaft Auf Aktien Cuerpos moldeados de detergentes o productos de limpieza.
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6703358B1 (en) * 2000-07-13 2004-03-09 Rhodia Chimie Cleaning composition for hard surfaces
JP4590700B2 (ja) 2000-07-14 2010-12-01 ソニー株式会社 基板洗浄方法及び基板洗浄装置
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
KR100522845B1 (ko) * 2000-09-01 2005-10-20 가부시끼가이샤 도꾸야마 잔류물 제거용 세정액
DE10044472A1 (de) * 2000-09-08 2002-03-21 Cognis Deutschland Gmbh Waschmittel
US6328042B1 (en) 2000-10-05 2001-12-11 Lam Research Corporation Wafer cleaning module and method for cleaning the surface of a substrate
US20020094684A1 (en) 2000-11-27 2002-07-18 Hirasaki George J. Foam cleaning process in semiconductor manufacturing
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
DE10063427A1 (de) 2000-12-20 2002-07-11 Henkel Kgaa Antibakterielles Reinigungsmittel
EP1343409A2 (en) * 2000-12-21 2003-09-17 The Procter & Gamble Company A motorized hand-held scrubbing and dispensing device and a method of use therefor
KR100416592B1 (ko) * 2001-02-10 2004-02-05 삼성전자주식회사 매엽식 웨이퍼 세정 장치 및 이를 이용한 웨이퍼 세정 방법
TWI242805B (en) 2001-02-15 2005-11-01 United Microelectronics Corp Post metal etch cleaning method
US6493902B2 (en) 2001-02-22 2002-12-17 Chung-Yi Lin Automatic wall cleansing apparatus
JP2002280343A (ja) 2001-03-15 2002-09-27 Nec Corp 洗浄処理装置、切削加工装置
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
EP1388164A2 (en) * 2001-05-18 2004-02-11 Lam Research Corporation Apparatus and method for substrate preparation implementing a surface tension reducing process
JP3511514B2 (ja) 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
DE10128894A1 (de) 2001-06-15 2002-12-19 Basf Ag Verfahren zur schmutzablösungsfördernden Behandlung von Oberflächen textiler und nicht-textiler Materialien
CA2457777A1 (en) * 2001-09-24 2003-04-03 The Procter & Gamble Company Cleaning composition
US6812194B2 (en) 2001-09-28 2004-11-02 Ecolab, Inc. Alkaline metal cleaner comprising sulfonated-hydrophobically modified polyacrylate
US20030087795A1 (en) 2001-11-02 2003-05-08 Wood William A Hard surface cleaning composition
AU2002342866A1 (en) 2001-11-19 2003-06-10 Unilever N.V. Improved washing system
US20030171239A1 (en) 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
JP2003283103A (ja) 2002-03-22 2003-10-03 Seiko Epson Corp パターン形成方法および装置並びにデバイスの製造方法およびデバイス
JP4094323B2 (ja) 2002-04-03 2008-06-04 株式会社ルネサステクノロジ 基板洗浄方法および半導体装置の製造方法
JP4570008B2 (ja) 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
US20040159335A1 (en) 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040002430A1 (en) 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
MXPA05001801A (es) 2002-08-15 2005-04-19 Ciba Spec Chem Water Treat Ltd Polimeros cationicos de alto peso molecular obtenidos por reaccion de reticulacion posterior a la polimerizacion.
JP2004101849A (ja) 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7240679B2 (en) 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7520285B2 (en) * 2002-09-30 2009-04-21 Lam Research Corporation Apparatus and method for processing a substrate
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US6733596B1 (en) 2002-12-23 2004-05-11 Lam Research Corporation Substrate cleaning brush preparation sequence, method, and system
US20040163681A1 (en) 2003-02-25 2004-08-26 Applied Materials, Inc. Dilute sulfuric peroxide at point-of-use
US6951042B1 (en) 2003-02-28 2005-10-04 Lam Research Corporation Brush scrubbing-high frequency resonating wafer processing system and methods for making and implementing the same
US7169192B2 (en) 2003-05-02 2007-01-30 Ecolab Inc. Methods of using heterogeneous cleaning compositions
US20040261823A1 (en) 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7648584B2 (en) 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7696141B2 (en) 2003-06-27 2010-04-13 Lam Research Corporation Cleaning compound and method and system for using the cleaning compound
US7737097B2 (en) 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US7258834B2 (en) * 2003-08-01 2007-08-21 Agilent Technologies, Inc. Methods and devices for modifying a substrate surface
KR100734669B1 (ko) * 2003-08-08 2007-07-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법 및 그 장치
US6946396B2 (en) 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer
US7279455B2 (en) * 2003-11-06 2007-10-09 Ecolab, Inc. Rinse aid composition and method of rising a substrate
KR20050044085A (ko) 2003-11-07 2005-05-12 삼성전자주식회사 집적회로 소자의 세정액 및 그 세정액을 이용한 세정방법
GB2408512A (en) 2003-11-26 2005-06-01 Reckitt Benckiser Inc Floor treatment compositions comprising an amphoteric hydrotrope
US7353560B2 (en) 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7862662B2 (en) 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US7416370B2 (en) 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US7568490B2 (en) 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
JP2005194294A (ja) 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
KR100596865B1 (ko) * 2004-01-05 2006-07-04 주식회사 하이닉스반도체 고평탄성 슬러리 조성물 및 이를 이용한 층간 절연막의cmp 방법
CN1918698B (zh) * 2004-02-09 2010-04-07 三菱化学株式会社 半导体装置用基板的洗涤液及洗涤方法
CN1654617A (zh) * 2004-02-10 2005-08-17 捷时雅株式会社 清洗用组合物和半导体基板的清洗方法及半导体装置的制造方法
US20050183740A1 (en) 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
CN1933759B (zh) 2004-03-31 2010-12-15 兰姆研究有限公司 利用相容化学品的基板刷子擦洗和接近清洗干燥程序、接近基板制备程序和实施前述程序的方法、设备和系统
JP2006005246A (ja) * 2004-06-18 2006-01-05 Fujimi Inc リンス用組成物及びそれを用いたリンス方法
US9117860B2 (en) 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US7281840B2 (en) * 2004-07-09 2007-10-16 Tres-Ark, Inc. Chemical mixing apparatus
JP2006083381A (ja) 2004-08-25 2006-03-30 Rohm & Haas Co 高pH水性系のための増粘剤
FR2878441B1 (fr) 2004-11-26 2008-09-19 Oreal Composition liquide de nettoyage a base de tensioactifs anioniques ; utilisations pour le nettoyage des matieres keratiniques humaines
US8136423B2 (en) 2005-01-25 2012-03-20 Schukra of North America Co. Multiple turn mechanism for manual lumbar support adjustment
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
JP4578993B2 (ja) * 2005-02-02 2010-11-10 Azエレクトロニックマテリアルズ株式会社 ポリシラザン処理溶剤およびこの溶剤を用いるポリシラザンの処理方法
KR101275964B1 (ko) * 2005-02-23 2013-06-14 제이에스알 가부시끼가이샤 화학 기계 연마방법
JP2007036152A (ja) 2005-07-29 2007-02-08 Tokyo Seimitsu Co Ltd ウェーハ洗浄乾燥方法及びウェーハ洗浄乾燥装置
KR100701762B1 (ko) * 2005-08-19 2007-03-29 부경대학교 산학협력단 초임계 이산화탄소에 적용되는 계면활성제, 이의 제조 방법및 이의 용도
DE102005044513A1 (de) * 2005-09-16 2007-03-22 Henkel Kgaa Reinigungsmittel für harte Oberflächen
JP2007081291A (ja) 2005-09-16 2007-03-29 Elpida Memory Inc ウエハ洗浄方法
US7807766B2 (en) * 2005-09-21 2010-10-05 Cognis Ip Management Gmbh Polymers for use in cleaning compositions
EP2428557A1 (en) * 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
US20070256247A1 (en) 2006-05-08 2007-11-08 Marc Privitera Molten solid phase loading of nonwoven
KR100766343B1 (ko) 2006-05-24 2007-10-11 세메스 주식회사 기판 세정 건조 방법
JP4912791B2 (ja) * 2006-08-21 2012-04-11 Jsr株式会社 洗浄用組成物、洗浄方法及び半導体装置の製造方法
US8093199B2 (en) * 2006-11-17 2012-01-10 Basf Se Premoistened cleaning disposable substrate and method of incorporation of a cleaning composition into said substrate
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
EP2229243A4 (en) * 2007-12-07 2012-11-21 Fontana Technology METHOD AND COMPOSITION FOR CLEANING DISCS

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070186485A1 (en) * 2002-09-30 2007-08-16 Fujimi Incorporated Polishing composition and rinse composition

Also Published As

Publication number Publication date
JP2011507270A (ja) 2011-03-03
EP2220672A4 (en) 2012-08-01
TWI464791B (zh) 2014-12-11
KR20100111692A (ko) 2010-10-15
WO2009078975A2 (en) 2009-06-25
JP5398733B2 (ja) 2014-01-29
US20120125375A1 (en) 2012-05-24
CN101903987A (zh) 2010-12-01
KR101555392B1 (ko) 2015-09-23
CN101903986A (zh) 2010-12-01
KR20100113512A (ko) 2010-10-21
US20090151757A1 (en) 2009-06-18
TWI390614B (zh) 2013-03-21
CN101903987B (zh) 2012-02-29
US8084406B2 (en) 2011-12-27
KR20100111690A (ko) 2010-10-15
EP2220669A4 (en) 2012-08-01
TW200948953A (en) 2009-12-01
KR101592610B1 (ko) 2016-02-18
US20090156452A1 (en) 2009-06-18
US7967019B2 (en) 2011-06-28
TW200941572A (en) 2009-10-01
EP2220670A1 (en) 2010-08-25
EP2220671A4 (en) 2012-08-01
KR20100100969A (ko) 2010-09-15
JP5286367B2 (ja) 2013-09-11
WO2009079422A1 (en) 2009-06-25
CN101903985B (zh) 2012-05-30
WO2009078969A1 (en) 2009-06-25
JP2011507271A (ja) 2011-03-03
US20120132234A1 (en) 2012-05-31
CN101903986B (zh) 2013-01-23
CN102396050B (zh) 2014-09-03
WO2009078968A1 (en) 2009-06-25
JP2011507269A (ja) 2011-03-03
TWI360173B (en) 2012-03-11
EP2220672A1 (en) 2010-08-25
CN102396050A (zh) 2012-03-28
JP5290321B2 (ja) 2013-09-18
EP2220670A4 (en) 2012-08-01
EP2220669A1 (en) 2010-08-25
TW200941565A (en) 2009-10-01
US8226775B2 (en) 2012-07-24
US8211846B2 (en) 2012-07-03
CN101903985A (zh) 2010-12-01
US20090151754A1 (en) 2009-06-18
US8758522B2 (en) 2014-06-24
TW200939329A (en) 2009-09-16
US20090151752A1 (en) 2009-06-18
WO2009078975A3 (en) 2009-08-27
KR101538188B1 (ko) 2015-07-20
JP5398732B2 (ja) 2014-01-29
EP2220671A2 (en) 2010-08-25
JP2011507279A (ja) 2011-03-03

Similar Documents

Publication Publication Date Title
TWI414595B (zh) 利用單相及雙相介質移除粒子的材料
TWI487785B (zh) 先進之基板清理用材料及系統
TWI421338B (zh) 用以去除顆粒之清洗物質之組成物
US8314055B2 (en) Materials and systems for advanced substrate cleaning
US8601639B2 (en) Apparatus for application of two-phase contaminant removal medium